WorldWideScience

Sample records for p-type czochralski silicon

  1. Hydrogen diffusion at moderate temperatures in p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Huang, Y.L.; Ma, Y.; Job, R.; Ulyashin, A.G.

    2004-01-01

    In plasma-hydrogenated p-type Czochralski silicon, rapid thermal donor (TD) formation is achieved, resulting from the catalytic support of hydrogen. The n-type counter doping by TD leads to a p-n junction formation. A simple method for the indirect determination of the diffusivity of hydrogen via applying the spreading resistance probe measurements is presented. Hydrogen diffusion in silicon during both plasma hydrogenation and post-hydrogenation annealing is investigated. The impact of the hydrogenation duration, annealing temperature, and resistivity of the silicon wafers on the hydrogen diffusion is discussed. Diffusivities of hydrogen are determined in the temperature range 270-450 deg. C. The activation energy for the hydrogen diffusion is deduced to be 1.23 eV. The diffusion of hydrogen is interpreted within the framework of a trap-limited diffusion mechanism. Oxygen and hydrogen are found to be the main traps

  2. Charge collection measurements with p-type Magnetic Czochralski silicon single pad detectors

    International Nuclear Information System (INIS)

    Tosi, C.; Bruzzi, M.; Macchiolo, A.; Scaringella, M.; Petterson, M.K.; Sadrozinski, H.F.-W.; Betancourt, C.; Manna, N.; Creanza, D.; Boscardin, M.; Piemonte, C.; Zorzi, N.; Borrello, L.; Messineo, A.

    2007-01-01

    The charge collected from beta source particles in single pad detectors produced on p-type Magnetic Czochralski (MCz) silicon wafers has been measured before and after irradiation with 26 MeV protons. After a 1 MeV neutron equivalent fluence of 1x10 15 cm -2 the collected charge is reduced to 77% at bias voltages below 900 V. This result is compared with previous results from charge collection measurements

  3. Eliminating Light-Induced Degradation in Commercial p-Type Czochralski Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Brett Hallam

    2017-12-01

    Full Text Available This paper discusses developments in the mitigation of light-induced degradation caused by boron-oxygen defects in boron-doped Czochralski grown silicon. Particular attention is paid to the fabrication of industrial silicon solar cells with treatments for sensitive materials using illuminated annealing. It highlights the importance and desirability of using hydrogen-containing dielectric layers and a subsequent firing process to inject hydrogen throughout the bulk of the silicon solar cell and subsequent illuminated annealing processes for the formation of the boron-oxygen defects and simultaneously manipulate the charge states of hydrogen to enable defect passivation. For the photovoltaic industry with a current capacity of approximately 100 GW peak, the mitigation of boron-oxygen related light-induced degradation is a necessity to use cost-effective B-doped silicon while benefitting from the high-efficiency potential of new solar cell concepts.

  4. Lifetime degradation of n-type Czochralski silicon after hydrogenation

    Science.gov (United States)

    Vaqueiro-Contreras, M.; Markevich, V. P.; Mullins, J.; Halsall, M. P.; Murin, L. I.; Falster, R.; Binns, J.; Coutinho, J.; Peaker, A. R.

    2018-04-01

    Hydrogen plays an important role in the passivation of interface states in silicon-based metal-oxide semiconductor technologies and passivation of surface and interface states in solar silicon. We have shown recently [Vaqueiro-Contreras et al., Phys. Status Solidi RRL 11, 1700133 (2017)] that hydrogenation of n-type silicon slices containing relatively large concentrations of carbon and oxygen impurity atoms {[Cs] ≥ 1 × 1016 cm-3 and [Oi] ≥ 1017 cm-3} can produce a family of C-O-H defects, which act as powerful recombination centres reducing the minority carrier lifetime. In this work, evidence of the silicon's lifetime deterioration after hydrogen injection from SiNx coating, which is widely used in solar cell manufacturing, has been obtained from microwave photoconductance decay measurements. We have characterised the hydrogenation induced deep level defects in n-type Czochralski-grown Si samples through a series of deep level transient spectroscopy (DLTS), minority carrier transient spectroscopy (MCTS), and high-resolution Laplace DLTS/MCTS measurements. It has been found that along with the hydrogen-related hole traps, H1 and H2, in the lower half of the gap reported by us previously, hydrogenation gives rise to two electron traps, E1 and E2, in the upper half of the gap. The activation energies for electron emission from the E1 and E2 trap levels have been determined as 0.12, and 0.14 eV, respectively. We argue that the E1/H1 and E2/H2 pairs of electron/hole traps are related to two energy levels of two complexes, each incorporating carbon, oxygen, and hydrogen atoms. Our results show that the detrimental effect of the C-O-H defects on the minority carrier lifetime in n-type Si:O + C materials can be very significant, and the carbon concentration in Czochralski-grown silicon is a key parameter in the formation of the recombination centers.

  5. Processing and first characterization of detectors made with high resistivity n- and p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Bruzzi, M.; Bisello, D.; Borrello, L.; Borchi, E.; Boscardin, M.; Candelori, A.; Creanza, D.; Dalla Betta, G.-F.; DePalma, M.; Dittongo, S.; Focardi, E.; Khomenkov, V.; Litovchenko, A.; Macchiolo, A.; Manna, N.; Menichelli, D.; Messineo, A.; Miglio, S.; Petasecca, M.; Piemonte, C.; Pignatel, G.U.; Radicci, V.; Ronchin, S.; Scaringella, M.; Segneri, G.; Sentenac, D.; Tosi, C.; Zorzi, N.

    2005-01-01

    We report on the design, manufacturing and first characterisation of pad diodes, test structures and microstrip detectors processed with high resistivity magnetic Czochralski (MCz) p- and n-type Si. The pre-irradiation study on newly processed microstrip detectors and test structures show a good overall quality of the processed wafers. After irradiation with 24 GeV/c protons up to 4x10 14 cm -2 the characterisation of n-on-p and p-on-n MCz Si sensors with the C-V method show a decrease of the full depletion voltage and no space charge sign inversion. Microscopic characterisation has been performed to study the role of thermal donors in Czochralski Si. No evidence of thermal donor activation was observed in n-type MCz Si detectors if contact sintering was performed at a temperature lower than 380 deg. C and the final passivation oxide was omitted

  6. A multiple p-n junction structure obtained from as-grown Czochralski silicon crystals by heat treatment - Application to solar cells

    Science.gov (United States)

    Chi, J. Y.; Gatos, H. C.; Mao, B. Y.

    1980-01-01

    Multiple p-n junctions have been prepared in as-grown Czochralski p-type silicon through overcompensation near the oxygen periodic concentration maxima by oxygen thermal donors generated during heat treatment at 450 C. Application of the multiple p-n-junction configuration to photovoltaic energy conversion has been investigated. A new solar-cell structure based on multiple p-n-junctions was developed. Theoretical analysis showed that a significant increase in collection efficiency over the conventional solar cells can be achieved.

  7. Porous silicon damage enhanced phosphorus and aluminium gettering of p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Hassen, M.; Ben Jaballah, A.; Hajji, M.; Rahmouni, H.; Selmi, A.; Ezzaouia, H.

    2005-01-01

    In this work, porous silicon damage (PSD) is presented as a simple sequence for efficient external purification techniques. The method consists of using thin nanoporous p-type silicon on both sides of the silicon substrates with randomly hemispherical voids. Then, two main sample types are processed. In the first type, thin aluminium layers (≥1 μm) are thermally evaporated followed by photo-thermal annealing treatments in N 2 atmosphere at one of several temperatures ranging between 600 and 800 deg. C. In the second type, phosphorus is continually diffused in N 2 /O 2 ambient in a solid phase from POCl 3 solution during heating at one of several temperatures ranging between 750 and 1000 deg. C for 1 h. Hall Effect and Van Der Pauw methods prove the existence of an optimum temperature in the case of phosphorus gettering at 900 deg. C yielding a Hall mobility of about 982 cm 2 V -1 s -1 . However, in the case of aluminium gettering, there is no gettering limit in the as mentioned temperature range. Metal/Si Schottky diodes are elaborated to clarify these improvements. In this study, we demonstrate that enhanced metal solubility model cannot explain the gettering effect. The solid solubility of aluminium is higher than that of P atoms in silicon; however, the device yield confirms the effectiveness of phosphorus as compared to aluminium

  8. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  9. Electrical properties of MOS structures on nitrogen-doped Czochralski-grown silicon: A positron annihilation study

    International Nuclear Information System (INIS)

    Slugen, V.; Harmatha, L.; Tapajna, M.; Ballo, P.; Pisecny, P.; Sik, J.; Koegel, G.; Krsjak, V.

    2006-01-01

    Measurements of interface trap density, effective generation lifetime (GL) and effective surface generation velocity have been performed using different methods on selected MOS structures prepared on nitrogen-doped Czochralski-grown (NCz) silicon. The application of the positron annihilation technique using a pulsed low energy positron system (PLEPS) focused on the detection of nitrogen-related defects in NCz silicon in the near surface region. In the case of p-type Cz silicon, all the results could be used for the testing of homogeneity. In n-type Cz silicon, positron annihilation was found insensitive to nitrogen doping

  10. Characterization of Czochralski Silicon Detectors

    OpenAIRE

    Luukka, Panja-Riina; Haerkoenen, Jaakko

    2012-01-01

    This thesis describes the characterization of irradiated and non-irradiated segmenteddetectors made of high-resistivity (>1 kΩcm) magnetic Czochralski (MCZ) silicon. It isshown that the radiation hardness (RH) of the protons of these detectors is higher thanthat of devices made of traditional materials such as Float Zone (FZ) silicon or DiffusionOxygenated Float Zone (DOFZ) silicon due to the presence of intrinsic oxygen (> 5 x1017 cm-3). The MCZ devices therefore present an interesting alter...

  11. Characterization of Czochralski silicon detectors

    OpenAIRE

    Luukka, Panja-Riina

    2006-01-01

    This thesis describes the characterization of irradiated and non-irradiated segmented detectors made of high-resistivity (>1 kΩcm) magnetic Czochralski (MCZ) silicon. It is shown that the radiation hardness (RH) of the protons of these detectors is higher than that of devices made of traditional materials such as Float Zone (FZ) silicon or Diffusion Oxygenated Float Zone (DOFZ) silicon due to the presence of intrinsic oxygen (> 5 × 1017 cm−3). The MCZ devices therefore present an interesting ...

  12. The intrinsic gettering in neutron irradiation Czochralski-silicon

    CERN Document Server

    Li Yang Xian; Niu Ping Juan; Liu Cai Chi; Xu Yue Sheng; Yang Deren; Que Duan Lin

    2002-01-01

    The intrinsic gettering in neutron irradiated Czochralski-silicon is studied. The result shows that a denuded zone at the surface of the neutron irradiated Czochralski-silicon wafer may be formed through one-step short-time annealing. The width of the denuded zone is dependent on the annealing temperature and the dose of neutron irradiation, while it is irrelated to the annealing time in case the denuded zone is formed. The authors conclude that the interaction between the defects induced by neutron irradiation and the oxygen in the silicon accelerates the oxygen precipitation in the bulk, and becomes the dominating factor of the quick formation of intrinsic gettering. It makes the effect of thermal history as the secondary factor

  13. Comparison on mechanical properties of heavily phosphorus- and arsenic-doped Czochralski silicon wafers

    Science.gov (United States)

    Yuan, Kang; Sun, Yuxin; Lu, Yunhao; Liang, Xingbo; Tian, Daxi; Ma, Xiangyang; Yang, Deren

    2018-04-01

    Heavily phosphorus (P)- and arsenic (As)-doped Czochralski silicon (CZ-Si) wafers generally act as the substrates for the epitaxial silicon wafers used to fabricate power and communication devices. The mechanical properties of such two kinds of n-type heavily doped CZ silicon wafers are vital to ensure the quality of epitaxial silicon wafers and the manufacturing yields of devices. In this work, the mechanical properties including the hardness, Young's modulus, indentation fracture toughness and the resistance to dislocation motion have been comparatively investigated for heavily P- and As-doped CZ-Si wafers. It is found that heavily P-doped CZ-Si possesses somewhat higher hardness, lower Young's modulus, larger indentation fracture toughness and stronger resistance to dislocation motion than heavily As-doped CZ-Si. The mechanisms underlying this finding have been tentatively elucidated by considering the differences in the doping effects of P and As in silicon.

  14. LSA Large Area Silicon Sheet Task Continuous Czochralski Process Development

    Science.gov (United States)

    Rea, S. N.

    1979-01-01

    A commercial Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a small, in-situ premelter with attendant silicon storage and transport mechanisms. Using a vertical, cylindrical graphite heater containing a small fused quartz test tube linear from which the molten silicon flowed out the bottom, approximately 83 cm of nominal 5 cm diamter crystal was grown with continuous melt addition furnished by the test tube premelter. High perfection crystal was not obtained, however, due primarily to particulate contamination of the melt. A major contributor to the particulate problem was severe silicon oxide buildup on the premelter which would ultimately drop into the primary melt. Elimination of this oxide buildup will require extensive study and experimentation and the ultimate success of continuous Czochralski depends on a successful solution to this problem. Economically, the continuous Czochralski meets near-term cost goals for silicon sheet material.

  15. Analysis of Side-Wall Structure of Grown-in Twin-Type Octahedral Defects in Czochralski Silicon

    Science.gov (United States)

    Ueki, Takemi; Itsumi, Manabu; Takeda, Tadao

    1998-04-01

    We analyzed the side-wall structure of grown-in octahedral defects in Czochralski silicon standard wafers for large-scale integrated circuits. There are two types of twin octahedral defects: an overlapping type and an adjacent type. In the twin octahedral defects of the overlapping type, a hole is formed in the connection part. The side-wall layer in the hole part is formed continually and is the same thickness as the side-wall layers of both octahedrons. In the twin octahedral defects of the adjacent type, a partition layer is formed in the connection part. Our electron energy-loss spectroscopy analyses identified that the side-wall layer includes SiO2.

  16. Light-induced enhancement of the minority carrier lifetime in boron-doped Czochralski silicon passivated by doped silicon nitride

    International Nuclear Information System (INIS)

    Wang, Hongzhe; Chen, Chao; Pan, Miao; Sun, Yiling; Yang, Xi

    2015-01-01

    Graphical abstract: - Highlights: • The phosphorus-doped SiN x with negative fixed charge was deposited by PECVD. • The increase of lifetime was observed on P-doped SiN x passivated Si under illumination. • The enhancement of lifetime was caused by the increase of negative fixed charges. - Abstract: This study reports a doubling of the effective minority carrier lifetime under light soaking conditions, observed in a boron-doped p-type Czochralski grown silicon wafer passivated by a phosphorus-doped silicon nitride thin film. The analysis of capacitance–voltage curves revealed that the fixed charge in this phosphorus-doped silicon nitride film was negative, which was unlike the well-known positive fixed charges observed in traditional undoped silicon nitride. The analysis results revealed that the enhancement phenomenon of minority carrier lifetime was caused by the abrupt increase in the density of negative fixed charge (from 7.2 × 10 11 to 1.2 × 10 12 cm −2 ) after light soaking.

  17. Silicon crystal growth using a liquid-feeding Czochralski method

    Science.gov (United States)

    Shiraishi, Yutaka; Kurosaka, Shoei; Imai, Masato

    1996-09-01

    Silicon single crystals with uniformity along the growth direction were grown using a new continuous Czochralski (CCZ) method. Polycrystalline silicon rods used as charge materials are melted by carbon heaters over a crucible without contact between the raw material and other substances. Using this method, silicon crystals with diameters as large as 6 or 8 inch and good uniformity along the growth direction were grown.

  18. Future application of Czochralski crystal pulling for silicon

    Science.gov (United States)

    Matlcok, J. H.

    1985-08-01

    Czochralski (Cz) crystal pulling has been the predominant method used for preparing silicon single crystal for the past twenty years. The fundamental technology used has changed little. However, great strides have been made in learning how to make the crystals bigger and of better quality at ever increasing productivity rates. Currently charge sizes of 50 kg of polycrystal silicon are being used for production and crystals up to ten inches in diameter have been grown without major difficulty. The largest material actually being processed in silicon wafer form is 150 mm (6 inches) in diameter. Growing of crystals in a magnetic field has proved to be particularly useful for microscopic impurity control. Major developments in past years on equipment for Cz crystal pulling have included the automatic growth control of the diameter as well as the starting core of the crystal, the use of magnetic fields and around the crystal puller to supress convection, various recharging schemes for dopant control and the use of continuous liquid feed in the crystal puller. The latter, while far from being a reliable production process, is ideal in concept for major improvement in Cz crystal pulling. The Czochralski process will maintain its dominance of silicon crystal production for many years.

  19. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  20. Infrared characterization of some oxygen-related defects in Czochralski silicon

    International Nuclear Information System (INIS)

    Hallberg, T.

    1993-01-01

    This thesis is based on the work made at Linkoeping University at the Department of Physics and Measurement Technology. It is divided into two parts. The first part is a short introduction to defects in silicon, Fourier transform infrared spectroscopy as well as some physics involved in semiconductor crystals. The second part consists of two papers: Enhanced oxygen precipitation in electron irradiated silicon. Annealing of electron irradiated antimony-doped Czochralski silicon

  1. LSSA large area silicon sheet task continuous Czochralski process development

    Science.gov (United States)

    Rea, S. N.

    1978-01-01

    A Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a premelter to provide molten silicon flow into the primary crucible. The basic furnace is operational and several trial crystals were grown in the batch mode. Numerous premelter configurations were tested both in laboratory-scale equipment as well as in the actual furnace. The best arrangement tested to date is a vertical, cylindrical graphite heater containing small fused silicon test tube liner in which the incoming silicon is melted and flows into the primary crucible. Economic modeling of the continuous Czochralski process indicates that for 10 cm diameter crystal, 100 kg furnace runs of four or five crystals each are near-optimal. Costs tend to asymptote at the 100 kg level so little additional cost improvement occurs at larger runs. For these conditions, crystal cost in equivalent wafer area of around $20/sq m exclusive of polysilicon and slicing was obtained.

  2. CCE measurements and annealing studies on proton-irradiated p-type MCz silicon diodes

    CERN Document Server

    Hoedlmoser, H; Köhler, M; Nordlund, H

    2007-01-01

    Magnetic Czochralski (MCz) silicon has recently been investigated for the development of radiation tolerant detectors for future high-luminosity HEP experiments. A study of p-type MCz Silicon diodes irradiated with protons up to a fluence of has been performed by means of Charge Collection Efficiency (CCE) measurements as well as standard CV/IV characterizations. The changes of CCE, full depletion voltage and leakage current as a function of fluence are reported. A subsequent annealing study of the irradiated detectors shows an increase in effective doping concentration and a decrease in the leakage current, whereas the CCE remains basically unchanged. Two different series of detectors have been compared differing in the implantation dose of p-spray isolation as well as effective doping concentration (Neff) of the p-type bulk presumably due to a difference in thermal donor (TD) activation during processing. The series with the higher concentration of TDs shows a delayed reverse annealing of Neff after irradia...

  3. A continuous Czochralski silicon crystal growth system

    Science.gov (United States)

    Wang, C.; Zhang, H.; Wang, T. H.; Ciszek, T. F.

    2003-03-01

    Demand for large silicon wafers has driven the growth of silicon crystals from 200 to 300 mm in diameter. With the increasing silicon ingot sizes, melt volume has grown dramatically. Melt flow becomes more turbulent as melt height and volume increase. To suppress turbulent flow in a large silicon melt, a new Czochralski (CZ) growth furnace has been designed that has a shallow melt. In this new design, a crucible consists of a shallow growth compartment in the center and a deep feeding compartment around the periphery. Two compartments are connected with a narrow annular channel. A long crystal may be continuously grown by feeding silicon pellets into the dedicated feeding compartment. We use our numerical model to simulate temperature distribution and velocity field in a conventional 200-mm CZ crystal growth system and also in the new shallow crucible CZ system. By comparison, advantages and disadvantages of the proposed system are observed, operating conditions are determined, and the new system is improved.

  4. Effect of Rapid Thermal Processing on Light-Induced Degradation of Carrier Lifetime in Czochralski p-Type Silicon Bare Wafers

    Science.gov (United States)

    Kouhlane, Y.; Bouhafs, D.; Khelifati, N.; Belhousse, S.; Menari, H.; Guenda, A.; Khelfane, A.

    2016-11-01

    The electrical properties of Czochralski silicon (Cz-Si) p-type boron-doped bare wafers have been investigated after rapid thermal processing (RTP) with different peak temperatures. Treated wafers were exposed to light for various illumination times, and the effective carrier lifetime ( τ eff) measured using the quasi-steady-state photoconductance (QSSPC) technique. τ eff values dropped after prolonged illumination exposure due to light-induced degradation (LID) related to electrical activation of boron-oxygen (BO) complexes, except in the sample treated with peak temperature of 785°C, for which the τ eff degradation was less pronounced. Also, a reduction was observed when using the 830°C peak temperature, an effect that was enhanced by alteration of the wafer morphology (roughness). Furthermore, the electrical resistivity presented good stability under light exposure as a function of temperature compared with reference wafers. Additionally, the optical absorption edge shifted to higher wavelength, leading to increased free-carrier absorption by treated wafers. Moreover, a theoretical model is used to understand the lifetime degradation and regeneration behavior as a function of illumination time. We conclude that RTP plays an important role in carrier lifetime regeneration for Cz-Si wafers via modification of optoelectronic and structural properties. The balance between an optimized RTP cycle and the rest of the solar cell elaboration process can overcome the negative effect of LID and contribute to achievement of higher solar cell efficiency and module performance.

  5. Deep level transient spectroscopy and minority carrier lifetime study on Ga-doped continuous Czochralski silicon

    Science.gov (United States)

    Yoon, Yohan; Yan, Yixin; Ostrom, Nels P.; Kim, Jinwoo; Rozgonyi, George

    2012-11-01

    Continuous-Czochralski (c-Cz) crystal growth has been suggested as a viable technique for the fabrication of photovoltaic Si wafers due to its low resistivity variation of any dopant, independent of segregation, compared to conventional Cz. In order to eliminate light induced degradation due to boron-oxygen traps in conventional p-type silicon wafers, gallium doped wafers have been grown by c-Cz method and investigated using four point probe, deep level transient spectroscopy (DLTS), and microwave-photoconductance decay. Iron-gallium related electrically active defects were identified using DLTS as the main lifetime killers responsible for reduced non-uniform lifetimes in radial and axial positions of the c-Cz silicon ingot. A direct correlation between minority carrier lifetime and the concentration of electrically active Fe-Ga pairs was established.

  6. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  7. Diffuse X-ray scattering near the Bragg reflection of P-doped Czochralski silicon

    International Nuclear Information System (INIS)

    Stojanoff, V.; Pimentel, C.A.F.

    1983-01-01

    Bragg line profile and high resolution diffuse X-ray scattering measurements around the (400) reciprocal lattice point of dislocation-free Czochralski Si single crystals P-doped have shown defects of interstitial nature with typical size about 1000 A. (Author) [pt

  8. Development of Radiation Hard Radiation Detectors, Differences between Czochralski Silicon and Float Zone Silicon

    CERN Document Server

    Tuominen, Eija

    2012-01-01

    The purpose of this work was to develop radiation hard silicon detectors. Radiation detectors made ofsilicon are cost effective and have excellent position resolution. Therefore, they are widely used fortrack finding and particle analysis in large high-energy physics experiments. Silicon detectors willalso be used in the CMS (Compact Muon Solenoid) experiment that is being built at the LHC (LargeHadron Collider) accelerator at CERN (European Organisation for Nuclear Research). This work wasdone in the CMS programme of Helsinki Institute of Physics (HIP).Exposure of the silicon material to particle radiation causes irreversible defects that deteriorate theperformance of the silicon detectors. In HIP CMS Programme, our approach was to improve theradiation hardness of the silicon material with increased oxygen concentration in silicon material. Westudied two different methods: diffusion oxygenation of Float Zone silicon and use of high resistivityCzochralski silicon.We processed, characterised, tested in a parti...

  9. Two-Crucible Czochralski Process

    Science.gov (United States)

    Fiegl, G.; Torbet, W.

    1985-01-01

    Scheme for continuous melt replenishment increases capacity of Czochralski crystal-growing furnace. Replenishing and drawing crucibles of improved Czochralski apparatus connected by heated quartz siphon. When doped silicon added to replenishing crucible, liquid silicon flows into drawing crucible, equalizing two melt levels. Addition of new material automatically controlled in response to optically sensed melt level. Results of this semicontinuous operation higher production speed, lower cost, and good control of crystal quality.

  10. Effect of Thermal Annealing on Light-Induced Minority Carrier Lifetime Enhancement in Boron-Doped Czochralski Silicon

    International Nuclear Information System (INIS)

    Wang Hong-Zhe; Zheng Song-Sheng; Chen Chao

    2015-01-01

    The effect of thermal annealing on the light-induced effective minority carrier lifetime enhancement (LIE) phenomenon is investigated on the p-type Czochralski silicon (Cz-Si) wafer passivated by a phosphorus-doped silicon nitride (P-doped SiN_x) thin film. The experimental results show that low temperature annealing (below 300°C) can not only increase the effective minority carrier lifetime of P-doped SiN_x passivated boron-doped Cz-Si, but also improve the LIE phenomenon. The optimum annealing temperature is 180°C, and its corresponding effective minority carrier lifetime can be increased from initial 7.5 μs to maximum 57.7 μs by light soaking within 15 min after annealing. The analysis results of high-frequency dark capacitance-voltage characteristics reveal that the mechanism of the increase of effective minority carrier lifetime after low temperature annealing is due to the sharp enhancement of field effect passivation induced by the negative fixed charge density, while the mechanism of the LIE phenomenon after low temperature annealing is attributed to the enhancement of both field effect passivation and chemical passivation. (paper)

  11. Quality evaluation of resistivity-controlled silicon crystals

    Science.gov (United States)

    Wang, Jong Hoe

    2006-01-01

    The segregation phenomenon of dopants causes a low production yield of silicon crystal that meets the resistivity tolerance required by device manufacturers. In order to control the macroscopic axial resistivity distribution in bulk crystal growth, numerous studies including continuous Czochralski method and double crucible technique have been studied. The simple B-P codoping method for improving the productivity of p-type silicon single-crystal growth by controlling axial specific resistivity distribution was proposed by Wang [Jpn. J. Appl. Phys. 43 (2004) 4079]. In this work, the quality of Czochralski-grown silicon single crystals with a diameter 200 mm using B-P codoping method was studied from the chemical and structural points of view. It was found that the characteristics of B-P codoped wafers including the oxygen precipitation behavior and the grown-in defects are same as that of conventional B-doped Czochralski crystals.

  12. A versatile Czochralski crystal growth system with automatic diameter control

    Science.gov (United States)

    Aggarwal, M. D.; Metzl, R.; Wang, W. S.; Choi, J.

    1995-07-01

    A versatile Czochralski crystal pulling system with automatic diameter control for the growth of nonlinear optical oxide crystals is discussed. Pure and doped bulk single crystals of bismuth silicon oxide (Bi12SiO20) have been successfully grown using this system. The system consists of a regular Czochralski type pulling system with provision for continuous weighing of the growing crystal to provide feedback for power control.

  13. P-type silicon drift detectors

    International Nuclear Information System (INIS)

    Walton, J.T.; Krieger, B.; Krofcheck, D.; O'Donnell, R.; Odyniec, G.; Partlan, M.D.; Wang, N.W.

    1995-06-01

    Preliminary results on 16 CM 2 , position-sensitive silicon drift detectors, fabricated for the first time on p-type silicon substrates, are presented. The detectors were designed, fabricated, and tested recently at LBL and show interesting properties which make them attractive for use in future physics experiments. A pulse count rate of approximately 8 x l0 6 s -1 is demonstrated by the p-type silicon drift detectors. This count rate estimate is derived by measuring simultaneous tracks produced by a laser and photolithographic mask collimator that generates double tracks separated by 50 μm to 1200 μm. A new method of using ion-implanted polysilicon to produce precise valued bias resistors on the silicon drift detectors is also discussed

  14. Piezoresistance in p-type silicon revisited

    DEFF Research Database (Denmark)

    Richter, Jacob; Pedersen, Jesper; Brandbyge, Mads

    2008-01-01

    We calculate the shear piezocoefficient pi44 in p-type Si with a 6×6 k·p Hamiltonian model using the Boltzmann transport equation in the relaxation-time approximation. Furthermore, we fabricate and characterize p-type silicon piezoresistors embedded in a (001) silicon substrate. We find...... to experiments. Finally, we present a fitting function of temperature and acceptor density to the 6×6 model that can be used to predict the piezoresistance effect in p-type silicon. ©2008 American Institute of Physics...... that the relaxation-time model needs to include all scattering mechanisms in order to obtain correct temperature and acceptor density dependencies. The k·p results are compared to results obtained using a recent tight-binding (TB) model. The magnitude of the pi44 piezocoefficient obtained from the TB model...

  15. Silicon Crystal Growth by the Electromagnetic Czochralski (EMCZ) Method

    Science.gov (United States)

    Watanabe, Masahito; Eguchi, Minoru; Hibiya, Taketoshi

    1999-01-01

    A new method for growing silicon crystals by using electromagnetic force to rotate the melt without crucible rotation has been developed. We call it electromagnetic Czochralski (EMCZ) growth. An electromagnetic force in the azimuthal direction is generated in the melt by the interaction between an electric current (I) through the melt in the radial direction and a vertical magnetic field (B). The rotation rate (ωm) of the silicon melt is continuously changed from 0 to over 105 rpm under I = 0 to 8 A and B = 0 to 0.1 T. Thirty-mm-diameter silicon single crystals free of dislocations could be grown under two conditions: I = 2.0 A and B = 0.05 T (ωm = 105 rpm); and I =0.2 A and B = 0.1 T (ωm = 15 rpm). The oxygen concentration in the crystals was 8 ×1017 atoms/cm3 for the high rotation rate and 1×1017 atoms/cm3 for the low rotation rate. The oxygen-concentration distributions in the radial direction in both crystals were more homogeneous than those in the crystals grown by conventional CZ and/or MCZ growth. This new crystal-growth method can be easily adopted for growing large-diameter silicon crystals.

  16. Radiation damage in lithium-counterdoped N/P silicon solar cells

    Science.gov (United States)

    Hermann, A. M.; Swartz, C. K.; Brandhorst, H. W., Jr.; Weinberg, I.

    1980-01-01

    The radiation resistance and low-temperature annealing properties of lithium-counterdoped n(+)-p silicon solar cells are investigated. Cells fabricated from float zone and Czochralski grown silicon were irradiated with 1 MeV electrons and their performance compared to that of 0.35 ohm-cm control cells. The float zone cells demonstrated superior radiation resistance compared to the control cells, while no improvement was noted for the Czochralski grown cells. Annealing kinetics were found to lie between first and second order for relatively short times, and the most likely annealing mechanism was found to be the diffusion of lithium to defects with the subsequent neutralization of defects by combination with lithium. Cells with zero lithium gradients exhibited the best radiation resistance.

  17. Defects in Czochralski-grown silicon crystals investigated by positron annihilation

    Energy Technology Data Exchange (ETDEWEB)

    Ikari, Atsushi; Kawakami, Kazuto; Haga, Hiroyo [Nippon Steel Corp., Sagamihara, Kanagawa (Japan). Electronics Research Labs.; Uedono, Akira; Wei, Long; Kawano, Takao; Tanigawa, Shoichiro

    1994-10-01

    Positron lifetime and Doppler broadening experiments were performed on Czochralski-grown silicon crystals. A monoenergetic positron beam was also used to measure the diffusion length of positrons in the wafer. From the measurements, it was observed that the value of diffusion length of positrons decreased at the region where microdefects were formed during the crystal growth process. It was also found that the line shape parameter S decreased and the lifetime of positrons increased at the region. These results can be attributed to the annihilation of positrons trapped by vacancy oxygen complexes which are formed in association with the microdefects. (author).

  18. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  19. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  20. Numerical simulation of the forced convection in silicon growth by the Czochralski's method

    International Nuclear Information System (INIS)

    Scalvi, L.V.A.; Mokross, B.J.; Zago, J.V.

    1987-01-01

    A numerical simulation of the Czochralski configuration for liquid silicon is done by solving the Navier-Stokes equations by the finite element technique. Galerkin's formulation is used with quadratic approximations for the components of the velocity and linear ones for the pressure. The results are discussed for different combinations of crystal-crucible rotations, considering in each case the effect of the velocity distribution on the impurity and/or dopand incorporation in the crystal. (auhor) [pt

  1. Two-dimensional analysis of axial segregation in batchwise and continuous Czochralski process

    Science.gov (United States)

    Hoe Wang, Jong; Hyun Kim, Do; Yoo, Hak-Do

    1999-03-01

    Transient two-dimensional convection-diffusion model has been developed to simulate the segregation phenomena in batchwise and continuous Czochralski process. Numerical simulations have been performed using the finite element method and implicit Euler time integration. The mesh deformation due to the change of the melt depth in batchwise Czochralski process was considered. Experimental values of the growth and system parameters for Czochralski growth of boron-doped, 4-in silicon single crystal were used in the numerical calculations. The experimental axial segregation in batchwise Czochralski process can be described successfully using convection-diffusion model. It has been demonstrated with this model that silicon single crystal with uniform axial dopant concentration can be grown and radial segregation can be suppressed in the continuous Czochralski process.

  2. LSA Large Area Silicon Sheet Task. Continuous Liquid Feed Czochralski Growth. [for solar cell fabrication

    Science.gov (United States)

    Fiegl, G.

    1979-01-01

    The design and development of equipment and processes to demonstrate continuous growth of crystals by the Czochralski method suitable for producing single silicon crystals for use in solar cells is presented. The growth of at least 150 kg of mono silicon crystal, 150 mm in diameter is continuous from one growth container. A furnace with continuous liquid replenishment of the growth crucible, accomplished by a meltdown system with a continuous solid silicon feed mechanism and a liquid transfer system, with associated automatic feedback controls is discussed. Due to the silicon monoxide build up in the furnace and its retarding effect on crystal growth the furnace conversion for operation in the low pressure range is described. Development of systems for continuous solid recharging of the meltdown chamber for various forms of poly silicon is described.

  3. Czochralski method of growing single crystals. State-of-art

    International Nuclear Information System (INIS)

    Bukowski, A.; Zabierowski, P.

    1999-01-01

    Modern Czochralski method of single crystal growing has been described. The example of Czochralski process is given. The advantages that caused the rapid progress of the method have been presented. The method limitations that motivated the further research and new solutions are also presented. As the example two different ways of the technique development has been described: silicon single crystals growth in the magnetic field; continuous liquid feed of silicon crystals growth. (author)

  4. Impurity engineering for germanium-doped Czochralski silicon wafer used for ultra large scale integrated circuit

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jiahe; Yang, Deren [State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Zhejiang University, Hangzhou (China)

    2009-07-01

    Internal gettering (IG) technology has been challenged by both the reduction of thermal budget during device fabrication and the enlargement of wafer diameter. Improving the properties of Czochralski (Cz) silicon wafers by intentional impurity doping, the so-called 'impurity engineering (IE)', is defined. Germanium has been found to be one of the important impurities for improving the internal gettering effect in Cz silicon wafer. In this paper, the investigations on IE involved with the conventional furnace anneal based denudation processing for germanium-doped Cz silicon wafer are reviewed. Meanwhile, the potential mechanisms of germanium effects for the IE of Cz silicon wafer are also interpreted based on the experimental facts. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. LSA Large Area Silicon Sheet Task Continuous Liquid Feed Czochralski Growth

    Science.gov (United States)

    Fiegl, G.

    1979-01-01

    A process for the continuous growth of crystals by the Czochralski method, suitable for producing single silicon crystals for use in solar cells was studied. Continuous growth is the growth of 100 Kg of single silicon crystals, 10 cm in diameter, from one container. A furnace with continuous liquid replenishment of the growth crucible, accomplished by a melt-down system and a liquid transfer mechanism, with associated automatic feedback controls was developed. Elements of the transfer system were further developed and tested during actual transfer runs. Considerable simplification of the heating element of the transfer tube was achieved. Accuracy and reliability of the temperature sensor, which is part of the power input control system for the transfer tube, was improved. Electrical and thermal effectiveness were increased while assembly of the transfer tube system was further simplified.

  6. Reassessment of the recombination parameters of chromium in n- and p-type crystalline silicon and chromium-boron pairs in p-type crystalline silicon

    International Nuclear Information System (INIS)

    Sun, Chang; Rougieux, Fiacre E.; Macdonald, Daniel

    2014-01-01

    Injection-dependent lifetime spectroscopy of both n- and p-type, Cr-doped silicon wafers with different doping levels is used to determine the defect parameters of Cr i and CrB pairs, by simultaneously fitting the measured lifetimes with the Shockley-Read-Hall model. A combined analysis of the two defects with the lifetime data measured on both n- and p-type samples enables a significant tightening of the uncertainty ranges of the parameters. The capture cross section ratios k = σ n /σ p of Cr i and CrB are determined as 3.2 (−0.6, +0) and 5.8 (−3.4, +0.6), respectively. Courtesy of a direct experimental comparison of the recombination activity of chromium in n- and p-type silicon, and as also suggested by modelling results, we conclude that chromium has a greater negative impact on carrier lifetimes in p-type silicon than n-type silicon with similar doping levels.

  7. Effect of neutron irradiation on p-type silicon

    International Nuclear Information System (INIS)

    Sopko, B.

    1973-01-01

    The possibilities are discussed of silicon isotope reactions with neutrons of all energies. In the reactions, 30 Si is converted to a stable phosphorus isotope forming n-type impurities in silicon. The above reactions proceed as a result of thermal neutron irradiation. An experiment is reported involving irradiation of two p-type silicon single crystals having a specific resistance of 2000 ohm.cm and 5000 to 20 000 ohm.cm, respectively, which changed as a result of irradiation into n-type silicon with a given specific resistance. The specific resistance may be pre-calculated from the concentration of impurities and the time of irradiation. The effects of irradiation on other silicon parameters and thus on the suitability of silicon for the manufacture of semiconductor elements are discussed. (J.K.)

  8. Microdefects in an as-grown Czochralski silicon crystal studied by synchrotron radiation section topography with aid of computer simulation

    International Nuclear Information System (INIS)

    Iida, Satoshi; Aoki, Yoshirou; Okitsu, Kouhei; Sugita, Yoshimitsu; Kawata, Hiroshi; Abe, Takao

    1998-01-01

    Grown-in microdefects of a Czochralski (CZ) silicon crystal grown at a slow growth rate were studied by section topography using high energy synchrotron radiation. Images of the microdefects in the section topographs were analyzed quantitatively using computer simulation based on the Takagi-Taupin type dynamical diffraction theory of X-rays, and reproduced successfully by the simulation when the microdefects were assumed to be spherical strain centers. Sizes and positions of the microdefects were able to be determined by detailed comparison between the experiments and the computer simulations. The validity of the computer simulation in an analysis of the section topographs is discussed. (author)

  9. Combined effects of crucible geometry and Marangoni convection on silicon Czochralski crystal growth

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, F. [Unit of Developpement of Silicon Technologie, Algiers (Algeria); Bouabdallah, A.; Zizi, M. [LTSE Laboratory, University of Science and Technology USTHB., Babezzouar, Algiers (Algeria); Hanchi, S. [UER Mecanique/ E.M.P/ B.P, El Bahri/Alger (Algeria); Alemany, A. [Laboratoire EPM, CNRS, Grenoble (France)

    2009-08-15

    In order to understand the influence of crucible geometry combined with natural convection and Marangoni convection on melt flow pattern, temperature and pressure fields in silicon Czochralski crystal growth process, a set of numerical simulations was conducted. We carry out calculation enable us to determine temperature, pressure and velocity fields in function of Grashof and Marangoni numbers. The essential results show that the hemispherical geometry of crucible seems to be adapted for the growth of a good quality crystal and the pressure field is strongly affected by natural and Marangoni convection and it is more sensitive than temperature. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Application of neutron transmutation doping method to initially p-type silicon material.

    Science.gov (United States)

    Kim, Myong-Seop; Kang, Ki-Doo; Park, Sang-Jun

    2009-01-01

    The neutron transmutation doping (NTD) method was applied to the initially p-type silicon in order to extend the NTD applications at HANARO. The relationship between the irradiation neutron fluence and the final resistivity of the initially p-type silicon material was investigated. The proportional constant between the neutron fluence and the resistivity was determined to be 2.3473x10(19)nOmegacm(-1). The deviation of the final resistivity from the target for almost all the irradiation results of the initially p-type silicon ingots was at a range from -5% to 2%. In addition, the burn-up effect of the boron impurities, the residual (32)P activity and the effect of the compensation characteristics for the initially p-type silicon were studied. Conclusively, the practical methodology to perform the neutron transmutation doping of the initially p-type silicon ingot was established.

  11. Denuded zone in Czochralski silicon wafer with high carbon content

    International Nuclear Information System (INIS)

    Chen Jiahe; Yang Deren; Ma Xiangyang; Que Duanlin

    2006-01-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 deg. C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 deg. C. Also, the DZs above 15 μm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits

  12. Denuded zone in Czochralski silicon wafer with high carbon content

    Science.gov (United States)

    Chen, Jiahe; Yang, Deren; Ma, Xiangyang; Que, Duanlin

    2006-12-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 °C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 °C. Also, the DZs above 15 µm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits.

  13. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  14. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  15. Impurity engineering of Czochralski silicon used for ultra large-scaled-integrated circuits

    Science.gov (United States)

    Yang, Deren; Chen, Jiahe; Ma, Xiangyang; Que, Duanlin

    2009-01-01

    Impurities in Czochralski silicon (Cz-Si) used for ultra large-scaled-integrated (ULSI) circuits have been believed to deteriorate the performance of devices. In this paper, a review of the recent processes from our investigation on internal gettering in Cz-Si wafers which were doped with nitrogen, germanium and/or high content of carbon is presented. It has been suggested that those impurities enhance oxygen precipitation, and create both denser bulk microdefects and enough denuded zone with the desirable width, which is benefit of the internal gettering of metal contamination. Based on the experimental facts, a potential mechanism of impurity doping on the internal gettering structure is interpreted and, a new concept of 'impurity engineering' for Cz-Si used for ULSI is proposed.

  16. High-field EPR spectroscopy of thermal donors in silicon

    DEFF Research Database (Denmark)

    Dirksen, R.; Rasmussen, F.B.; Gregorkiewicz, T.

    1997-01-01

    Thermal donors generated in p-type boron-doped Czochralski-grown silicon by a 450 degrees C heat treatment have been studied by high-field magnetic resonance spectroscopy. In the experiments conducted at a microwave frequency of 140 GHz and in a magnetic field of approximately 5 T four individual...

  17. Characterization of proton and neutron irradiated low resistivity p-on-n magnetic Czochralski ministrip sensors and diodes

    International Nuclear Information System (INIS)

    Pacifico, Nicola; Dolenc Kittelmann, Irena; Fahrer, Manuel; Moll, Michael; Militaru, Otilia

    2011-01-01

    Transient Current Technique (TCT) and Charge Collection Efficiency (CCE) measurements were performed on low resistivity (280Ωcm) n-bulk, p-readout magnetic Czochralski ministrip sensors and diodes. The detectors were irradiated with neutrons and 24 GeV/c protons up to a total NIEL equivalent fluence of 8×10 15 /cm 2 . The study was addressed to assess the radiation tolerance of the detectors up to fluences expected in the next generations of High Energy Physics experiments. The charge collection efficiency after irradiation was found to be much higher than for standard FZ silicon p-in-n sensors. The underlying physics of this remarkable result was investigated by performing Edge-TCT measurements on one of the neutron irradiated ministrip sensors to extract detailed informations about the field and efficiency profiles of the detector.

  18. Use of hexamethyldisiloxane for p-type microcrystalline silicon oxycarbide layers

    Directory of Open Access Journals (Sweden)

    Goyal Prabal

    2016-01-01

    Full Text Available The use of hexamethyldisiloxane (HMDSO as an oxygen source for the growth of p-type silicon-based layers deposited by Plasma Enhanced Chemical Vapor Deposition is evaluated. The use of this source led to the incorporation of almost equivalent amounts of oxygen and carbon, resulting in microcrystalline silicon oxycarbide thin films. The layers were examined with characterisation techniques including Spectroscopic Ellipsometry, Dark Conductivity, Fourier Transform Infrared Spectroscopy, Secondary Ion Mass Spectrometry and Transmission Electron Microscopy to check material composition and structure. Materials studies show that the refractive indices of the layers can be tuned over the range from 2.5 to 3.85 (measured at 600 nm and in-plane dark conductivities over the range from 10-8 S/cm to 1 S/cm, suggesting that these doped layers are suitable for solar cell applications. The p-type layers were tested in single junction amorphous silicon p-i-n type solar cells.

  19. Development of advanced Czochralski Growth Process to produce low cost 150 KG silicon ingots from a single crucible for technology readiness

    Science.gov (United States)

    1981-01-01

    The goals in this program for advanced czochralski growth process to produce low cost 150 kg silicon ingots from a single crucible for technology readiness are outlined. To provide a modified CG2000 crystal power capable of pulling a minimum of five crystals, each of approximately 30 kg in weight, 150 mm diameter from a single crucible with periodic melt replenishment. Crystals to have: resistivity of 1 to 3 ohm cm, p-type; dislocation density below 1- to the 6th power per cm; orientation (100); after growth yield of greater than 90%. Growth throughput of greater than 2.5 kg per hour of machine operation using a radiation shield. Prototype equipment suitable for use as a production facility. The overall cost goal is $.70 per peak watt by 1986. To accomplish these goals, the modified CG2000 grower and development program includes: (1) increased automation with a microprocessor based control system; (2) sensors development which will increase the capability of the automatic controls system, and provide technology transfer of the developed systems.

  20. Characterization of proton and neutron irradiated low resistivity p-on-n magnetic Czochralski ministrip sensors and diodes

    Energy Technology Data Exchange (ETDEWEB)

    Pacifico, Nicola, E-mail: nicola.pacifico@cern.ch [CERN, Geneva (Switzerland); Dolenc Kittelmann, Irena; Fahrer, Manuel; Moll, Michael [CERN, Geneva (Switzerland); Militaru, Otilia [UCL, Louvain (Belgium)

    2011-12-01

    Transient Current Technique (TCT) and Charge Collection Efficiency (CCE) measurements were performed on low resistivity (280{Omega}cm) n-bulk, p-readout magnetic Czochralski ministrip sensors and diodes. The detectors were irradiated with neutrons and 24 GeV/c protons up to a total NIEL equivalent fluence of 8 Multiplication-Sign 10{sup 15}/cm{sup 2}. The study was addressed to assess the radiation tolerance of the detectors up to fluences expected in the next generations of High Energy Physics experiments. The charge collection efficiency after irradiation was found to be much higher than for standard FZ silicon p-in-n sensors. The underlying physics of this remarkable result was investigated by performing Edge-TCT measurements on one of the neutron irradiated ministrip sensors to extract detailed informations about the field and efficiency profiles of the detector.

  1. The Impact of Metallic Impurities on Minority Carrier Lifetime in High Purity N-type Silicon

    Science.gov (United States)

    Yoon, Yohan

    Boron-doped p-type silicon is the industry standard silicon solar cell substrate. However, it has serious limitations: iron boron (Fe-B) pairs and light induced degradation (LID). To suppress LID, the replacement of boron by gallium as a p-type dopant has been proposed. Although this eliminates B-O related defects, gallium-related pairing with iron, oxygen, and carbon can reduce lifetime in this material. In addition resistivity variations are more pronounced in gallium doped ingots, however Continuous-Czochralski (c-Cz) growth technologies are being developed to overcome this problem. In this work lifetime limiting factors and resistivity variations have been investigated in this material. The radial and axial variations of electrically active defects were observed using deep level transient spectroscopy (DLTS) these have been correlated to lifetime and resistivity variations. The DLTS measurements demonstrated that iron-related pairs are responsible for the lifetime variations. Specifically, Fe-Ga pairs were found to be important recombination sites and are more detrimental to lifetime than Fei. Typically n-type silicon has a higher minority carrier lifetime than p-type silicon with similar levels of contamination. That is because n-type silicon is more tolerant to metallic impurities, especially Fe. Also, it has no serious issues in relation to lifetime degradation, such as FeB pairs and light-induced degradation (LID). However, surface passivation of the p + region in p+n solar cells is much more problematic than the n+p case where silicon nitride provides very effective passivation of the cell. SiO2 is the most effective passivation for n type surfaces, but it does not work well on B-doped surfaces, resulting in inadequate performance. Al2O3 passivation layer suggested for B-doped emitters. With this surface passivation layer a 23.2 % conversion efficiency has been achieved. After this discovery n-type silicon is now being seriously considered for

  2. Characterization of oxygen dimer-enriched silicon detectors

    CERN Document Server

    Boisvert, V; Moll, M; Murin, L I; Pintilie, I

    2005-01-01

    Various types of silicon material and silicon p+n diodes have been treated to increase the concentration of the oxygen dimer (O2i) defect. This was done by exposing the bulk material and the diodes to 6 MeV electrons at a temperature of about 350 °C. FTIR spectroscopy has been performed on the processed material confirming the formation of oxygen dimer defects in Czochralski silicon pieces. We also show results from TSC characterization on processed diodes. Finally, we investigated the influence of the dimer enrichment process on the depletion voltage of silicon diodes and performed 24 GeV/c proton irradiations to study the evolution of the macroscopic diode characteristics as a function of fluence.

  3. Method for the preparation of n-i-p type radiation detector from silicon

    International Nuclear Information System (INIS)

    Keleti, J.; Toeroek, T.; Lukacs, J.; Molnar, I.

    1978-01-01

    The patent describes a procedure for the preparation of n-i-p type silicon radiation detectors. The aim was to provide an adaquate procedure for the production of α, β, γ-detectors from silicon available on the market, either p-type single crystal silicon characterised by its boron level. The procedure and the 9 claims are illustrated by two examples. (Sz.J.)

  4. Centrifugal pumping during Czochralski silicon growth with a strong, non-uniform, axisymmetric magnetic field

    Science.gov (United States)

    Khine, Y. Y.; Walker, J. S.

    1996-08-01

    Centrifugal pumping flows are produced in the melt by the rotations of crystal and crucible during the Czochralski growth of silicon crystals. This paper treats the centrifugal pumping effects with a steady, strong, non-uniform axisymmetric magnetic field. We consider a family of magnetic fields ranging from a uniform axial field to a "cusp" field, which has a purely radial field at the crystal-melt interface and free surface. We present the numerical solutions for the centrifugal pumping flows as the magnetic field is changed continuously from a uniform axial field to a cusp one, and for arbitrary Hartmann number. Since the perfect alignment between the local magnetic field vector and the crystal-melt interface or free surface is not likely, we also investigate the effects of a slight misalignment.

  5. Boron deactivation in heavily boron-doped Czochralski silicon during rapid thermal anneal: Atomic level understanding

    International Nuclear Information System (INIS)

    Gao, Chao; Dong, Peng; Yi, Jun; Ma, Xiangyang; Yang, Deren; Lu, Yunhao

    2014-01-01

    The changes in hole concentration of heavily boron (B)-doped Czochralski silicon subjected to high temperature rapid thermal anneal (RTA) and following conventional furnace anneal (CFA) have been investigated. It is found that decrease in hole concentration, namely, B deactivation, is observed starting from 1050 °C and increases with RTA temperature. The following CFA at 300–500 °C leads to further B deactivation, while that at 600–800 °C results in B reactivation. It is supposed that the interaction between B atoms and silicon interstitials (I) thus forming BI pairs leads to the B deactivation during the high temperature RTA, and, moreover, the formation of extended B 2 I complexes results in further B deactivation in the following CFA at 300–500 °C. On the contrary, the dissociation of BI pairs during the following CFA at 600–800 °C enables the B reactivation. Importantly, the first-principles calculation results can soundly account for the above-mentioned supposition

  6. Boron deactivation in heavily boron-doped Czochralski silicon during rapid thermal anneal: Atomic level understanding

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Chao; Dong, Peng; Yi, Jun; Ma, Xiangyang, E-mail: luyh@zju.edu.cn, E-mail: mxyoung@zju.edu.cn; Yang, Deren [State Key Laboratory of Silicon Materials and Department of Materials Science and Engineering, Zhejiang University, Hangzhou 310027 (China); Lu, Yunhao, E-mail: luyh@zju.edu.cn, E-mail: mxyoung@zju.edu.cn [International Center for New-Structured Materials and Laboratory of New-Structured Materials, Department of Materials Science and Engineering, Zhejiang University, Hangzhou 310027 (China)

    2014-01-20

    The changes in hole concentration of heavily boron (B)-doped Czochralski silicon subjected to high temperature rapid thermal anneal (RTA) and following conventional furnace anneal (CFA) have been investigated. It is found that decrease in hole concentration, namely, B deactivation, is observed starting from 1050 °C and increases with RTA temperature. The following CFA at 300–500 °C leads to further B deactivation, while that at 600–800 °C results in B reactivation. It is supposed that the interaction between B atoms and silicon interstitials (I) thus forming BI pairs leads to the B deactivation during the high temperature RTA, and, moreover, the formation of extended B{sub 2}I complexes results in further B deactivation in the following CFA at 300–500 °C. On the contrary, the dissociation of BI pairs during the following CFA at 600–800 °C enables the B reactivation. Importantly, the first-principles calculation results can soundly account for the above-mentioned supposition.

  7. Numerical and experimental study of a solid pellet feed continuous Czochralski growth process for silicon single crystals

    Science.gov (United States)

    Anselmo, A.; Prasad, V.; Koziol, J.; Gupta, K. P.

    1993-07-01

    A polysilicon pellets (≅1 mm diameter) feed continuous Czochralski (CCZ) growth process for silicon single crystals is proposed and investigated. Experiments in an industrial puller (14-18 inch diameter crucible) successfully demonstrate the feasibility of this process. The advantages of the proposed scheme are: a steady state growth process, a low aspect ratio melt, uniformity of heat addition and a growth apparatus with single crucible and no baffle(s). The addition of dopant with the solid charge will allow a better control of oxygen concentration leading to crystals of uniform properties and better quality. This paper presents theoretical results on melting of fully and partially immersed silicon spheres and numerical solutions on temperature and flow fields in low aspect ration melts with and without the addition of solid pellets. The theoretical and experimental results obtained thus far show a great promise for the proposed scheme.

  8. Cost of Czochralski wafers as a function of diameter

    Science.gov (United States)

    Leipold, M. H.; Radics, C.; Kachare, A.

    1980-02-01

    The impact of diameter in the range of 10 to 15 cm on the cost of wafers sliced from Czochralski ingots was analyzed. Increasing silicon waste and decreasing ingot cost with increasing ingot size were estimated along with projected costs. Results indicate a small but continuous decrease in sheet cost with increasing ingot size in this size range. Sheet costs including silicon are projected to be $50 to $60/sq m (1980 $) depending upon technique used.

  9. A thermal model for czochralski silicon crystal growth with an axial magnetic field

    Science.gov (United States)

    Hjellming, L. N.

    1990-07-01

    This paper presents a thermal model for molten silicon in a Czochralski crystal puller system with an applied uniform axial magnetic field. The melt depth is treated as continually decreasing, which affects the thermal environment of the melt and crystal. The radiative heat loss and the input heat flux are treated as functions of time, with a constraint placed on the heat lost to the crystal from the melt. As the melt motion reaches a steady state rapidly, the temperature and flow fields are treated as instantaneously steady at each melt depth. The heat transport is a mixture of conduction and convection, and by considering the crystal and crucible to be rotating with the same angular velocity, the flows driven by buoyancy and thermocapillarity are isolated and provide the convective heat transport in the melt for the range of magnetic field strengths 0.2 ≤ B ≤ 1.0T.

  10. Electrical Properties Of Amorphous Selenium (aSe)/p-Type Silicon ...

    African Journals Online (AJOL)

    aSe) on four chemically etched p-type silicon crystals (pSi) each of 5Ω-cm resistivity and carrier concentration of 2.8x1015cm-3. Two of the pSi crystals have surface orientation of (111) while the other two crystals have (100) surface orientation.

  11. Nickel Electroless Plating: Adhesion Analysis for Mono-Type Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Shin, Eun Gu; Rehman, Atteq ur; Lee, Sang Hee; Lee, Soo Hong

    2015-10-01

    The adhesion of the front electrodes to silicon substrate is the most important parameters to be optimized. Nickel silicide which is formed by sintering process using a silicon substrate improves the mechanical and electrical properties as well as act as diffusion barrier for copper. In this experiment p-type mono-crystalline czochralski (CZ) silicon wafers having resistivity of 1.5 Ω·cm were used to study one step and two step nickel electroless plating process. POCl3 diffusion process was performed to form the emitter with the sheet resistance of 70 ohm/sq. The Six, layer was set down as an antireflection coating (ARC) layer at emitter surface by plasma enhanced chemical vapor deposition (PECVD) process. Laser ablation process was used to open SiNx passivation layer locally for the formation of the front electrodes. Nickel was deposited by electroless plating process by one step and two step nickel electroless deposition process. The two step nickel plating was performed by applying a second nickel deposition step subsequent to the first sintering process. Furthermore, the adhesion analysis for both one step and two steps process was conducted using peel force tester (universal testing machine, H5KT) after depositing Cu contact by light induced plating (LIP).

  12. Forced and thermocapillary convection in silicon Czochralski crystal growth in semispherical crucible

    International Nuclear Information System (INIS)

    Mokhtari, F; Bouabdallah, A; Zizi, M; Hanchi, S; Alemany, A

    2010-01-01

    In order to understand the influence of a semispherical crucible geometry combined with different convection modes as a thermocapillary convection, natural convection and forced convection, induced by crystal rotation, on melt flow pattern in silicon Czochralski crystal growth process, a set of numerical simulations are conducted using Fluent Software. We solve the system of equations of heat and momentum transfer in classical geometry as cylindrical and modified crystal growth process geometry as cylindro-spherical. In addition, we adopt hypothesis adapted to boundary conditions near the interface and calculations are executed to determine temperature, pressure and velocity fields versus Grashof and Reynolds numbers. The analysis of the obtained results led to conclude that there is advantage to modify geometry in comparison with the traditional one. The absence of the stagnation regions of fluid in the hemispherical crucible corner and the possibility to control the melt flow using the crystal rotation enhances the quality of the process comparatively to the cylindrical one. The pressure field is strongly related to the swirl velocity.

  13. Forced and thermocapillary convection in silicon Czochralski crystal growth in semispherical crucible

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, F [Physics Department, Faculty of Science, University of Mouloud Mammeri, Tizi Ouzou (Algeria); Bouabdallah, A; Zizi, M [LTSE Laboratory, University of Science and Technology USTHB. BP 32 Elalia, Babezzouar, Algiers (Algeria); Hanchi, S [UER Mecanique/ E.M.P B.P 17, Bordj El Bahri, Algiers (Algeria); Alemany, A, E-mail: abouab2002@yahoo.f [Laboratoire EPM, CNRS, Grenoble (France)

    2010-03-01

    In order to understand the influence of a semispherical crucible geometry combined with different convection modes as a thermocapillary convection, natural convection and forced convection, induced by crystal rotation, on melt flow pattern in silicon Czochralski crystal growth process, a set of numerical simulations are conducted using Fluent Software. We solve the system of equations of heat and momentum transfer in classical geometry as cylindrical and modified crystal growth process geometry as cylindro-spherical. In addition, we adopt hypothesis adapted to boundary conditions near the interface and calculations are executed to determine temperature, pressure and velocity fields versus Grashof and Reynolds numbers. The analysis of the obtained results led to conclude that there is advantage to modify geometry in comparison with the traditional one. The absence of the stagnation regions of fluid in the hemispherical crucible corner and the possibility to control the melt flow using the crystal rotation enhances the quality of the process comparatively to the cylindrical one. The pressure field is strongly related to the swirl velocity.

  14. REVIEW ARTICLE: Oxygen diffusion and precipitation in Czochralski silicon

    Science.gov (United States)

    Newman, R. C.

    2000-06-01

    The objective of this article is to review our understanding of the properties of oxygen impurities in Czochralski silicon that is used to manufacture integrated circuits (ICs). These atoms, present at a concentration of ~1018 cm-3, occupy bond-centred sites (Oi) in as-grown Si and the jump rate between adjacent sites defines `normal' diffusion for the temperature range 1325 - 330 °C. Anneals at high temperatures lead to the formation of amorphous SiO2 precipitates that act as traps for fast diffusing metallic contaminants, such as Fe and Cu, that may be inadvertently introduced at levels as low as 1011 cm-3. Without this `gettering', there may be severe degradation of fabricated ICs. To accommodate the local volume increase during oxygen precipitation, there is parallel generation of self-interstitials that diffuse away and form lattice defects. High temperature (T > 700 °C) anneals are now well understood. Details of lower temperature processes are still a matter of debate: measurements of oxygen diffusion into or out of the Si surface and Oi atom aggregation have implied enhanced diffusion that has variously been attributed to interactions of Oi atoms with lattice vacancies, self-interstitials, metallic elements, carbon, hydrogen impurities etc. There is strong evidence for oxygen-hydrogen interactions at T continue to decrease as the size of future device features decreases below the lower end of the sub-micron range, currently close to 0.18 µm.

  15. Buoyant convection during Czochralski silicon growth with a strong, non-uniform, axisymmetric magnetic field

    Science.gov (United States)

    Khine, Y. Y.; Walker, J. S.

    1995-02-01

    This paper treats the buoyant convection during the Czochralski growth of silicon crystals with a steady, strong, non-uniform, axisymmetric magnetic field. We consider a family of magnetic fields which includes a uniform axial magnetic field and a "cusp" field which is produced by identical solenoids placed symmetrically above and below the plane of the crystal-melt interface and free surface. We investigate the evolution of the buoyant convection as the magnetic field is changed continuously from a uniform axial field to a cusp field, with a constant value of the root-mean-squared magnetic flux density in the melt. We also investigate changes as the magnetic flux density is increased. While the cusp field appears very promising, perfect alignment between the local magnetic field vector and the crystal-melt interface or free surface is not possible, so the effects of a slight misalignment are also investigated.

  16. Thermal-capillary analysis of Czochralski and liquid encapsulated Czochralski crystal growth. II - Processing strategies

    Science.gov (United States)

    Derby, J. J.; Brown, R. A.

    1986-01-01

    The pseudosteady-state heat transfer model developed in a previous paper is augmented with constraints for constant crystal radius and melt/solid interface deflection. Combinations of growth rate, and crucible and bottom-heater temperatures are tested as processing parameters for satisfying the constrained thermal-capillary problem over a range of melt volumes corresponding to the sequence occuring during the batchwise Czochralski growth of a small-diameter silicon crystal. The applicability of each processing strategy is judged by the range of existence of the solution, in terms of melt volume and the values of the axial and radial temperature gradients in the crystal.

  17. P-Type Silicon Strip Sensors for the Future CMS Tracker

    CERN Document Server

    The Tracker Group of the CMS Collaboration

    2016-01-01

    The upgrade to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at CMS. Based on these results, the collaboration has chosen to use n-in-p type strip and macro-pixel sensors and focus further investigations on the optimization of that sensor type. This paper describes the main measurement results and conclusions that motivated this decision.

  18. Proton irradiation effects in silicon devices

    Energy Technology Data Exchange (ETDEWEB)

    Simoen, E; Vanhellemont, J; Alaerts, A [IMEC, Leuven (Belgium); and others

    1997-03-01

    Proton irradiation effects in silicon devices are studied for components fabricated in various substrates in order to reveal possible hardening effects. The degradation of p-n junction diodes increases in first order proportionally with the fluence, when submitted to 10 MeV proton irradiations in the range 5x10{sup 9} cm{sup -2} to 5x10{sup 11} cm{sup -2}. The damage coefficients for both p- and n-type Czochralski, Float-Zone and epitaxial wafers are reported. Charge-Coupled Devices fabricated in a 1.2 {mu}m CCD-CMOS technology are shown to be quite resistant to 59 MeV H{sup +} irradiations, irrespective of the substrate type. (author)

  19. Dual ohmic contact to N- and P-type silicon carbide

    Science.gov (United States)

    Okojie, Robert S. (Inventor)

    2013-01-01

    Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.

  20. Novel method of separating macroporous arrays from p-type silicon substrate

    International Nuclear Information System (INIS)

    Peng Bobo; Wang Fei; Liu Tao; Yang Zhenya; Wang Lianwei; Fu, Ricky K. Y.; Chu, Paul K.

    2012-01-01

    This paper presents a novel method to fabricate separated macroporous silicon using a single step of photo-assisted electrochemical etching. The method is applied to fabricate silicon microchannel plates in 100 mm p-type silicon wafers, which can be used as electron multipliers and three-dimensional Li-ion microbatteries. Increasing the backside illumination intensity and decreasing the bias simultaneously can generate additional holes during the electrochemical etching which will create lateral etching at the pore tips. In this way the silicon microchannel can be separated from the substrate when the desired depth is reached, then it can be cut into the desired shape by using a laser cutting machine. Also, the mechanism of lateral etching is proposed. (semiconductor materials)

  1. Impact of low-dose electron irradiation on n+p silicon strip sensors

    CERN Document Server

    Adam, W.; Dragicevic, M.; Friedl, M.; Fruehwirth, R.; Hoch, M.; Hrubec, J.; Krammer, M.; Treberspurg, W.; Waltenberger, W.; Alderweireldt, S.; Beaumont, W.; Janssen, X.; Luyckx, S.; Van Mechelen, P.; Van Remortel, N.; Van Spilbeeck, A.; Barria, P.; Caillol, C.; Clerbaux, B.; De Lentdecker, G.; Dobur, D.; Favart, L.; Grebenyuk, A.; Lenzi, Th.; Leonard, A.; Maerschalk, Th.; Mohammadi, A.; Pernie, L.; Randle-Conde, A.; Reis, T.; Seva, T.; Thomas, L.; Vander Velde, C.; Vanlaer, P.; Wang, J.; Zenoni, F.; Abu Zeid, S.; Blekman, F.; De Bruyn, I.; D'Hondt, J.; Daci, N.; Deroover, K.; Heracleous, N.; Keaveney, J.; Lowette, S.; Moreels, L.; Olbrechts, A.; Python, Q.; Tavernier, S.; Van Mulders, P.; Van Onsem, G.; Van Parijs, I.; Strom, D.A.; Basegmez, S.; Bruno, G.; Castello, R.; Caudron, A.; Ceard, L.; De Callatay, B.; Delaere, C.; Pree, T.Du; Forthomme, L.; Giammanco, A.; Hollar, J.; Jez, P.; Michotte, D.; Nuttens, C.; Perrini, L.; Pagano, D.; Quertenmont, L.; Selvaggi, M.; Marono, M.Vidal; Beliy, N.; Caebergs, T.; Daubie, E.; Hammad, G.H.; Harkonen, J.; Lampen, T.; Luukka, P.R.; Maenpaa, T.; Peltola, T.; Tuominen, E.; Tuovinen, E.; Eerola, P.; Tuuva, T.; Beaulieu, G.; Boudoul, G.; Combaret, C.; Contardo, D.; Gallbit, G.; Lumb, N.; Mathez, H.; Mirabito, L.; Perries, S.; Sabes, D.; Vander Donckt, M.; Verdier, P.; Viret, S.; Zoccarato, Y.; Agram, J.L.; Conte, E.; Fontaine, J.Ch.; Andrea, J.; Bloch, D.; Bonnin, C.; Brom, J.M.; Chabert, E.; Charles, L.; Goetzmann, Ch.; Gross, L.; Hosselet, J.; Mathieu, C.; Richer, M.; Skovpen, K.; Autermann, C.; Edelhoff, M.; Esser, H.; Feld, L.; Karpinski, W.; Klein, K.; Lipinski, M.; Ostapchuk, A.; Pierschel, G.; Preuten, M.; Raupach, F.; Sammet, J.; Schael, S.; Schwering, G.; Wittmer, B.; Wlochal, M.; Zhukov, V.; Pistone, C.; Fluegge, G.; Kuensken, A.; Geisler, M.; Pooth, O.; Stahl, A.; Bartosik, N.; Behr, J.; Burgmeier, A.; Calligaris, L.; Dolinska, G.; Eckerlin, G.; Eckstein, D.; Eichhorn, T.; Fluke, G.; Garcia, J.Garay; Gizhko, A.; Hansen, K.; Harb, A.; Hauk, J.; Kalogeropoulos, A.; Kleinwort, C.; Korol, I.; Lange, W.; Lohmann, W.; Mankel, R.; Maser, H.; Mittag, G.; Muhl, C.; Mussgiller, A.; Nayak, A.; Ntomari, E.; Perrey, H.; Pitzl, D.; Schroeder, M.; Seitz, C.; Spannagel, S.; Zuber, A.; Biskop, H.; Blobel, V.; Buhmann, P.; Centis-Vignali, M.; Draeger, A.R.; Erfle, J.; Garutti, E.; Haller, J.; Henkel, Ch.; Hoffmann, M.; Junkes, A.; Klanner, R.; Lapsien, T.; Mattig, S.; Matysek, M.; Perieanu, A.; Poehlsen, J.; Poehlsen, T.; Scharf, Ch.; Schleper, P.; Schmidt, A.; Schuwalow, S.; Schwandt, J.; Sola, V.; Steinbruck, G.; Vormwald, B.; Wellhausen, J.; Barvich, T.; Barth, Ch.; Boegelspacher, F.; De Boer, W.; Butz, E.; Casele, M.; Colombo, F.; Dierlamm, A.; Eber, R.; Freund, B.; Hartmann, F.; Hauth, Th.; Heindl, S.; Hoffmann, K.H.; Husemann, U.; Kornmeyer, A.; Mallows, S.; Muller, Th.; Nuernberg, A.; Printz, M.; Simonis, H.J.; Steck, P.; Weber, M.; Weiler, Th.; Bhardwaj, A.; Kumar, A.; Ranjan, K.; Bakhshiansohl, H.; Behnamian, H.; Khakzad, M.; Naseri, M.; Cariola, P.; De Robertis, G.; Fiore, L.; Franco, M.; Loddo, F.; Sala, G.; Silvestris, L.; Creanza, D.; De Palma, M.; Maggi, G.; My, S.; Selvaggi, G.; Albergo, S.; Cappello, G.; Chiorboli, M.; Costa, S.; Giordano, F.; Di Mattia, A.; Potenza, R.; Saizu, M.A.; Tricomi, A.; Tuve, C.; Barbagli, G.; Brianzi, M.; Ciaranfi, R.; Civinini, C.; Gallo, E.; Meschini, M.; Paoletti, S.; Sguazzoni, G.; Ciulli, V.; D'Alessandro, R.; Gonzi, S.; Gori, V.; Focardi, E.; Lenzi, P.; Scarlini, E.; Tropiano, A.; Viliani, L.; Ferro, F.; Robutti, E.; Lo Vetere, M.; Gennai, S.; Malvezzi, S.; Menasce, D.; Moroni, L.; Pedrini, D.; Dinardo, M.; Fiorendi, S.; Manzoni, R.A.; Azzi, P.; Bacchetta, N.; Bisello, D.; Dall'Osso, M.; Dorigo, T.; Giubilato, P.; Pozzobon, N.; Tosi, M.; Zucchetta, A.; De Canio, F.; Gaioni, L.; Manghisoni, M.; Nodari, B.; Re, V.; Traversi, G.; Comotti, D.; Ratti, L.; Bilei, G.M.; Bissi, L.; Checcucci, B.; Magalotti, D.; Menichelli, M.; Saha, A.; Servoli, L.; Storchi, L.; Biasini, M.; Conti, E.; Ciangottini, D.; Fano, L.; Lariccia, P.; Mantovani, G.; Passeri, D.; Placidi, P.; Salvatore, M.; Santocchia, A.; Solestizi, L.A.; Spiezia, A.; Demaria, N.; Rivetti, A.; Bellan, R.; Casasso, S.; Costa, M.; Covarelli, R.; Migliore, E.; Monteil, E.; Musich, M.; Pacher, L.; Ravera, F.; Romero, A.; Solano, A.; Trapani, P.; Jaramillo Echeverria, R.; Fernandez, M.; Gomez, G.; Moya, D.; F. Gonzalez Sanchez, J.; Munoz Sanchez, F.J.; Vila, I.; Virto, A.L.; Abbaneo, D.; Ahmed, I.; Albert, E.; Auzinger, G.; Berruti, G.; Bianchi, G.; Blanchot, G.; Breuker, H.; Ceresa, D.; Christiansen, J.; Cichy, K.; Daguin, J.; D'Alfonso, M.; D'Auria, A.; Detraz, S.; De Visscher, S.; Deyrail, D.; Faccio, F.; Felici, D.; Frank, N.; Gill, K.; Giordano, D.; Harris, P.; Honma, A.; Kaplon, J.; Kornmayer, A.; Kortelainen, M.; Kottelat, L.; Kovacs, M.; Mannelli, M.; Marchioro, A.; Marconi, S.; Martina, S.; Mersi, S.; Michelis, S.; Moll, M.; Onnela, A.; Pakulski, T.; Pavis, S.; Peisert, A.; Pernot, J.F.; Petagna, P.; Petrucciani, G.; Postema, H.; Rose, P.; Rzonca, M.; Stoye, M.; Tropea, P.; Troska, J.; Tsirou, A.; Vasey, F.; Vichoudis, P.; Verlaat, B.; Zwalinski, L.; Bachmair, F.; Becker, R.; Bani, L.; di Calafiori, D.; Casal, B.; Djambazov, L.; Donega, M.; Dunser, M.; Eller, P.; Grab, C.; Hits, D.; Horisberger, U.; Hoss, J.; Kasieczka, G.; Lustermann, W.; Mangano, B.; Marionneau, M.; Martinez Ruiz del Arbol, P.; Masciovecchio, M.; Perrozzi, L.; Roeser, U.; Rossini, M.; Starodumov, A.; Takahashi, M.; Wallny, R.; Amsler, C.; Bosiger, K.; Caminada, L.; Canelli, F.; Chiochia, V.; De Cosa, A.; Galloni, C.; Hreus, T.; Kilminster, B.; Lange, C.; Maier, R.; Ngadiuba, J.; Pinna, D.; Robmann, P.; Taroni, S.; Yang, Y.; Bertl, W.; Deiters, K.; Erdmann, W.; Horisberger, R.; Kaestli, H.C.; Kotlinski, D.; Langenegger, U.; Meier, B.; Rohe, T.; Streuli, S.; Chen, P.H.; Dietz, C.; Grundler, U.; Hou, W.S.; Lu, R.S.; Moya, M.; Wilken, R.; Cussans, D.; Flacher, H.; Goldstein, J.; Grimes, M.; Jacob, J.; El Nasr-Storey, S.Seif; Cole, J.; Hobson, P.; Leggat, D.; Reid, I.D.; Teodorescu, L.; Bainbridge, R.; Dauncey, P.; Fulcher, J.; Hall, G.; Magnan, A.M.; Pesaresi, M.; Raymond, D.M.; Uchida, K.; Coughlan, J.A.; Harder, K.; Ilic, J.; Tomalin, I.R.; Garabedian, A.; Heintz, U.; Narain, M.; Nelson, J.; Sagir, S.; Speer, T.; Swanson, J.; Tersegno, D.; Watson-Daniels, J.; Chertok, M.; Conway, J.; Conway, R.; Flores, C.; Lander, R.; Pellett, D.; Ricci-Tam, F.; Squires, M.; Thomson, J.; Yohay, R.; Burt, K.; Ellison, J.; Hanson, G.; Malberti, M.; Olmedo, M.; Cerati, G.; Sharma, V.; Vartak, A.; Yagil, A.; Della Porta, G.Zevi; Dutta, V.; Gouskos, L.; Incandela, J.; Kyre, S.; McColl, N.; Mullin, S.; White, D.; Cumalat, J.P.; Ford, W.T.; Gaz, A.; Krohn, M.; Stenson, K.; Wagner, S.R.; Baldin, B.; Bolla, G.; Burkett, K.; Butler, J.; Cheung, H.; Chramowicz, J.; Christian, D.; Cooper, W.E.; Deptuch, G.; Derylo, G.; Gingu, C.; Gruenendahl, S.; Hasegawa, S.; Hoff, J.; Howell, J.; Hrycyk, M.; Jindariani, S.; Johnson, M.; Jung, A.; Joshi, U.; Kahlid, F.; Lei, C.M.; Lipton, R.; Liu, T.; Los, S.; Matulik, M.; Merkel, P.; Nahn, S.; Prosser, A.; Rivera, R.; Shenai, A.; Spiegel, L.; Tran, N.; Uplegger, L.; Voirin, E.; Yin, H.; Adams, M.R.; Berry, D.R.; Evdokimov, A.; Evdokimov, O.; Gerber, C.E.; Hofman, D.J.; Kapustka, B.K.; O'Brien, C.; Sandoval Gonzalez, D.I.; Trauger, H.; Turner, P.; Parashar, N.; Stupak, J., III; Bortoletto, D.; Bubna, M.; Hinton, N.; Jones, M.; Miller, D.H.; Shi, X.; Tan, P.; Baringer, P.; Bean, A.; Benelli, G.; Gray, J.; Majumder, D.; Noonan, D.; Sanders, S.; Stringer, R.; Ivanov, A.; Makouski, M.; Skhirtladze, N.; Taylor, R.; Anderson, I.; Fehling, D.; Gritsan, A.; Maksimovic, P.; Martin, C.; Nash, K.; Osherson, M.; Swartz, M.; Xiao, M.; Acosta, J.G.; Cremaldi, L.M.; Oliveros, S.; Perera, L.; Summers, D.; Bloom, K.; Bose, S.; Claes, D.R.; Dominguez, A.; Fangmeier, C.; Gonzalez Suarez, R.; Meier, F.; Monroy, J.; Hahn, K.; Sevova, S.; Sung, K.; Trovato, M.; Bartz, E.; Duggan, D.; Halkiadakis, E.; Lath, A.; Park, M.; Schnetzer, S.; Stone, R.; Walker, M.; Malik, S.; Mendez, H.; Ramirez Vargas, J.E.; Alyari, M.; Dolen, J.; George, J.; Godshalk, A.; Iashvili, I.; Kaisen, J.; Kharchilava, A.; Kumar, A.; Rappoccio, S.; Alexander, J.; Chaves, J.; Chu, J.; Dittmer, S.; Kaufman, G.; Mirman, N.; Ryd, A.; Salvati, E.; Skinnari, L.; Thom, J.; Thompson, J.; Tucker, J.; Winstrom, L.; Akgun, B.; Ecklund, K.M.; Nussbaum, T.; Zabel, J.; Betchart, B.; Demina, R.; Hindrichs, O.; Petrillo, G.; Eusebi, R.; Osipenkov, I.; Perloff, A.; Ulmer, K.A.; Delannoy, A.G.; D'Angelo, P.; Johns, W.

    2015-01-01

    The response of n+p silicon strip sensors to electrons from a Sr-90 source was measured using a multi-channel read-out system with 25 ns sampling time. The measurements were performed over a period of several weeks, during which the operating conditions were varied. The sensors were fabricated by Hamamatsu Photonics K.K. on 200 micrometer thick float-zone and magnetic-Czochralski silicon. Their pitch was 80 micrometer, and both p-stop and p-spray isolation of the n+ strips were studied. The electrons from the Sr-90 source were collimated to a spot with a full-width-at-half-maximum of 2 mm at the sensor surface, and the dose rate in the SiO2 at the maximum was about 50 Gy/d. After only a few hours of making measurements, significant changes in charge collection and charge sharing were observed. Annealing studies, with temperatures up to 80{\\deg}C and annealing times of 18 hours, showed that the changes can only be partially annealed. The observations can be qualitatively explained by the increase of the positi...

  2. In Situ Determination of Thermal Profiles during Czochralski Silicon Crystal Growth by an Eddy Current Technique.

    Science.gov (United States)

    Choe, Kwang Su.

    An eddy current testing method was developed to continuously monitor crystal growth process and determine thermal profiles in situ during Czochralski silicon crystal growth. The work was motivated by the need to improve the quality of the crystal by controlling thermal gradients and annealing history over the growth cycle. The experimental concept is to monitor intrinsic electrical conductivities of the growing crystal and deduce temperature values from them. The experiments were performed in a resistance-heated Czochralski puller with a 203 mm (8 inch) diameter crucible containing 6.5 kg melt. The silicon crystals being grown were about 80 mm in diameter and monitored by an encircling sensor operating at three different test frequencies (86, 53 and 19 kHz). A one-dimensional analytical solution was employed to translate the detected signals into electrical conductivities. In terms of experiments, the effects of changes in growth condition, which is defined by crystal and crucible rotation rates, crucible position, pull rate, and hot-zone configuration, were investigated. Under a given steady-state condition, the thermal profile was usually stable over the entire length of crystal growth. The profile shifted significantly, however, when the crucible rotation rate was kept too high. As a direct evidence to the effects of melt flow on heat transfer process, a thermal gradient minimum was observed about the crystal/crucible rotation combination of 20/-10 rpm cw. The thermal gradient reduction was still most pronounced when the pull rate or the radiant heat loss to the environment was decreased: a nearly flat axial thermal gradient was achieved when either the pull rate was halved or the height of the exposed crucible wall was effectively doubled. Under these conditions, the average axial thermal gradient along the surface of the crystal was about 4-5 ^{rm o}C/mm. Regardless of growth condition, the three-frequency data revealed radial thermal gradients much larger

  3. P-stop isolation study of irradiated n-in-p type silicon strip sensors for harsh radiation environment

    CERN Document Server

    AUTHOR|(CDS)2084505

    2015-01-01

    In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to $1.5\\times10^{15} n_{eq}/cm^{2}$ corresponding to $3000 fb^{-1}$ after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20~cm${<}R{<}$110~cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolatio...

  4. Infrared studies of defects formed during postirradiation anneals of Czochralski silicon

    Science.gov (United States)

    Londos, C. A.; Sarlis, N. V.; Fytros, L. G.

    1998-10-01

    This article reports on defect studies of neutron-irradiated Czochralski-grown silicon (Cz-Si) material by means of infrared spectroscopy. In particular, the investigation was focused on the evolution of the 828 cm-1 well-known band of A-center, due to isochronal anneals from room temperature (RT) up to ≈700 °C. The strength of the VO band begins to increase above ≈200 gradually up to 300 °C (stage I); then, it begins to decrease up to ≈400 °C (stage II), where upon it stabilizes up to ≈550 °C (stage III). Upon re-irradiation under exactly the same conditions and repeating the annealing process, the increase of the VO signal in stage I disappears. The phenomenon is ascribed to the existence of defect aggregates labeled as Xi centers which are correlated with (impurity-defect) clusters that compete with Oi in capturing vacancies. The presence of Xi centers is related to the thermal annealings performed. Comparison of the evolution of VO (828 cm-1) and VO2 (887 cm-1) bands between irradiated and re-irradiated materials, during stage II, is made and the results are discussed in the framework of established reaction patterns. The stabilization of the amplitude of the 828 cm-1 line in stage III is examined. The prevailing aspect is that a portion of A-centers in neutron-irradiated Si acquires larger thermal stability by relaxing in the vicinity of larger defects.

  5. Effect of Current Density on Thermal and Optical Properties of p-Type Porous Silicon

    International Nuclear Information System (INIS)

    Kasra Behzad; Wan Mahmood Mat Yunus; Zainal Abidin Talib; Azmi Zakaria; Afarin Bahrami

    2011-01-01

    The different parameters of the porous silicon (PSi) can be tuned by changing some parameters in preparation process. We have chosen the anodization as formation method, so the related parameters should be changed. In this study the porous silicon (PSi) layers were formed on p-type Si wafer. The samples were anodized electrically in a fixed etching time under some different current densities. The structural and optical properties of porous silicon (PSi) on silicon (Si) substrates were investigated using photoluminescence (PL) and Photoacoustic Spectroscopy (PAS). (author)

  6. p-type doping by platinum diffusion in low phosphorus doped silicon

    Science.gov (United States)

    Ventura, L.; Pichaud, B.; Vervisch, W.; Lanois, F.

    2003-07-01

    In this work we show that the cooling rate following a platinum diffusion strongly influences the electrical conductivity in weakly phosphorus doped silicon. Diffusions were performed at the temperature of 910 °C in the range of 8 32 hours in 0.6, 30, and 60 Ωrm cm phosphorus doped silicon samples. Spreading resistance profile analyses clearly show an n-type to p-type conversion under the surface when samples are cooled slowly. On the other hand, a compensation of the phosphorus donors can only be observed when samples are quenched. One Pt related acceptor deep level at 0.43 eV from the valence band is assumed to be at the origin of the type conversion mechanism. Its concentration increases by lowering the applied cooling rate. A complex formation with fast species such as interstitial Pt atoms or intrinsic point defects is expected. In 0.6 Ωrm cm phosphorus doped silicon, no acceptor deep level in the lower band gap is detected by DLTS measurement. This removes the opportunity of a pairing between phosphorus and platinum and suggests the possibility of a Fermi level controlled complex formation.

  7. Effect of tin doping on oxygen- and carbon-related defects in Czochralski silicon

    International Nuclear Information System (INIS)

    Chroneos, A.; Londos, C. A.; Sgourou, E. N.

    2011-01-01

    Experimental and theoretical techniques are used to investigate the impact of tin doping on the formation and the thermal stability of oxygen- and carbon-related defects in electron-irradiated Czochralski silicon. The results verify previous reports that Sn doping reduces the formation of the VO defect and suppresses its conversion to the VO 2 defect. Within experimental accuracy, a small delay in the growth of the VO 2 defect is observed. Regarding carbon-related defects, it is determined that Sn doping leads to a reduction in the formation of the C i O i , C i C s , and C i O i (Si I ) defects although an increase in their thermal stability is observed. The impact of strain induced in the lattice by the larger tin substitutional atoms, as well as their association with intrinsic defects and carbon impurities, can be considered as an explanation to account for the above observations. The density functional theory calculations are used to study the interaction of tin with lattice vacancies and oxygen- and carbon-related clusters. Both experimental and theoretical results demonstrate that tin co-doping is an efficient defect engineering strategy to suppress detrimental effects because of the presence of oxygen- and carbon-related defect clusters in devices.

  8. The fluid flow of Czochralski melt under the electromagnetic field

    OpenAIRE

    加藤, 拓哉; 二條久保, 裕; 岩本, 光生; 齋藤, 晋一; 赤松, 正人; 尾添, 紘之; Takuya, Katoh; Yuu, Nijoukubo; Mitsuo, Iwamoto; Shinichi, Saitoh; Masato, Akamatsu; Hiroyuki, Ozoe; 大分大院; 大分大工; 大分大工

    2009-01-01

    The silicon single crystal is use for the semiconductor device and it is mainly manufactured by the Czochralski crystal growing method. Under the Cz method, the forced convection and natural convection caused by the crystal rotation and the temperature difference between the crystal and crucible. In traditional system, the melt convection is controlled by the heater power, the crystal and crucible rotation. We apply Lorentz force to control the melt convection in this study, the Lorentz force...

  9. Photovoltaic characteristics of porous silicon /(n+ - p) silicon solar cells

    International Nuclear Information System (INIS)

    Dzhafarov, T.D.; Aslanov, S.S.; Ragimov, S.H.; Sadigov, M.S.; Nabiyeva, A.F.; Yuksel, Aydin S.

    2012-01-01

    Full text : The purpose of this work is to improve the photovoltaic parameters of the screen-printed silicon solar cells by formation the nano-porous silicon film on the frontal surface of the cell. The photovoltaic characteristics of two type silicon solar cells with and without porous silicon layer were measured and compared. A remarkable increment of short-circuit current density and the efficiency by 48 percent and 20 percent, respectively, have been achieved for PS/(n + - pSi) solar cell comparing to (n + - p)Si solar cell without PS layer

  10. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  11. Electrical property studies of oxygen in Czochralski-grown neutron-transmutation-doped silicon

    International Nuclear Information System (INIS)

    Cleland, J.W.; Fukuoka, N.

    1980-10-01

    Electically active oxygen-related donors can be formed in Czochralski (Cz) Si either during crystal growth or during subsequent heat treatment; conventional n- or p-type dopant carrier concentrations are altered if these oxygen donors are present. Neutron transmutation doping (NTD) has been used to introduce a uniform concentration of 31 P in Si. However, oxygen donors can also be formed in NTD Cz Si during the process of annealing to remove NTD radiation damage. In the present experiments, the carrier concentration of Cz and NTD Cz Si samples was determined as a function of the initial dopant, oxygen, and 31 P concentration before and after isothermal or isochronal annealing. It is shown that low temperature (350 to 500 0 C) heat treatment can introduce a significant oxygen donor concentration in Cz Si and in NTD Cz Si that contains radiation-induced lattice defects. Intermediate temperature (550 to 750 0 C) heat treatment, which is intended to remove oxygen donors or lattice defects, can introduce other oxygen donors; annealing above 750 0 C is required to remove any of these oxygen donors. Extended (20 h) high-temperature (1000 to 1200 0 C) annealing can remove oxygen donors and lattice defects, but a significant concentration of oxygen donors can still be introduced by subsequent low temperature heat treatment. These results suggest that oxygen-related donor formation in NTD Cz Si at temperatures below 750 0 C may serve to mask any annealing study of lattice defects. It is concluded that annealing for 30 min at 750 0 C is sufficient to remove radiation damage in NTD Cz Si when the separate effects of oxygen donor formation are included

  12. Irradiation and annealing of p-type silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Lebedev, Alexander A.; Bogdanova, Elena V.; Grigor' eva, Maria V.; Lebedev, Sergey P. [A.F. Ioffe Physical-Technical Institute, St. Petersburg, 194021 (Russian Federation); Kozlovski, Vitaly V. [St. Petersburg State Polytechnic University, St. Petersburg, 195251 (Russian Federation)

    2014-02-21

    The development of the technology of semiconductor devices based on silicon carbide and the beginning of their industrial manufacture have made increasingly topical studies of the radiation hardness of this material on the one hand and of the proton irradiation to form high-receptivity regions on the other hand. This paper reports on a study of the carrier removal rate (V{sub d}) in p-6H-SiC under irradiation with 8 MeV protons and of the conductivity restoration in radiation- compensated epitaxial layers of various p-type silicon carbide polytypes. V{sub d} was determined by analysis of capacitance-voltage characteristics and from results of Hall effect measurements. It was found that the complete compensation of samples with the initial value of Na - Nd ≈ 1.5 × 10{sup 18} cm{sup −3} occurs at an irradiation dose of ∼1.1 × 10{sup 16} cm{sup −2}. It is shown that specific features of the sublimation layer SiC (compared to CVD layers) are clearly manifested upon the gamma and electron irradiation and are hardly noticeable under the proton and neutron irradiation. It was also found that the radiation-induced compensation of SiC is retained after its annealing at ≤1000°C. The conductivity is almost completely restored at T ≥ 1200°C. This character of annealing of the radiation compensation is independent of a silicon carbide polytype and the starting doping level of the epitaxial layer. The complete annealing temperatures considerably exceed the working temperatures of SiC-based devices. It is shown that the radiation compensation is a promising method in the technology of high-temperature devices based on SiC.

  13. Control of heat transfer in continuous-feeding Czochralski-silicon crystal growth with a water-cooled jacket

    Science.gov (United States)

    Zhao, Wenhan; Liu, Lijun

    2017-01-01

    The continuous-feeding Czochralski method is an effective method to reduce the cost of single crystal silicon. By promoting the crystal growth rate, the cost can be reduced further. However, more latent heat will be released at the melt-crystal interface under a high crystal growth rate. In this study, a water-cooled jacket was applied to enhance the heat transfer at the melt-crystal interface. Quasi-steady-state numerical calculation was employed to investigate the impact of the water-cooled jacket on the heat transfer at the melt-crystal interface. Latent heat released during the crystal growth process at the melt-crystal interface and absorbed during feedstock melting at the feeding zone was modeled in the simulations. The results show that, by using the water-cooled jacket, heat transfer in the growing crystal is enhanced significantly. Melt-crystal interface deflection and thermal stress increase simultaneously due to the increase of radial temperature at the melt-crystal interface. With a modified heat shield design, heat transfer at the melt-crystal interface is well controlled. The crystal growth rate can be increased by 20%.

  14. Impact of interstitial iron on the study of meta-stable B-O defects in Czochralski silicon: Further evidence of a single defect

    Science.gov (United States)

    Kim, Moonyong; Chen, Daniel; Abbott, Malcolm; Nampalli, Nitin; Wenham, Stuart; Stefani, Bruno; Hallam, Brett

    2018-04-01

    We explore the influence of interstitial iron (Fei) on lifetime spectroscopy of boron-oxygen (B-O) related degradation in p-type Czochralski silicon. Theoretical and experimental evidence presented in this study indicate that iron-boron pair (Fe-B) related reactions could have influenced several key experimental results used to derive theories on the fundamental properties of the B-O defect. Firstly, the presence of Fei can account for higher apparent capture cross-section ratios (k) of approximately 100 observed in previous studies during early stages of B-O related degradation. Secondly, the association of Fe-B pairs can explain the initial stage of a two-stage recovery of carrier lifetime with dark annealing after partial degradation. Thirdly, Fei can result in high apparent k values after the permanent deactivation of B-O defects. Subsequently, we show that a single k value can describe the recombination properties associated with B-O defects throughout degradation, that the recovery during dark annealing occurs with a single-stage, and both the fast- and slow-stage B-O related degradation can be permanently deactivated during illuminated annealing. Accounting for the recombination activity of Fei provides further evidence that the B-O defect is a single defect, rather than two separate defects normally attributed to fast-forming recombination centers and slow-forming recombination centers. Implications of this finding for the nature of the B-O defect are also discussed.

  15. Full-scale experiments on solid-pellets feed continuous Czochralski growth of silicon crystals

    Science.gov (United States)

    Anselmo, A.; Koziol, J.; Prasad, V.

    1996-06-01

    Two long-term solid-pellets feed continuous Czochralski growth experiments were performed in an industrial Czochralski crystal puller as an extension to our previous work [7]. The goals of these experiments were to examine how polysilicon pellets would melt in a standard Cz system, to discover the thermal effects the pellets would have on the overall melt, and to find if pellet addition could be an effective melt replenishment technique. These experiments demonstrate that the quality of the melt for the CCz growth is based heavily on the surface temperature of the melt. A novel characterization method ("impact severity") is developed to characterize the quality of the CCz melt. Stable feed rate and melt conditions were achieved for three different pull rates. These experiments demonstrate that the process is technically feasible, and can be retrofitted to the existing industrial systems. Several critical issues that need to be addressed to develop a successful CCz process are also discussed.

  16. Oxygen-related 1-platinum defects in silicon: An electron paramagnetic resonance study

    Science.gov (United States)

    Juda, U.; Scheerer, O.; Höhne, M.; Riemann, H.; Schilling, H.-J.; Donecker, J.; Gerhardt, A.

    1996-09-01

    A monoclinic 1-platinum defect recently detected was investigated more thoroughly by electron paramagnetic resonance (EPR). The defect is one of the dominating defects in platinum doped silicon. With a perfect reproducibility it is observed in samples prepared from n-type silicon as well as from p-type silicon, in float zone (FZ) silicon as well as in Czochralski (Cz) silicon. Its concentration varies with the conditions of preparation and nearly reaches that of isolated substitutional platinum in Cz silicon annealed for 2 h at 540 °C after quenching from the temperature of platinum diffusion. Because of its concentration which in Cz-Si exceeds that in FZ-Si the defect is assumed to be oxygen-related though a hyperfine structure with 17O could not be resolved. The defect causes a level close to the valence band. This is concluded from variations of the Fermi level and from a discussion of the spin Hamiltonian parameters. In photo-EPR experiments the defect is coupled to recently detected acceptorlike self-interstitial related defects (SIRDs); their level position turns out to be near-midgap. These defects belong to the lifetime limiting defects in Pt-doped Si.

  17. P type porous silicon resistivity and carrier transport

    International Nuclear Information System (INIS)

    Ménard, S.; Fèvre, A.; Billoué, J.; Gautier, G.

    2015-01-01

    The resistivity of p type porous silicon (PS) is reported on a wide range of PS physical properties. Al/PS/Si/Al structures were used and a rigorous experimental protocol was followed. The PS porosity (P % ) was found to be the major contributor to the PS resistivity (ρ PS ). ρ PS increases exponentially with P % . Values of ρ PS as high as 1 × 10 9 Ω cm at room temperature were obtained once P % exceeds 60%. ρ PS was found to be thermally activated, in particular, when the temperature increases from 30 to 200 °C, a decrease of three decades is observed on ρ PS . Based on these results, it was also possible to deduce the carrier transport mechanisms in PS. For P % lower than 45%, the conduction occurs through band tails and deep levels in the tissue surrounding the crystallites. When P % overpasses 45%, electrons at energy levels close to the Fermi level allow a hopping conduction from crystallite to crystallite to appear. This study confirms the potential of PS as an insulating material for applications such as power electronic devices

  18. First results on the charge collection properties of segmented detectors made with p-type bulk silicon

    International Nuclear Information System (INIS)

    Casse, G.; Allport, P.P.; Bowcock, T.J.V.; Greenall, A.; Hanlon, M.; Jackson, J.N.

    2002-01-01

    Radiation damage of n-type bulk detectors introduces stable defects acting as effective p-type doping and leads to the change of the conductivity type of the silicon substrate (type inversion) after a fluence of a few times 10 13 protons cm -2 . The diode junction after inversion migrates from the original side to the back plane of the detector. The migration of the junction can be prevented using silicon detectors with p-type substrates. Furthermore, the use of n-side readout gives higher charge collection efficiency for segmented devices operated below the full depletion voltage. Large area (∼6.4x6.4 cm 2 ) capacitively coupled 80 μm pitch detectors using polysilicon bias resistors have been fabricated on p-type substrates (n-in-p diode structure). These detectors have been irradiated with 24 GeV/c protons to an integrated fluence of 3x10 14 cm -2 and kept for 7 days at 25 deg. C to reach the broad minimum of the annealing curve. Results are presented on the comparison of their charge collection properties with detectors using p-strip read-out after corresponding dose and annealing

  19. FY 1977 Annual report on Sunshine Project results. Research and development of photovoltaic power generation systems (Research and development of particle nonacceleration growth type silicon thin-film crystals); 1977 nendo taiyoko hatsuden system no kenkyu kaihatsu seika hokokusho. Ryushi hikasoku seichogata silicon usumaku kessho no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1978-03-01

    As part of the research and development project for producing photovoltaic power generation systems at reduced cost, the R and D efforts are made for producing particle nonacceleration growth type silicon thin-film crystals. The research items are (1) research on thin-film crystals, and (2) research on cell-structuring method. The item (1) studies quantities, types and electrical properties of impurities and crystal defects in the polycrystalline ingots, produced by the Czochralski method from metal grade silicon and purified metal grade silicon stocks. Next, the substrate prepared above is coated with a thin film of silicon by the vapor-phase growth method with dichlorosilane as the source, to evaluate the thin-film crystals by measuring the crystal defects and lifetime of small numbers of carriers. The item (2) studies the effects of the solder dipping method. In addition, unevenness of photoelectric current is analyzed by a laser scanning microscope, to investigate the effects of the secondary impurities and crystal defects in the substrate crystals on photoelectric current. As a result, it is found that conversion efficiency is improved by grading the hole concentration in the p-type activated layer. The targets of 10 to 20 m{sup 2} as the area and 7 to 8% as the conversion efficiency are attained by preparing the crystals again. (NEDO)

  20. Surface chemistry of a hydrogenated mesoporous p-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Media, El-Mahdi, E-mail: belhadidz@tahoo.fr; Outemzabet, Ratiba, E-mail: oratiba@hotmail.com

    2017-02-15

    Highlights: • Due to its large specific surface porous silicon is used as substrate for drug therapy and biosensors. • We highlight the evidency of the contribution of the hydrides (SiHx) in the formation of the porous silicon. • The responsible species in the porous silicon formation are identified and quantified at different conditions. • By some chemical treatments we show that silicon surface can be turn from hydrophobic to hydrophilic. - Abstract: The finality of this work is devoted to the grafting of organic molecules on hydrogen passivated mesoporous silicon surfaces. The study would aid in the development for the formation of organic monolayers on silicon surface to be exploited for different applications such as the realisation of biosensors and medical devices. The basic material is silicon which has been first investigated by FTIR at atomistic plane during the anodic forward and backward polarization (i.e. “go” and “return”). For this study, we applied a numerical program based on least squares method to infrared absorbance spectra obtained by an in situ attenuated total reflection on p-type silicon in diluted HF electrolyte. Our numerical treatment is based on the fitting of the different bands of IR absorbance into Gaussians corresponding to the different modes of vibration of molecular groups such as siloxanes and hydrides. An adjustment of these absorbance bands is done systematically. The areas under the fitted bands permit one to follow the intensity of the different modes of vibration that exist during the anodic forward and backward polarization in order to compare the reversibility of the phenomenon of the anodic dissolution of silicon. It permits also to follow the evolution between the hydrogen silicon termination at forward and backward scanning applied potential. Finally a comparison between the states of the initial and final surface was carried out. We confirm the presence of clearly four and three distinct vibration modes

  1. Role of crucible partition in improving Czochralski melt conditions

    Science.gov (United States)

    Jafri, I. H.; Prasad, V.; Anselmo, A. P.; Gupta, K. P.

    1995-09-01

    Many of the inhomogeneities and defects in the crystal grown from a pool of melt are because of the inherent unsteady growth kinetics and flow instabilities of the process. A scaled up version of the Czochralski process induces oscillatory and turbulent conditions in the melt, thereby resulting in the production of non-uniform silicon crystals. This numerical study reveals that a crucible partition shorter than the melt height can significantly improve the melt conditions. The obstruction at the bottom of the crucible is helpful but the variations in heat flux and flow patterns remain random. However, when the obstruction is introduced at the top of the melt, the flow conditions become much more desirable and oscillations are greatly suppressed. It is also found that a full-melt height partition or a double-crucible may not be a good choice. An optimal size of the blockage and its location to produce the most desirable process conditions will depend on the growth parameters including the melt height and the crucible diameter. These findings should be particularly useful in designing a solid polysilicon pellets-feed continuous Czochralski process for Si crystals.

  2. Solidification interface shape control in a continuous Czochralski silicon growth system

    Science.gov (United States)

    Wang, Chenlei; Zhang, Hui; Wang, Tihu; Zheng, Lili

    2006-01-01

    In a continuous Czochralski (CCZ) growth system with a shallow and replenished melt proposed earlier, large-diameter crystals may be grown at a high pull rate and reduced melt convection. The proposed system consists of two heaters. In this paper, the relationship between the solidification interface and the power levels is established. An interface control algorithm is developed to achieve the desired interface shape by adjusting the power level of the bottom heater. The control algorithm is incorporated into an existing process model, and the efficiency of the control algorithm is tested.

  3. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  4. Variation of minority charge carrier lifetime in high-resistance p-type silicon under irradiation

    International Nuclear Information System (INIS)

    Basheleishvili, Z.V.; Garnyk, V.S.; Gorin, S.N.; Pagava, T.A.

    1984-01-01

    The minority carrier lifetime (tau) variation was studied in the process of p-type silicon bombardment with fast 8 MeV electrons. The irradiation and all measurements were carried out at room temperature. The tau quantity was measured by the photoconductivity attenuation method at a low injection level 20% measurement error; the resistivity was measured by the four-probe method (10% error). The resistivity and minority charge carrier lifetime tau are shown to increase with the exposure dose. It is supposed that as radiation dose increases, the rearrangement of the centres responsible for reducing the lifetime occurs and results in a tau increase in the material being irradiated, however the tau value observed in the original samples is not attained. The restoration of the minority carrier lifetime in p-type high-resistance silicon with a growing exposure dose might proceed due to reduction in the free carrier concentration

  5. Silicon Solar Cell Process Development, Fabrication and Analysis, Phase 1

    Science.gov (United States)

    Yoo, H. I.; Iles, P. A.; Tanner, D. P.

    1979-01-01

    Solar cells from RTR ribbons, EFG (RF and RH) ribbons, dendritic webs, Silso wafers, cast silicon by HEM, silicon on ceramic, and continuous Czochralski ingots were fabricated using a standard process typical of those used currently in the silicon solar cell industry. Back surface field (BSF) processing and other process modifications were included to give preliminary indications of possible improved performance. The parameters measured included open circuit voltage, short circuit current, curve fill factor, and conversion efficiency (all taken under AM0 illumination). Also measured for typical cells were spectral response, dark I-V characteristics, minority carrier diffusion length, and photoresponse by fine light spot scanning. the results were compared to the properties of cells made from conventional single crystalline Czochralski silicon with an emphasis on statistical evaluation. Limited efforts were made to identify growth defects which will influence solar cell performance.

  6. Resistivity distribution of silicon single crystals using codoping

    Science.gov (United States)

    Wang, Jong Hoe

    2005-07-01

    Numerous studies including continuous Czochralski method and double crucible technique have been reported on the control of macroscopic axial resistivity distribution in bulk crystal growth. The simple codoping method for improving the productivity of silicon single-crystal growth by controlling axial specific resistivity distribution was proposed by Wang [Jpn. J. Appl. Phys. 43 (2004) 4079]. Wang [J. Crystal Growth 275 (2005) e73] demonstrated using numerical analysis and by experimental results that the axial specific resistivity distribution can be modified in melt growth of silicon crystals and relatively uniform profile is possible by B-P codoping method. In this work, the basic characteristic of 8 in silicon single crystal grown using codoping method is studied and whether proposed method has advantage for the silicon crystal growth is discussed.

  7. Effects of growth conditions on thermal profiles during Czochralski silicon crystal growth

    Science.gov (United States)

    Choe, Kwang Su; Stefani, Jerry A.; Dettling, Theodore B.; Tien, John K.; Wallace, John P.

    1991-01-01

    An eddy current testing method was used to continuously monitor crystal growth process and investigate the effects of growth conditions on thermal profiles during Czochralski silicon crystal growth. The experimental concept was to monitor the intrinsic electrical conductivities of the growing crystal and deduce temperature values from them. In terms of the experiments, the effects of changes in growth parameters, which include the crystal and crucible rotation rates, crucible position, and pull rate, and hot-zone geometries were investigated. The results show that the crystal thermal profile could shift significantly as a function of crystal length if the closed-loop control fails to maintain a constant thermal condition. As a direct evidence to the effects of the melt flow on heat transfer processes, a thermal gradient minimum was observed when the crystal/crucible rotation combination was 20/-10 rpm cw. The thermal gradients in the crystal near the growth interface were reduced most by decreasing the pull rate or by reducing the radiant heat loss to the environment; a nearly constant axial thermal gradient was achieved when either the pull rate was decreased by half, the height of the exposed crucible wall was doubled, or a radiation shield was placed around the crystal. Under these conditions, the average axial thermal gradient along the surface of the crystal was about 4-5°C/mm. When compared to theoretical results found in literature, the axial profiles correlated well with the results of the models which included radiant interactions. However, the radial gradients estimated from three-frequency data were much higher than what were predicted by known theoretical models. This discrepancy seems to indicate that optical phenomenon within the crystal is significant and should be included in theoretical modeling.

  8. TSC measurements on proton-irradiated p-type Si-sensors

    Energy Technology Data Exchange (ETDEWEB)

    Donegani, Elena; Fretwurst, Eckhart; Garutti, Erika; Junkes, Alexandra [University of Hamburg (Germany)

    2016-07-01

    Thin n{sup +}p Si sensors are potential candidates for coping with neutron equivalent fluences up to 2.10{sup 16} n{sub eq}/cm{sup 2} and an ionizing dose in the order of a few MGy, which are expected e.g. for the HL-LHC upgrade. The aim of the present work is to provide experimental data on radiation-induced defects in order to: firstly, get a deeper understanding of the properties of hadron induced defects, and secondly develop a radiation damage model based on microscopic measurements. Therefore, the outcomes of Thermally Stimulated Current measurements on 200 μm thick Float-Zone (FZ) and Magnetic Czochralski (MCz) diodes will be shown, as a results of irradiation with 23 MeV protons and isothermal annealing. The samples were irradiated in the fluence range (0.3-1).10{sup 14} n{sub eq}/cm{sup 2}, so that the maximal temperature at which the TSC signal is still sharply distinguishable from the dark current is 200 K. In particular, special focus will be given to the defect introduction rate and to the issue of boron removal in p-type silicon. Annealing studies allow to distinguish which defects mainly contribute to the leakage current and which to the space charge, and thus correlate microscopic defects properties with macroscopic sensor properties.

  9. Investigation on the structural characterization of pulsed p-type porous silicon

    Science.gov (United States)

    Wahab, N. H. Abd; Rahim, A. F. Abd; Mahmood, A.; Yusof, Y.

    2017-08-01

    P-type Porous silicon (PS) was sucessfully formed by using an electrochemical pulse etching (PC) and conventional direct current (DC) etching techniques. The PS was etched in the Hydrofluoric (HF) based solution at a current density of J = 10 mA/cm2 for 30 minutes from a crystalline silicon wafer with (100) orientation. For the PC process, the current was supplied through a pulse generator with 14 ms cycle time (T) with 10 ms on time (Ton) and pause time (Toff) of 4 ms respectively. FESEM, EDX, AFM, and XRD have been used to characterize the morphological properties of the PS. FESEM images showed that pulse PS (PPC) sample produces more uniform circular structures with estimated average pore sizes of 42.14 nm compared to DC porous (PDC) sample with estimated average size of 16.37nm respectively. The EDX spectrum for both samples showed higher Si content with minimal presence of oxide.

  10. Silicon nanowire structures as high-sensitive pH-sensors

    International Nuclear Information System (INIS)

    Belostotskaya, S O; Chuyko, O V; Kuznetsov, A E; Kuznetsov, E V; Rybachek, E N

    2012-01-01

    Sensitive elements for pH-sensors created on silicon nanostructures were researched. Silicon nanostructures have been used as ion-sensitive field effect transistor (ISFET) for the measurement of solution pH. Silicon nanostructures have been fabricated by 'top-down' approach and have been studied as pH sensitive elements. Nanowires have the higher sensitivity. It was shown, that sensitive element, which is made of 'one-dimensional' silicon nanostructure have bigger pH-sensitivity as compared with 'two-dimensional' structure. Integrated element formed from two p- and n-type nanowire ISFET ('inverter') can be used as high sensitivity sensor for local relative change [H+] concentration in very small volume.

  11. Hydrogen interaction with radiation defects in p-type silicon

    CERN Document Server

    Feklisova, O V; Yakimov, E B; Weber, J

    2001-01-01

    Hydrogen interaction with radiation defects in p-type silicon has been investigated by deep-level non-stationary spectroscopy. Hydrogen is introduced into the high-energy electron-irradiated crystals under chemical etching in acid solutions at room temperature followed by the reverse-bias annealing at 380 K. It is observed that passivation of the irradiation-induced defects is accompanied by formation of novel electrically active defects with hydrogen-related profiles. Effect of hydrogen on the electrical activity of the C sub s C sub i complexes is shown for the first time. Based on the spatial distribution and passivation kinetics, possible nature of the novel complexes is analyzed. The radii for hydrogen capture by vacancies, K-centers, C sub s C sub i centers and the novel complexes are determined

  12. Impact of low-dose electron irradiation on n{sup +}p silicon strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2015-12-11

    The response of n{sup +}p silicon strip sensors to electrons from a {sup 90}Sr source was measured using a multi-channel read-out system with 25 ns sampling time. The measurements were performed over a period of several weeks, during which the operating conditions were varied. The sensors were fabricated by Hamamatsu Photonics on 200 μm thick float-zone and magnetic-Czochralski silicon. Their pitch was 80 μm, and both p-stop and p-spray isolation of the n{sup +} strips were studied. The electrons from the {sup 90}Sr source were collimated to a spot with a full-width-at-half-maximum of 2 mm at the sensor surface, and the dose rate in the SiO{sub 2} at the maximum was about 50 Gy(SiO{sub 2})/d. After only a few hours of making measurements, significant changes in charge collection and charge sharing were observed. Annealing studies, with temperatures up to 80 °C and annealing times of 18 h showed that the changes can only be partially annealed. The observations can be qualitatively explained by the increase of the positive oxide-charge density due to the ionization of the SiO{sub 2} by the radiation from the β source. TCAD simulations of the electric field in the sensor for different oxide-charge densities and different boundary conditions at the sensor surface support this explanation. The relevance of the measurements for the design of n{sup +}p strip sensors is discussed.

  13. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  14. Spin-dependent recombination involving oxygen-vacancy complexes in silicon

    OpenAIRE

    Franke, David P.; Hoehne, Felix; Vlasenko, Leonid S.; Itoh, Kohei M.; Brandt, Martin S.

    2014-01-01

    Spin-dependent relaxation and recombination processes in $\\gamma$-irradiated $n$-type Czochralski-grown silicon are studied using continuous wave (cw) and pulsed electrically detected magnetic resonance (EDMR). Two processes involving the SL1 center, the neutral excited triplet state of the oxygen-vacancy complex, are observed which can be separated by their different dynamics. One of the processes is the relaxation of the excited SL1 state to the ground state of the oxygen-vacancy complex, t...

  15. Highly conducting p-type nanocrystalline silicon thin films preparation without additional hydrogen dilution

    Science.gov (United States)

    Patra, Chandralina; Das, Debajyoti

    2018-04-01

    Boron doped nanocrystalline silicon thin film has been successfully prepared at a low substrate temperature (250 °C) in planar inductively coupled RF (13.56 MHz) plasma CVD, without any additional hydrogen dilution. The effect of B2H6 flow rate on structural and electrical properties of the films has been studied. The p-type nc-Si:H films prepared at 5 ≤ B2H6 (sccm) ≤ 20 retains considerable amount of nanocrystallites (˜80 %) with high conductivity ˜101 S cm-1 and dominant crystallographic orientation which has been correlated with the associated increased ultra- nanocrystalline component in the network. Such properties together make the material significantly effective for utilization as p-type emitter layer in heterojunction nc-Si solar cells.

  16. Influence of γ- radiation on the recombination properties of P-type nickel doped silicon

    International Nuclear Information System (INIS)

    Kurbanov, A.O.; Karimov, M.

    2006-01-01

    Full text: It is well known that the life-time of the charge carriers is most sensitive parameter of the semiconductors. The results of numerous investigations show that by irradiation of the multi-crystal silicon with high-energy particles (electrons, protons, γ-quanta) the life-time of the minor charge carriers appreciably decreases. Ones think that the reason of such effect is the generation of the recombination radiation defects by irradiation. In this connection in this work the investigation of the nickel doped silicon with various post-diffusion cooling is performed. As an initial material the p - Si with ∼ 10 Ohm·cm specific resistance was used. The dislocation density is taken to be ∼10 4 cm -2 . Doping of silicon by nickel carried out in the temperature range of 1050-1150 degree C with succeeding I and II type cooling. The life-time of the charge carriers was determined using the stationary photoconductivity method. It is discovered that the life-time of the charge carriers in p-Si is longer than that in the control silicon as well as τ slightly increases by increasing of the nickel's atoms concentration (in these samples the acceptor centers concentration changes in the range of 1.5·10 14 - 3.5·10 14 cm -3 ). This effect is explained on a basis of investigations of the photoconductivity relaxation kinetics (at 70 K) by the capture of the charge carriers to the sticking level. It is revealed that the relative life-time changing is appreciably various one from other in I and II type samples. In the rapid cooled samples τ more stable than slow cooled samples. In the rapid cooled samples more stable than slow cooled samples up to doze ∼2.5·10 8 R. (author)

  17. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  18. Numerical simulation of the oxygen concentration distribution in silicon melt for different crystal lengths during Czochralski growth with a transverse magnetic field

    Science.gov (United States)

    Chen, Jyh-Chen; Chiang, Pei-Yi; Nguyen, Thi Hoai Thu; Hu, Chieh; Chen, Chun-Hung; Liu, Chien-Cheng

    2016-10-01

    A three-dimensional simulation model is used to study the oxygen concentration distribution in silicon crystal during the Czochralski growth process under a transverse uniform magnetic field. The flow, temperature, and oxygen concentration distributions inside the furnace are calculated for different crystal lengths. There is significant variation in the flow structure in the melt with the growth length. The results show that in the initial stages, there is a decrease in the oxygen concentration at the crystal-melt interface as the length of the growing crystal increases. As the crystal lengthens further, a minimum value is reached after which the oxygen concentration increases continuously. This trend is consistent with that shown in the experimental results. The variation of the oxygen concentration with the growth length is strongly related to the depth of the melt in the crucible and the flow structure inside the melt. Better uniformity of the axial oxygen concentration can be achieved by proper adjustment of the crucible rotation rate during the growth process.

  19. Planar silicon sensors for the CMS Tracker upgrade

    CERN Document Server

    Junkes, Alexandra

    2013-01-01

    The CMS tracker collaboration has initiated a large material investigation and irradiation campaign to identify the silicon material and design that fulfills all requirements for detectors for the high-luminosity phase of the Large Hadron Collider (HL-LHC).A variety of silicon p-in-n and n-in-p test-sensors made from Float Zone, Deep-Diffused FZ and Magnetic Czochralski materials were manufactured by one single industrial producer, thus guaranteeing similar conditions for the production and design of the test-structures. Properties of different silicon materials and design choices have been systematically studied and compared.The samples have been irradiated with 1 MeV neutrons and protons corresponding to maximal fluences as expected for the positions of detector layers in the future tracker. Irradiations with protons of different energies (23 MeV and 23 GeV) have been performed to evaluate the energy dependence of the defect generation in oxygen rich material. All materials have been characterized before an...

  20. Hall mobility reduction in single-crystalline silicon gradually compensated by thermal donors activation

    Science.gov (United States)

    Veirman, J.; Dubois, S.; Enjalbert, N.; Garandet, J. P.; Heslinga, D. R.; Lemiti, M.

    2010-06-01

    This letter focuses on the variation of the Hall majority carrier mobility with the dopant compensation level in purely Boron-doped Czochralski grown silicon single crystals. Compensation was varied continuously at the sample scale via a step by step activation of the oxygen-based thermal donors. At room temperature, we show a strong drop in mobility for high compensation levels in both p- and n-type Si. Mobility models taking into account carrier scattering on ionized impurities and phonons could not reproduce this drop. We conclude that a specific effect of compensation must be taken into account to explain the observed behaviour. We qualitatively discuss physical mechanisms susceptible to reduce mobility in highly compensated Si.

  1. Characterization of silicon sensor materials and designs for the CMS Tracker Upgrade

    CERN Document Server

    Dierlamm, Alexander Hermann

    2012-01-01

    During the high luminosity phase of the LHC (HL-LHC, starting around 2020) the inner tracking system of CMS will be exposed to harsher conditions than the current system was designed for. Therefore a new tracker is planned to cope with higher radiation levels and higher occupancies. Within the strip sensor developments of CMS a comparative survey of silicon materials and technologies is being performed in order to identify the baseline material for the future tracker. Hence, a variety of materials (float-zone, magnetic Czochralski and epitaxially grown silicon with thicknesses from 50$\\mu$m to 320$\\mu$m as p- and n-type) has been processed at one company (Hamamatsu Photonics K.K.), irradiated (proton, neutron and mixed irradiations up to 1.5e15n$_{eq}$/cm$^2$ and beyond) and tested under identical conditions. The wafer layout includes a variety of devices to investigate different aspects of sensor properties like simple diodes, test-structures, small strip sensors and a strip sensor array with varying strip p...

  2. Detection of protein kinases P38 based on reflectance spectroscopy with n-type porous silicon microcavities for diagnosing hydatidosis hydatid disease

    Science.gov (United States)

    Lv, Xiaoyi; Lv, Guodong; Jia, Zhenhong; Wang, Jiajia; Mo, Jiaqing

    2014-11-01

    Detection of protein kinases P38 of Echinococcus granulosus and its homologous antibody have great value for early diagnosis and treatment of hydatidosis hydatid disease. In this experiment, n-type mesoporous silicon microcavities have been successfully fabricated without KOH etching or oxidants treatment that reported in other literature. We observed the changes of the reflectivity spectrum before and after the antigen-antibody reaction by n-type mesoporous silicon microcavities. The binding of protein kinases P38 and its homologous antibody causes red shifts in the reflection spectrum of the sensor, and the red shift was proportional to the protein kinases P38 concentration with linear relationship.

  3. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  4. Transmission electron microscope study of neutron irradiation-induced defects in silicon

    International Nuclear Information System (INIS)

    Oshima, Ryuichiro; Kawano, Tetsuya; Fujimoto, Ryoji

    1994-01-01

    Commercial Czochralski-grown silicon (Cz-Si) and float-zone silicon (Fz-Si) wafers were irradiated with fission neutrons at various fluences from 10 19 to 10 22 n/cm 2 at temperatures ranging from 473 K to 1043 K. The irradiation induced defect structures were examined by transmission electron microscopy and ultra high voltage electron microscopy, which were compared with Marlowe code computer simulation results. It was concluded that the vacancy-type damage structure formed at 473 K were initiated from collapse of vacancy-rich regions of cascades, while interstitial type defect clusters formed by irradiation above 673 K were associated with interstitial oxygen atoms and free interstitials which diffused out of the cascades. Complex defect structures were identified to consist of {113} and {111} planar faults by the parallel beam illumination diffraction analysis. (author)

  5. Depletion voltage studies on n-in-n MCz silicon diodes after irradiation with 70 MeV protons

    CERN Document Server

    Holmkvist, William

    2014-01-01

    Silicon detectors is the main component in the pixel detectors in the ATLAS experiment at CERN in order to detect the particles and recreate their tracks after a proton-proton collision. One criteria on these detectors is to be able to operate in the high radiation field close to the particle collision. The usual behavior of the silicon detectors is that they get type inverted and an increase in the depletion voltage can be seen after exposed to significant amounts of radiation. In contrast n-type Magnetic Czochralski (MCz) silicon doesn’t follow FZ silicons pattern of getting type inverted when it comes to high energy particle irradiation, in the range of GeV. However it was observed that MCz silicon diodes that had been irradiated with 23 MeV protons followed the FZ silicon behavior and did type invert. The aim of the project is to find out how the depletion voltage of MCz silicon changes after being irradiated by 70 MeV at fluencies of 1E13, 1E14 and 5E14 neq/cm2, to give a further insight of at what en...

  6. Radiation damage in silicon. Defect analysis and detector properties

    Energy Technology Data Exchange (ETDEWEB)

    Hoenniger, F.

    2008-01-15

    Silicon microstrip and pixel detectors are vital sensor-components as particle tracking detectors for present as well as future high-energy physics (HEP) experiments. All experiments at the large Hadron Collider (LHC) are equipped with such detectors. Also for experiments after the upgrade of the LHC (the so-called Super-LHC), with its ten times higher luminosity, or the planned International Linear Collider (ILC) silicon tracking detectors are forseen. Close to the interaction region these detectors have to face harsh radiation fields with intensities above the presently tolerable level. defect engineering of the used material, e. g. oxygen enrichment of high resistivity float zone silicon and growing of thin low resistivityepitaxial layers on Czochralski silicon substrates has been established to improve the radiation hardness of silicon sensors. This thesis focuses mainly on the investigation of radiation induced defects and their differences observed in various kinds of epitaxial silicon material. Comparisons with other materials like float zone or Czochralski silicon are added. Deep Level Transient Spectroscopy (DLTS) and Thermally Stimulated Current (TSC) measurements have been performed after {gamma}-, electron-, proton- and neutron-irradiation. The differenced in the formation of vacancy and interstitial related defects as well as so-called clustered regions were investigated for various types of irradiation. In addition to the well known defects VO{sub i}, C{sub i}O{sub i}, C{sub i}C{sub s}, VP or V{sub 2} several other defect complexes have been found and investigated. Also the material dependence of the defect introduction rates and the defect annealing behavior has been studied by isothermal and isochronal annealing experiments. Especially the IO{sub 2} defect which is an indicator for the oxygen-dimer content of the material has been investigated in detail. On the basis of radiation induced defects like the bistable donor (BD) defect and a deep

  7. Radiation damage in silicon. Defect analysis and detector properties

    International Nuclear Information System (INIS)

    Hoenniger, F.

    2008-01-01

    Silicon microstrip and pixel detectors are vital sensor-components as particle tracking detectors for present as well as future high-energy physics (HEP) experiments. All experiments at the large Hadron Collider (LHC) are equipped with such detectors. Also for experiments after the upgrade of the LHC (the so-called Super-LHC), with its ten times higher luminosity, or the planned International Linear Collider (ILC) silicon tracking detectors are forseen. Close to the interaction region these detectors have to face harsh radiation fields with intensities above the presently tolerable level. defect engineering of the used material, e. g. oxygen enrichment of high resistivity float zone silicon and growing of thin low resistivityepitaxial layers on Czochralski silicon substrates has been established to improve the radiation hardness of silicon sensors. This thesis focuses mainly on the investigation of radiation induced defects and their differences observed in various kinds of epitaxial silicon material. Comparisons with other materials like float zone or Czochralski silicon are added. Deep Level Transient Spectroscopy (DLTS) and Thermally Stimulated Current (TSC) measurements have been performed after γ-, electron-, proton- and neutron-irradiation. The differenced in the formation of vacancy and interstitial related defects as well as so-called clustered regions were investigated for various types of irradiation. In addition to the well known defects VO i , C i O i , C i C s , VP or V 2 several other defect complexes have been found and investigated. Also the material dependence of the defect introduction rates and the defect annealing behavior has been studied by isothermal and isochronal annealing experiments. Especially the IO 2 defect which is an indicator for the oxygen-dimer content of the material has been investigated in detail. On the basis of radiation induced defects like the bistable donor (BD) defect and a deep acceptor, a model has been introduced to

  8. G-centers in irradiated silicon revisited: A screened hybrid density functional theory approach

    KAUST Repository

    Wang, H.

    2014-05-13

    Electronic structure calculations employing screened hybrid density functional theory are used to gain fundamental insight into the interaction of carbon interstitial (Ci) and substitutional (Cs) atoms forming the CiCs defect known as G-center in silicon (Si). The G-center is one of the most important radiation related defects in Czochralski grown Si. We systematically investigate the density of states and formation energy for different types of CiCs defects with respect to the Fermi energy for all possible charge states. Prevalence of the neutral state for the C-type defect is established.

  9. Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon

    International Nuclear Information System (INIS)

    Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu

    2015-01-01

    Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation

  10. Mathematical modeling and numerical simulation of Czochralski Crystal Growth

    Energy Technology Data Exchange (ETDEWEB)

    Jaervinen, J.; Nieminen, R. [Center for Scientific Computing, Espoo (Finland)

    1996-12-31

    A detailed mathematical model and numerical simulation tools based on the SUPG Finite Element Method for the Czochralski crystal growth has been developed. In this presentation the mathematical modeling and numerical simulation of the melt flow and the temperature distribution in a rotationally symmetric crystal growth environment is investigated. The temperature distribution and the position of the free boundary between the solid and liquid phases are solved by using the Enthalpy method. Heat inside of the Czochralski furnace is transferred by radiation, conduction and convection. The melt flow is governed by the incompressible Navier-Stokes equations coupled with the enthalpy equation. The melt flow is numerically demonstrated and the temperature distribution in the whole Czochralski furnace. (author)

  11. Mathematical modeling and numerical simulation of Czochralski Crystal Growth

    Energy Technology Data Exchange (ETDEWEB)

    Jaervinen, J; Nieminen, R [Center for Scientific Computing, Espoo (Finland)

    1997-12-31

    A detailed mathematical model and numerical simulation tools based on the SUPG Finite Element Method for the Czochralski crystal growth has been developed. In this presentation the mathematical modeling and numerical simulation of the melt flow and the temperature distribution in a rotationally symmetric crystal growth environment is investigated. The temperature distribution and the position of the free boundary between the solid and liquid phases are solved by using the Enthalpy method. Heat inside of the Czochralski furnace is transferred by radiation, conduction and convection. The melt flow is governed by the incompressible Navier-Stokes equations coupled with the enthalpy equation. The melt flow is numerically demonstrated and the temperature distribution in the whole Czochralski furnace. (author)

  12. Crystal growth by Bridgman and Czochralski method of the ferromagnetic quantum critical material YbNi4P2

    Science.gov (United States)

    Kliemt, K.; Krellner, C.

    2016-09-01

    The tetragonal YbNi4P2 is one of the rare examples of compounds that allow the investigation of a ferromagnetic quantum critical point. We report in detail on two different methods which have been used to grow YbNi4P2 single crystals from a self-flux. The first, a modified Bridgman method, using a closed crucible system yields needle-shaped single crystals oriented along the [001]-direction. The second method, the Czochralski growth from a levitating melt, yields large single crystals which can be cut in any desired orientation. With this crucible-free method, samples without flux inclusions and a resistivity ratio at 1.8 K of RR1.8K = 17 have been grown.

  13. The effect of polycrystalline rod insertion in a low Prandtl number melt for continuous Czochralski system

    Science.gov (United States)

    Nam, Phil-Ouk; Son, Seung-Suk; Yi, Kyung-Woo

    2010-04-01

    The increased wafer size results in greater instabilities and complexities within the silicon melt, and melt flow control through the application of magnetic fields is not adequate to stabilize the melt. Therefore, continuous Czochralski systems are being studied as a solution to these issues, with higher productivity and no change in size. The purpose of this study is to observe the effects of polycrystalline rod insertion on the melt for a continuous Czochralski system. In order to observe flow patterns within the melt both broadly and specifically, we employ experimentation on a model system in tandem with numerical simulation. The rod insertion do not significantly affect near the crystal edge. In the melt height from 0.14 to 0.09 m, an asymmetric temperature distributions arise when the crystal rotation is counterclockwise direction (-15 rpm) and the crucible rotation is clockwise direction (3 rpm). The axis-symmetrical temperature distribution is formed at lower melt heights (0.08 and 0.07 m). When the melt height is 0.07 m, the axis-symmetric temperature distribution is maintained even after the rod insertion.

  14. Silicon Sensor and Detector Developments for the CMS Tracker Upgrade

    CERN Document Server

    D'Alessandro, Raffaello

    2011-01-01

    CMS started a campaign to identify the future silicon sensor technology baseline for a new Tracker for the high-luminosity phase of LHC, coupled to a new effective way of providing tracking information to the experiment trigger. To this end a large variety of 6'' wafers was acquired in different thicknesses and technologies at HPK and new detector module designs were investigated. Detector thicknesses ranging from 50$\\mu$m to 300$\\mu$m are under investigation on float zone, magnetic Czochralski and epitaxial material both in n-in-p and p-in-n versions. P-stop and p-spray are explored as isolation technology for the n-in-p type sensors as well as the feasibility of double metal routing on 6'' wafers. Each wafer contains different structures to answer different questions, e.g. influence of geometry, Lorentz angle, radiation tolerance, annealing behaviour, validation of read-out schemes. Dedicated process test-structures, as well as diodes, mini-sensors, long and very short strip sensors and real pixel sensors ...

  15. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  16. Furnace and support equipment for space processing. [space manufacturing - Czochralski method

    Science.gov (United States)

    Mazelsky, R.; Duncan, C. S.; Seidensticker, R. G.; Johnson, R. A.; Hopkins, R. H.; Roland, G. W.

    1975-01-01

    A core facility capable of performing a majority of materials processing experiments is discussed. Experiment classes are described, the needs peculiar to each experiment type are outlined, and projected facility requirements to perform the experiments are treated. Control equipment (automatic control) and variations of the Czochralski method for use in space are discussed.

  17. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  18. Giant piezoresistance of p-type nano-thick silicon induced by interface electron trapping instead of 2D quantum confinement

    International Nuclear Information System (INIS)

    Yang Yongliang; Li Xinxin

    2011-01-01

    The p-type silicon giant piezoresistive coefficient is measured in top-down fabricated nano-thickness single-crystalline-silicon strain-gauge resistors with a macro-cantilever bending experiment. For relatively thicker samples, the variation of piezoresistive coefficient in terms of silicon thickness obeys the reported 2D quantum confinement effect. For ultra-thin samples, however, the variation deviates from the quantum-effect prediction but increases the value by at least one order of magnitude (compared to the conventional piezoresistance of bulk silicon) and the value can change its sign (e.g. from positive to negative). A stress-enhanced Si/SiO 2 interface electron-trapping effect model is proposed to explain the 'abnormal' giant piezoresistance that should be originated from the carrier-concentration change effect instead of the conventional equivalent mobility change effect for bulk silicon piezoresistors. An interface state modification experiment gives preliminary proof of our analysis.

  19. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  20. Properties of p-type amorphous silicon carbide window layers prepared using boron trifluoride

    Energy Technology Data Exchange (ETDEWEB)

    Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-03-01

    One set (A) of undoped and three sets (B, C and D) of doped hydrogenated amorphous silicon carbide samples have been made in the framework of a research plan for obtaining high quality p-type window layers by radiofrequency glow discharge of silane-based gas mixtures. The samples of sets A and B were made using different RF-power-density to mass-flow ratios for various methane percentages in the gas mixture. The best carbon incorporation in the amorphous silicon lattice was obtained at the highest RF-power density. The properties of sets C and D, prepared using different RF-power densities and silane and methane proportions have been analysed as functions of the concentration of boron trifluoride with respect to silane. In both cases, the optical gap E[sub G], after a slight initial decrease, remains at a value of approximately 2.1 eV without quenching in the doping ranges covered. The best conductivity obtained is 2x10[sup -7] ([Omega] cm)[sup -1]. IR spectra allow to associate these features with the structural quality of the films. (orig.)

  1. Investigating reliability attributes of silicon photovoltaic cells - An overview

    Science.gov (United States)

    Royal, E. L.

    1982-01-01

    Reliability attributes are being developed on a wide variety of advanced single-crystal silicon solar cells. Two separate investigations: cell-contact integrity (metal-to-silicon adherence), and cracked cells identified with fracture-strength-reducing flaws are discussed. In the cell-contact-integrity investigation, analysis of contact pull-strength data shows that cell types made with different metallization technologies, i.e., vacuum, plated, screen-printed and soldered, have appreciably different reliability attributes. In the second investigation, fracture strength was measured using Czochralski wafers and cells taken at various stages of processing and differences were noted. Fracture strength, which is believed to be governed by flaws introduced during wafer sawing, was observed to improve (increase) after chemical polishing and other process steps that tend to remove surface and edge flaws.

  2. Experimental study of the organic light emitting diode with a p-type silicon anode

    International Nuclear Information System (INIS)

    Ma, G.L.; Xu, A.G.; Ran, G.Z.; Qiao, Y.P.; Zhang, B.R.; Chen, W.X.; Dai, L.; Qin, G.G.

    2006-01-01

    We have fabricated and studied an organic light emitting diode (OLED) with a p-type silicon anode and a SiO 2 buffer layer between the anode and the organic layers which emits light from a semitransparent top Yb/Au cathode. The luminance of the OLED is up to 5600 cd/m 2 at 17 V and 1800 mA/cm 2 , the current efficiency is 0.31 cd/A. Both its luminance and current efficiency are much higher than those of the OLEDs with silicon as the anodes reported previously. The enhancement of the luminance and efficiency can be attributed to an improved balance between the hole- and electron-injection through two efficient ways: 1) restraining the hole-injection by inserting an ultra-thin SiO 2 buffer layer between the Si anode and the organic layers; and 2) enhancing the electron-injection by using a low work function, low optical reflectance and absorption semitransparent Yb/Au cathode

  3. Spin-dependent recombination involving oxygen-vacancy complexes in silicon

    Science.gov (United States)

    Franke, David P.; Hoehne, Felix; Vlasenko, Leonid S.; Itoh, Kohei M.; Brandt, Martin S.

    2014-05-01

    Spin-dependent relaxation and recombination processes in γ-irradiated n-type Czochralski-grown silicon are studied using continuous wave (cw) and pulsed electrically detected magnetic resonance (EDMR). Two processes involving the SL1 center, the neutral excited triplet state of the oxygen-vacancy complex, are observed which can be separated by their different dynamics. One of the processes is the relaxation of the excited SL1 state to the ground state of the oxygen-vacancy complex, the other a charge transfer between 31P donors and SL1 centers forming close pairs, as indicated by electrically detected electron double resonance. For both processes, the recombination dynamics is studied with pulsed EDMR techniques. We demonstrate the feasibility of true zero-field cw and pulsed EDMR for spin-1 systems and use this to measure the lifetimes of the different spin states of SL1 also at vanishing external magnetic field.

  4. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    Science.gov (United States)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  5. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  6. InP membrane on silicon integration technology

    NARCIS (Netherlands)

    Smit, M.K.

    2013-01-01

    Integration of light sources in silicon photonics is usually done with an active InP-based layer stack on a silicon-based photonic circuit-layer. InP Membrane On Silicon (IMOS) technology integrates all functionality in a single InP-based layer.

  7. A DLTS study of hydrogen doped czochralski-grown silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jelinek, M. [Infineon Technologies Austria AG, 9500 Villach (Austria); Laven, J.G. [Infineon Technologies AG, 81726 Munich (Germany); Kirnstoetter, S. [Institute of Solid State Physics, Graz University of Technology, 8010 Graz (Austria); Schustereder, W. [Infineon Technologies Austria AG, 9500 Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, 81726 Munich (Germany); Rommel, M. [Fraunhofer Institute of Integrated Systems and Devices IISB, 91058 Erlangen (Germany); Frey, L. [Fraunhofer Institute of Integrated Systems and Devices IISB, 91058 Erlangen (Germany); Chair of Electron Devices, FAU Erlangen-Nuremberg, 91058 Erlangen (Germany)

    2015-12-15

    In this study we examine proton implanted and subsequently annealed commercially available CZ wafers with the DLTS method. Depth-resolved spreading resistance measurements are shown, indicating an additional peak in the induced doping profile, not seen in the impurity-lean FZ reference samples. The additional peak lies about 10–15 μm deeper than the main peak near the projected range of the protons. A DLTS characterization in the depth of the additional peak indicates that it is most likely not caused by classical hydrogen-related donors known also from FZ silicon but by an additional donor complex whose formation is assisted by the presence of silicon self-interstitials.

  8. Process research of non-Czochralski silicon material

    Science.gov (United States)

    Campbell, R. B.

    1986-01-01

    Simultaneous diffusion of liquid precursors containing phosphorus and boron into dendritic web silicon to form solar cell structures was investigated. A simultaneous junction formation techniques was developed. It was determined that to produce high quality cells, an annealing cycle (nominal 800 C for 30 min) should follow the diffusion process to anneal quenched-in defects. Two ohm-cm n-base cells were fabricated with efficiencies greater than 15%. A cost analysis indicated that the simultansous diffusion process costs can be as low as 65% of the costs of the sequential diffusion process.

  9. A new family of thermal donors generated around 450 °C in phosphorus-doped Czochralski silicon

    Science.gov (United States)

    Kamiura, Yoichi; Hashimoto, Fumio; Yoneta, Minoru

    1989-01-01

    We have discovered a new family of oxygen-related double donors [new thermal donors (NTD's)] generated around 450 °C in phosphorus-doped Czochralski silicon by combining deep-level transient spectroscopy with Hall measurements. This new family was well distinguished from the normal family of thermal donors (TD's) currently studied so far. Our results have shown that both families of thermal donors exhibit qualitatively the same kinetic behavior. Namely, as the annealing time increases, their ionization energy of levels continuously decrease with their densities increasing until the maxima and then become constant with their densities decreasing. However, there are significantly quantitative differences between the both families; NTD's have shallower levels, considerably smaller generation rates, and higher thermal stability than TD's. Sufficiently prolonged annealing for more than 105 min around 450 °C or short donor-killing annealing for 20 min at 650 °C completely annihilates TD's, leaving only NTD's, of which the most stable and therefore most shallow species have been suggested by our Hall measurements to have donor levels at 0.04 and 0.09 eV below the conduction-band edge. The density of interstitial oxygen still continues to decrease even after prolonged annealing for more than 105 min, where NTD's are present in a stable condition in a concentration of 1×1015 cm-3. NTD's may correlate with the NL10 electron paramagnetic resonance center because of similarities in their generation kinetics. We have suggested a hypothesis that NTD's have similar defect structures as TD's and that an unknown nucleus involved in the core of NTD's plays an essential role in lowering their ionization energy of levels and generation rates and also in stabilizing their donor activity.

  10. Origin of dislocation luminescence centers and their reorganization in p-type silicon crystal subjected to plastic deformation and high temperature annealing.

    Science.gov (United States)

    Pavlyk, Bohdan; Kushlyk, Markiyan; Slobodzyan, Dmytro

    2017-12-01

    Changes of the defect structure of silicon p-type crystal surface layer under the influence of plastic deformation and high temperature annealing in oxygen atmosphere were investigated by deep-level capacitance-modulation spectroscopy (DLCMS) and IR spectroscopy of molecules and atom vibrational levels. Special role of dislocations in the surface layer of silicon during the formation of its energy spectrum and rebuilding the defective structure was established. It is shown that the concentration of linear defects (N ≥ 10 4  cm -2 ) enriches surface layer with electrically active complexes (dislocation-oxygen, dislocation-vacancy, and dislocation-interstitial atoms of silicon) which are an effective radiative recombination centers.

  11. Radiation damage in silicon detectors

    CERN Document Server

    Lindström, G

    2003-01-01

    Radiation damage effects in silicon detectors under severe hadron and gamma-irradiation are surveyed, focusing on bulk effects. Both macroscopic detector properties (reverse current, depletion voltage and charge collection) as also the underlying microscopic defect generation are covered. Basic results are taken from the work done in the CERN-RD48 (ROSE) collaboration updated by results of recent work. Preliminary studies on the use of dimerized float zone and Czochralski silicon as detector material show possible benefits. An essential progress in the understanding of the radiation-induced detector deterioration had recently been achieved in gamma irradiation, directly correlating defect analysis data with the macroscopic detector performance.

  12. VV and VO2 defects in silicon studied with hybrid density functional theory

    KAUST Repository

    Christopoulos, Stavros Richard G; Wang, Hao; Chroneos, Alexander I.; Londos, Charalampos A.; Sgourou, Efstratia N.; Schwingenschlö gl, Udo

    2014-01-01

    The formation of VO (A-center), VV and VO2 defects in irradiated Czochralski-grown silicon (Si) is of technological importance. Recent theoretical studies have examined the formation and charge states of the A-center in detail. Here we use density

  13. Characteristics of accumulation of recombination centers due to irradiation of p-type Si

    International Nuclear Information System (INIS)

    Kazakevich, L.A.; Lugakov, P.F.; Filippov, I.M.

    1989-01-01

    Irradiation of Czochralski-grown p-type Si single crystals results primarily in creation of recombination-active radiation defects which give rise to a donor energy level at E v + 0.30-0.38 eV in the band gap. The ideas on the structure and mechanisms of formation of these radiation defects are continuously evolving and at present the most widely held view is that which assumes that the K centers can be carbon-oxygen-divacancy complexes or interstitial carbon-interstitial oxygen pairs. The authors investigated the recombination properties of such centers

  14. Photon-Enhanced Thermionic Emission in Cesiated p-Type and n-Type Silicon

    DEFF Research Database (Denmark)

    Reck, Kasper; Dionigi, Fabio; Hansen, Ole

    2014-01-01

    electrons. Efficiencies above 60% have been predicted theoretically for high solar concentration systems. Silicon is an interesting absorber material for high efficiency PETE solar cells, partly due to its mechanical and thermal properties and partly due to its electrical properties, including a close......Photon-enhanced thermionic emission (PETE) is a relatively new concept for high efficiency solar cells that utilize not only the energy of electrons excited across the band gap by photons, as in conventional photovoltaic solar cells, but also the energy usual lost to thermalization of the excited...... to ideal band gap. The work function of silicon is, however, too high for practical PETE implementations. A well-known method for lowering the work function of silicon (and other materials) is to apply approximately a monolayer of cesium to the silicon surface. We present the first measurements of PETE...

  15. Oxygen measurements in thin ribbon silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hyland, S L; Ast, D G; Baghdadi, A

    1987-03-01

    The oxygen content of thin silicon ribbons grown by the dendritic web technique was measured using a modification of the ASTM method based on Fourier transform infrared spectroscopy. Web silicon was found to have a high oxygen content, ranging from 13 to 19 ppma, calculated from the absorption peak associated with interstitial oxygen and using the new ASTM conversion coefficient. The oxygen concentration changed by about 10% along the growth direction of the ribbon. In some samples, a shoulder was detected on the absorption peak. A similar shoulder in Czochralski grown material has been variously interpreted in the literature as due to a complex of silicon, oxygen, and vacancies, or to a phase of SiO/sub 2/ developed along dislocations in the material. In the case of web silicon, it is not clear which is the correct interpretation.

  16. Studies of defects in neutron-irradiated p-type silicon by admittance measurements of n+-p diodes

    International Nuclear Information System (INIS)

    Tokuda, Y.; Usami, A.

    1978-01-01

    Defects introduced in p-type silicon by neutron irradiation were studied by measuring the admittance of n + -p diodes. It was shown that the energy levels and capture cross sections estimated from the temperature dependence of the admittance had some uncertainty due to the temperature dependence of the concentration of free carriers in the bulk and the high-frequency-junction capacitance. So, we presented the method of determination of the energy levels, capture cross sections, and concentrations of defects from the frequency dependence of the admittance. This method consists of the measurements of G/ω and C as a function of frequency. From this method, assuming that capture cross sections are independent of temperature, the energy levels of E/sub v/+0.16 and E/sub v/+0.36 eV were obtained. For these defects, the calculated values of the hole capture cross section were 2.4 x 10 -14 and 3.7 x 10 -14 cm 2 , respectively. Comparing with other published data, the energy level of E/sub v/+0.36 eV was found to be correlated with the divacancy

  17. Continuous Czochralski growth: Silicon sheet growth development of the large area silicon sheet task of the Low Cost Silicon Solar Array project

    Science.gov (United States)

    1978-01-01

    The primary objective of this contract is to develop equipment and methods for the economic production of single crystal ingot material by the continuous Czochralski (CZ) process. Continuous CZ is defined for the purpose of this work as the growth of at least 100 kilograms of ingot from only one melt container. During the reporting period (October, 1977 - September, 1978), a modified grower was made fully functional and several recharge runs were performed. The largest run lasted 44 hours and over 42 kg of ingot was produced. Little, if any, degradation in efficiency was observed as a result of pulling multiple crystals from one crucible. Solar efficiencies observed were between 9.3 and 10.4% AMO (13.0 and 14.6% AMI) compared to 10.5% (14.7% AMI) for optimum CZ material control samples. Using the SAMICS/IPEG format, economic analysis of continuous CZ suggests that 1986 DoE cost goals can only be met by the growth of large diameter, large mass crystals.

  18. Control and homogenization of oxygen distribution in Si crystals by the novel technique: electromagnetic Czochralski method (EMCZ)

    Science.gov (United States)

    Watanabe, Masahito; Eguchi, Minoru; Hibiya, Taketoshi

    1999-07-01

    A novel method for control and homogenization oxygen distribution in silicon crystals by using electromagnetic force (EMF) to rotate the melt without crucible rotation has been developed. We call it electromagnetic Czochralski method. An EMF in the azimuthal direction is generated in the melt by the interaction between an electric current through the melt in the radial direction and a vertical magnetic field. (B). The rotation rate (ωm) of the silicon melt is continuously changed from 0 to over 105 rpm under I equals 0 to 8 A and B equals 0 to 0.1 T. Thirty-mm-diameter silicon single crystals free of dislocations could be grown under several conditions. The oxygen concentration in the crystals was continuously changed from 1 X 1017 to 1 X 1018 atoms/cm3 with increase of melt rotation by electromagnetic force. The homogeneous oxygen distributions in the radial directions were achieved. The continuous change of oxygen concentration and the homogenization of oxygen distribution along the radial direction are attributed to the control of the diffusion-boundary-layer at both the melt/crucible and crystal/melt by forced flow due to the EMF. This new method would be useful for growth of the large-diameter silicon crystals with a homogeneous distribution of oxygen.

  19. The development of p-type silicon detectors for the high radiation regions of the LHC

    CERN Document Server

    Hanlon, M D L

    1998-01-01

    This thesis describes the production and characterisation of silicon microstrip detectors and test structures on p-type substrates. An account is given of the production and full parameterisation of a p-type microstrip detector, incorporating the ATLAS-A geometry in a beam test. This detector is an AC coupled device incorporating a continuous p-stop isolation frame and polysilicon biasing and is typical of n-strip devices proposed for operation at the LHC. It was successfully read out using the FELix-128 analogue pipeline chip and a signal to noise (s/n) of 17+-1 is reported, along with a spatial resolution of 14.6+-0.2 mu m. Diode test structures were fabricated on both high resistivity float zone material and on epitaxial material and subsequently irradiated with 24 GeV protons at the CERN PS up to a dose of (8.22+-0.23) x 10 sup 1 sup 4 per cm sup 2. An account of the measurement program is presented along with results on the changes in the effective doping concentration (N sub e sub f sub f) with irradiat...

  20. Oscillatory convection in low aspect ratio Czochralski melts

    Science.gov (United States)

    Anselmo, A.; Prasad, V.; Koziol, J.; Gupta, K. P.

    1993-11-01

    Modeling of the crucible in bulk crystal growth simulations as a right circular cylinder may be adequate for high aspect ratio melts but this may be unrealistic when the melt height is low. Low melt height is a unique feature of a solid feed continuous Czochralski growth process for silicon single crystals currently under investigation. At low melt heights, the crucible bottom curvature has a dampening effect on the buoyancy-induced oscillations, a source of inhomogeneities in the grown crystal. The numerical results demonstrate how the mode of convection changes from vertical wall-dominated recirculating flows to Benard convection as the aspect ratio is lowered. This phenomenon is strongly dependent on the boundary condition at the free surface of the melt, which has been generally considered to be either adiabatic or radiatively cooled. A comparison of the flow oscillations in crucibles with and without curved bottoms at aspect ratios in the range of 0.25 to 0.50, and at realistic Grashof numbers (10 7 < Gr < 10 8) illustrate that changing the shape of the crucible may be an effective means of suppressing oscillations and controlling the melt flow.

  1. Primary defect transformations in high-resistivity p-type silicon irradiated with electrons at cryogenic temperatures

    CERN Document Server

    Makarenko, L F; Korshunov, F P; Murin, L I; Moll, M

    2009-01-01

    It has been revealed that self-interstitials formed under low intensity electron irradiationin high resistivity p-type silicon can be retained frozen up to room temperature. Low thermal mobility of the self-interstitials suggests that Frenkelpair sinsilicon can be stable at temperatures of about or higher than 100K. A broad DLTS peak with activation energy of 0.14–0.17eV can be identified as related to Frenkel pairs. This peak anneals out at temperatures of 120 140K. Experimental evidences are presented that be coming more mobile under forwardcurrent injection the self-interstitials change their charge state to a less positive one.

  2. Porous silicon formation by hole injection from a back side p+/n junction for electrical insulation applications

    International Nuclear Information System (INIS)

    Fèvre, A; Menard, S; Defforge, T; Gautier, G

    2016-01-01

    In this paper, we propose to study the formation of porous silicon (PS) in low doped (1 × 10 14 cm −3 ) n-type silicon through hole injection from a back side p + /n junction in the dark. This technique is investigated within the framework of electrical insulation. Three different types of junctions are investigated. The first one is an epitaxial n-type layer grown on p + doped silicon wafer. The two other junctions are carried out by boron diffusion leading to p + regions with junction depths of 20 and 115 μm. The resulting PS morphology is a double layer with a nucleation layer (NL) and macropores fully filled with mesoporous material. This result is unusual for low doped n-type silicon. Morphology variations are described depending on the junction formation process, the electrolyte composition, the anodization current density and duration. In order to validate the more interesting industrial potentialities of the p + /n injection technique, a comparison is achieved with back side illumination in terms of resulting morphology and experiments confirm comparable results. Electrical characterizations of the double layer, including NL and fully filled macropores, are then performed. To our knowledge, this is the first electrical investigation in low doped n type silicon with this morphology. Compared to the bulk silicon, the measured electrical resistivities are 6–7 orders of magnitude higher at 373 K. (paper)

  3. Summary of theoretical and experimental investigation of grating type, silicon photovoltaic cells. [using p-n junctions on light receiving surface of base crystal

    Science.gov (United States)

    Chen, L. Y.; Loferski, J. J.

    1975-01-01

    Theoretical and experimental aspects are summarized for single crystal, silicon photovoltaic devices made by forming a grating pattern of p/n junctions on the light receiving surface of the base crystal. Based on the general semiconductor equations, a mathematical description is presented for the photovoltaic properties of such grating-like structures in a two dimensional form. The resulting second order elliptical equation is solved by computer modeling to give solutions for various, reasonable, initial values of bulk resistivity, excess carrier concentration, and surface recombination velocity. The validity of the computer model is established by comparison with p/n devices produced by alloying an aluminum grating pattern into the surface of n-type silicon wafers. Current voltage characteristics and spectral response curves are presented for cells of this type constructed on wafers of different resistivities and orientations.

  4. Advancements in n-type base crystalline silicon solar cells and their emergence in the photovoltaic industry.

    Science.gov (United States)

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed.

  5. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei; Song, Jinhui; Lu, Ming-Yen; Chen, Min-Teng; Gao, Yifan; Chen, Lih-Juann; Wang, Zhong Lin

    2009-01-01

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive

  6. Room-temperature near-infrared electroluminescence from boron-diffused silicon pn junction diodes

    Directory of Open Access Journals (Sweden)

    Si eLi

    2015-02-01

    Full Text Available Silicon pn junction diodes with different doping concentrations were prepared by boron diffusion into Czochralski (CZ n-type silicon substrate. Their room-temperature near-infrared electroluminescence (EL was measured. In the EL spectra of the heavily boron doped diode, a luminescence peak at ~1.6 m (0.78 eV was observed besides the band-to-band line (~1.1eV under the condition of high current injection, while in that of the lightly boron doped diode only the band-to-band line was observed. The intensity of peak at 0.78 eV increases exponentially with current injection with no observable saturation at room temperature. Furthermore, no dislocations were found in the cross-sectional transmission electron microscopy image, and no dislocation-related luminescence was observed in the low-temperature photoluminescence spectra. We deduce the 0.78 eV emission originates from the irradiative recombination in the strain region of diodes caused by the diffusion of large number of boron atoms into silicon crystal lattice.

  7. Radiation accelerated formation of oxygen and carbon related complexes in silicon

    International Nuclear Information System (INIS)

    Lazrak, A.; Magnea, N.; Pautrat, J.L.

    1984-06-01

    During the pulling of silicon monocrystals by the Czochralsky method, oxygen is incorporated into the lattice. It is known from early works that low temperature annealings (400-1000 0 C) make this oxygen to precipitate and a number of different defects to be generated. In order to check whether the fast diffusivity of an oxygen silicon interstitial complex has to be taken in consideration it was interesting to examinate the possible role of radiation damage on the formation of oxygen related defects. Experimental results of an experiment are presented and discussed

  8. Effect of cobalt-60 γ radiation and of thermal neutrons on high resistance P and N silicon. Possibility of obtaining a nuclear compensation for P type silicon

    International Nuclear Information System (INIS)

    Messier, J.

    1965-11-01

    Type P silicon has been compensated by the production of a controlled and uniform amount of donor atoms ( 31 P) using thermal neutrons to bring about a nuclear transformation. It is shown that it is possible in this way to reduce by a factor of about one hundred the overall concentration of residual ionised impurities in the purest crystals obtained by floating zone purification (2 x 10 12 atoms/cm 3 ). The degree compensation obtained is limited by the initial inhomogeneity of acceptor impurities which have to be compensated. Lattice defects which still remain after prolonged annealings reduce the life-time of the material to about 10 μs approximately. Particle detectors having thicknesses of 2 to 5 mm have been built by this process; they give good results, particularly at low temperatures. A study has also been made of the number and of the nature of lattice defects produced by thermal neutrons in high resistivity P and N type crystals. These defects have been compared to those produced by γ rays from 60 Co. A discussion is given of the validity of the Wertheim model concerning pronounced recombination at low temperatures (77 deg. K - 300 deg. K) of primary defect-interstitial pairs. The nature of the defects introducing energy levels into the lower half of the forbidden band has been studied. (author) [fr

  9. YbNi{sub 4}P{sub 2}. Single crystal growth by the Czochralski method and high-field magnetization measurements

    Energy Technology Data Exchange (ETDEWEB)

    Kliemt, Kristin; Krellner, Cornelius [Goethe-University, Frankfurt (Germany); Foerster, Tobias [HLD, Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany); Brando, Manuel [MPI for Chemical Physics of Solids, Dresden (Germany)

    2016-07-01

    We have investigated a new generation of YbNi{sub 4}P{sub 2} single crystals that were grown from a levitating melt by the Czochralski method. With T{sub C}= 0.17 K, this ferromagnetic material has the lowest Curie temperature ever observed among stoichiometric compounds. A quantum critical point occurs in the substitution series YbNi{sub 4}(P{sub 1-x}As{sub x}){sub 2} at x ∼ 0.1. The hybridization between localized f-electrons and the conduction electrons leads to a Fermi-liquid ground state with narrow bands and strongly enhanced effective electronic masses (heavy fermion system, Kondo temperature 8 K). An external magnetic field can split the bands, deform the Fermi surface and simultaneously suppress the Kondo interaction. If such a deformation changes the topology, it is called a Lifshitz transition. Previous thermodynamic and electrical transport studies have found indications for Lifshitz transitions in this Kondo lattice system. We report on results of high-field magnetization measurements at low temperature to further investigate the putative Lifshitz transitions in YbNi{sub 4}P{sub 2}.

  10. Study of defects created in silicon during thermal annealings - Correlation with the presence of oxygen

    International Nuclear Information System (INIS)

    Olivier, Michel

    1975-01-01

    Defects generation and precipitation phenomena in Czochralski silicon crystals annealed ten of hours at 1000 C have been observed. The defects (perfect dislocation loops emitted by semi-coherent precipitates, Frank loops in correlation with coherent precipitates) are studied by Transmission Electron Microscopy, X-Ray Topography and chemical etching. The generation of defects is connected to the precipitation of interstitial oxygen as it is shown by studying the infrared absorption at 9 μm. We present a lot of experimental results which indicates that the precipitates are SiO 2 clusters; in particular, we show that this hypothesis can explain the presence, after annealing, of an infrared absorption band at 8,2 μm. Some results on Czochralski silicon crystals annealed at 1150 deg. C and 1250 deg. C are then presented. In particular, X-Ray Topography studies show the presence of large (∼100 μm) Frank loops which seem connected to oxygen precipitation. (author) [fr

  11. N-Type delta Doping of High-Purity Silicon Imaging Arrays

    Science.gov (United States)

    Blacksberg, Jordana; Hoenk, Michael; Nikzad, Shouleh

    2005-01-01

    A process for n-type (electron-donor) delta doping has shown promise as a means of modifying back-illuminated image detectors made from n-doped high-purity silicon to enable them to detect high-energy photons (ultraviolet and x-rays) and low-energy charged particles (electrons and ions). This process is applicable to imaging detectors of several types, including charge-coupled devices, hybrid devices, and complementary metal oxide/semiconductor detector arrays. Delta doping is so named because its density-vs.-depth characteristic is reminiscent of the Dirac delta function (impulse function): the dopant is highly concentrated in a very thin layer. Preferably, the dopant is concentrated in one or at most two atomic layers in a crystal plane and, therefore, delta doping is also known as atomic-plane doping. The use of doping to enable detection of high-energy photons and low-energy particles was reported in several prior NASA Tech Briefs articles. As described in more detail in those articles, the main benefit afforded by delta doping of a back-illuminated silicon detector is to eliminate a "dead" layer at the back surface of the silicon wherein high-energy photons and low-energy particles are absorbed without detection. An additional benefit is that the delta-doped layer can serve as a back-side electrical contact. Delta doping of p-type silicon detectors is well established. The development of the present process addresses concerns specific to the delta doping of high-purity silicon detectors, which are typically n-type. The present process involves relatively low temperatures, is fully compatible with other processes used to fabricate the detectors, and does not entail interruption of those processes. Indeed, this process can be the last stage in the fabrication of an imaging detector that has, in all other respects, already been fully processed, including metallized. This process includes molecular-beam epitaxy (MBE) for deposition of three layers, including

  12. Effects of trap-assisted tunneling on gate-induced drain leakage in silicon-germanium channel p-type FET for scaled supply voltages

    Science.gov (United States)

    Tiwari, Vishal A.; Divakaruni, Rama; Hook, Terence B.; Nair, Deleep R.

    2016-04-01

    Silicon-germanium is considered as an alternative channel material to silicon p-type FET (pFET) for the development of energy efficient high performance transistors for 28 nm and beyond in a high-k metal gate technology because of its lower threshold voltage and higher mobility. However, gate-induced drain leakage (GIDL) is a concern for high threshold voltage device design because of tunneling at reduced bandgap. In this work, the trap-assisted tunneling and band-to-band tunneling (BTBT) effects on GIDL is analyzed and modeled for SiGe pFETs. Experimental results and Monte Carlo simulation results reveal that the pre-halo germanium pre-amorphization implant used to contain the short channel effects contribute to GIDL at the drain sidewall in addition to GIDL due to BTBT in SiGe devices. The results are validated by comparing the experimental observations with the numerical simulation and a set of calibrated models are used to describe the GIDL mechanisms for various drain and gate bias.

  13. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  14. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  15. Growth and characterization of heavily doped silicon crystals

    Energy Technology Data Exchange (ETDEWEB)

    Scala, R.; Porrini, M. [MEMC Electronic Materials SpA, via Nazionale 59, 39012 Merano (Italy); Borionetti, G. [MEMC Electronic Materials SpA, viale Gherzi 31, Novara (Italy)

    2011-08-15

    Silicon crystals grown with the Czochralski method are still the most common material used for the production of electronic devices. In recent years, a growing need of large diameter crystals with increasingly higher doping levels is observed, especially to support the expanding market of discrete devices and its trend towards lower and lower resistivity levels for the silicon substrate. The growth of such heavily doped, large-diameter crystals poses several new challenges to the crystal grower, and the presence of a high dopant concentration in the crystal affects significantly its main properties, requiring also the development of dedicated characterization techniques. This paper illustrates the recent advances in the growth and characterization of silicon crystals heavily doped with antimony, arsenic, phosphorus and boron. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. 3-D time-dependent numerical model of flow patterns within a large-scale Czochralski system

    Science.gov (United States)

    Nam, Phil-Ouk; O, Sang-Kun; Yi, Kyung-Woo

    2008-04-01

    Silicon single crystals grown through the Czochralski (Cz) method have increased in size to 300 mm, resulting in the use of larger crucibles. The objective of this study is to investigate the continuous Cz method in a large crucible (800 mm), which is performed by inserting a polycrystalline silicon rod into the melt. The numerical model is based on a time-dependent and three-dimensional standard k- ɛ turbulent model using the analytical software package CFD-ACE+, version 2007. Wood's metal melt, which has a low melting point ( Tm=70 °C), was used as the modeling fluid. Crystal rotation given in the clockwise direction with rotation rates varying from 0 to 15 rpm, while the crucible was rotated counter-clockwise, with rotation rates between 0 and 3 rpm. The results show that asymmetrical phenomena of fluid flow arise as results of crystal and crucible rotation, and that these phenomena move with the passage of time. Near the crystal, the flow moves towards the crucible at the pole of the asymmetrical phenomena. Away from the poles, a vortex begins to form, which is strongly pronounced in the region between the poles.

  17. Formation of iron disilicide on amorphous silicon

    Science.gov (United States)

    Erlesand, U.; Östling, M.; Bodén, K.

    1991-11-01

    Thin films of iron disilicide, β-FeSi 2 were formed on both amorphous silicon and on crystalline silicon. The β-phase is reported to be semiconducting with a direct band-gap of about 0.85-0.89 eV. This phase is known to form via a nucleation-controlled growth process on crystalline silicon and as a consequence a rather rough silicon/silicide interface is usually formed. In order to improve the interface a bilayer structure of amorphous silicon and iron was sequentially deposited on Czochralski silicon in an e-gun evaporation system. Secondary ion mass spectrometry profiling (SIMS) and scanning electron micrographs revealed an improvement of the interface sharpness. Rutherford backscattering spectrometry (RBS) and X-ray diffractiometry showed β-FeSi 2 formation already at 525°C. It was also observed that the silicide growth was diffusion-controlled, similar to what has been reported for example in the formation of NiSi 2 for the reaction of nickel on amorphous silicon. The kinetics of the FeSi 2 formation in the temperature range 525-625°C was studied by RBS and the activation energy was found to be 1.5 ± 0.1 eV.

  18. Predictable quantum efficient detector based on n-type silicon photodiodes

    Science.gov (United States)

    Dönsberg, Timo; Manoocheri, Farshid; Sildoja, Meelis; Juntunen, Mikko; Savin, Hele; Tuovinen, Esa; Ronkainen, Hannu; Prunnila, Mika; Merimaa, Mikko; Tang, Chi Kwong; Gran, Jarle; Müller, Ingmar; Werner, Lutz; Rougié, Bernard; Pons, Alicia; Smîd, Marek; Gál, Péter; Lolli, Lapo; Brida, Giorgio; Rastello, Maria Luisa; Ikonen, Erkki

    2017-12-01

    The predictable quantum efficient detector (PQED) consists of two custom-made induced junction photodiodes that are mounted in a wedged trap configuration for the reduction of reflectance losses. Until now, all manufactured PQED photodiodes have been based on a structure where a SiO2 layer is thermally grown on top of p-type silicon substrate. In this paper, we present the design, manufacturing, modelling and characterization of a new type of PQED, where the photodiodes have an Al2O3 layer on top of n-type silicon substrate. Atomic layer deposition is used to deposit the layer to the desired thickness. Two sets of photodiodes with varying oxide thicknesses and substrate doping concentrations were fabricated. In order to predict recombination losses of charge carriers, a 3D model of the photodiode was built into Cogenda Genius semiconductor simulation software. It is important to note that a novel experimental method was developed to obtain values for the 3D model parameters. This makes the prediction of the PQED responsivity a completely autonomous process. Detectors were characterized for temperature dependence of dark current, spatial uniformity of responsivity, reflectance, linearity and absolute responsivity at the wavelengths of 488 nm and 532 nm. For both sets of photodiodes, the modelled and measured responsivities were generally in agreement within the measurement and modelling uncertainties of around 100 parts per million (ppm). There is, however, an indication that the modelled internal quantum deficiency may be underestimated by a similar amount. Moreover, the responsivities of the detectors were spatially uniform within 30 ppm peak-to-peak variation. The results obtained in this research indicate that the n-type induced junction photodiode is a very promising alternative to the existing p-type detectors, and thus give additional credibility to the concept of modelled quantum detector serving as a primary standard. Furthermore, the manufacturing of

  19. Development of AC-coupled, poly-silicon biased, p-on-n silicon strip detectors in India for HEP experiments

    Science.gov (United States)

    Jain, Geetika; Dalal, Ranjeet; Bhardwaj, Ashutosh; Ranjan, Kirti; Dierlamm, Alexander; Hartmann, Frank; Eber, Robert; Demarteau, Marcel

    2018-02-01

    P-on-n silicon strip sensors having multiple guard-ring structures have been developed for High Energy Physics applications. The study constitutes the optimization of the sensor design, and fabrication of AC-coupled, poly-silicon biased sensors of strip width of 30 μm and strip pitch of 55 μm. The silicon wafers used for the fabrication are of 4 inch n-type, having an average resistivity of 2-5 k Ω cm, with a thickness of 300 μm. The electrical characterization of these detectors comprises of: (a) global measurements of total leakage current, and backplane capacitance; (b) strip and voltage scans of strip leakage current, poly-silicon resistance, interstrip capacitance, interstrip resistance, coupling capacitance, and dielectric current; and (c) charge collection measurements using ALiBaVa setup. The results of the same are reported here.

  20. Recombination of charge carriers on radiation-induced defects in silicon doped by transition metals impurities

    CERN Document Server

    Kazakevich, L A

    2003-01-01

    It has been studied the peculiarities of recombination of nonequilibrium charge carriers on radiation-induced defects in received according to Czochralski method p-silicon (p approx 3 - 20 Ohm centre dot cm), doped by one of the impurities of transition metals of the IV-th group of periodic table (titanium, zirconium, hafnium). Experimental results are obtained out of the analysis of temperature and injection dependence of the life time of charge carriers. The results are explained taking into consideration the influences of elastic stress fields created by the aggregates of transition metals atoms on space distribution over the crystal of oxygen and carbon background impurities as well as on the migration of movable radiation-induced defects during irradiation. (authors).

  1. Performance of Edgeless Silicon Pixel Sensors on p-type substrate for the ATLAS High-Luminosity Upgrade

    CERN Document Server

    INSPIRE-00052711; Boscardin, Maurizio; Bosisio, Luciano; Calderini, Giovanni; Chauveau, Jacques; Ducourthial, Audrey; Giacomini, Gabriele; Marchiori, Giovanni; Zorzi, Nicola

    2016-01-01

    In view of the LHC upgrade phases towards the High Luminosity LHC (HL-LHC), the ATLAS experiment plans to upgrade the Inner Detector with an all-silicon system. The n-on-p silicon technology is a promising candidate to achieve a large area instrumented with pixel sensors, since it is radiation hard and cost effective. The paper reports on the performance of novel n-on-p edgeless planar pixel sensors produced by FBK-CMM, making use of the active trench for the reduction of the dead area at the periphery of the device. After discussing the sensor technology an overview of the first beam test results will be given.

  2. Development of Edgeless Silicon Pixel Sensors on p-type substrate for the ATLAS High-Luminosity Upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Calderini, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Universitá di Pisa, Pisa (Italy); Bagolini, A. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Beccherle, R. [Istituto Nazionale di Fisica Nucleare, Sez. di Pisa (Italy); Bomben, M. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bosisio, L. [Università degli studi di Trieste (Italy); INFN-Trieste (Italy); Chauveau, J. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Universitè de Geneve, Geneve (Switzerland); Marchiori, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy)

    2016-09-21

    In view of the LHC upgrade phases towards the High Luminosity LHC (HL-LHC), the ATLAS experiment plans to upgrade the Inner Detector with an all-silicon system. The n-on-p silicon technology is a promising candidate to achieve a large area instrumented with pixel sensors, since it is radiation hard and cost effective. The presentation describes the performance of novel n-in-p edgeless planar pixel sensors produced by FBK-CMM, making use of the active trench for the reduction of the dead area at the periphery of the device. After discussing the sensor technology, some feedback from preliminary results of the first beam test will be discussed.

  3. Effect of impurities on the growth of {113} interstitial clusters in silicon under electron irradiation

    OpenAIRE

    Nakai, K.; Hamada, K.; Satoh, Y.; Yoshiie, T.

    2011-01-01

    The growth and shrinkage of interstitial clusters on {113} planes were investigated in electron irradiated Czochralski grown silicon (Cz-Si), floating-zone silicon (Fz-Si), and impurity-doped Fz-Si (HT-Fz-Si) using a high voltage electron microscope. In Fz-Si, {113} interstitial clusters were formed only near the beam incident surface after a long incubation period, and shrank on subsequent irradiation from the backside of the specimen. In Cz-Si and HT-Fz-Si, {113} interstitial clusters nucle...

  4. Boron, phosphorus, and gallium determination in silicon crystals doped with gallium

    International Nuclear Information System (INIS)

    Shklyar, B.L.; Dankovskij, Yu.V.; Trubitsyn, Yu.V.

    1989-01-01

    When studying IR transmission spectra of silicon doped with gallium in the range of concentrations 1 x 10 14 - 5 x 10 16 cm -3 , the possibility to quantity at low (∼ 20 K) temperatures residual impurities of boron and phosphorus is ascertained. The lower determination limit of boron is 1 x 10 12 cm -3 for a sample of 10 nm thick. The level of the impurities in silicon crystals, grown by the Czochralski method and method of crucible-free zone melting, is measured. Values of boron and phosphorus concentrations prior to and after their alloying with gallium are compared

  5. Apparatus for making molten silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  6. Silicon fiber with p-n junction

    International Nuclear Information System (INIS)

    Homa, D.; Cito, A.; Pickrell, G.; Hill, C.; Scott, B.

    2014-01-01

    In this study, we fabricated a p-n junction in a fiber with a phosphorous doped silicon core and fused silica cladding. The fibers were fabricated via a hybrid process of the core-suction and melt-draw techniques and maintained overall diameters ranging from 200 to 900 μm and core diameters of 20–800 μm. The p-n junction was formed by doping the fiber with boron and confirmed via the current-voltage characteristic. The demonstration of a p-n junction in a melt-drawn silicon core fiber paves the way for the seamless integration of optical and electronic devices in fibers.

  7. The ALU+ concept: n-type silicon solar cells with surface passivated screen-printed aluminum-alloyed rear emitter

    NARCIS (Netherlands)

    Bock, R.; Schmidt, J.; Mau, S.; Hoex, B.; Kessels, W.M.M.; Brendel, R.

    2009-01-01

    Aluminum-doped p-type (Al-p+) silicon emitters fabricated by means of screen-printing and firing are effectively passivated by plasma-enhanced chemicalvapor deposited (PECVD) amorphous silicon (a-Si) and atomic-layer-deposited (ALD) aluminum oxide (Al2O3) as well as Al2O3/SiNx stacks, where the

  8. Short p-type silicon microstrip detectors in 3D-stc technology

    Energy Technology Data Exchange (ETDEWEB)

    Eckert, S. [Physikalisches Institut, Albert-Ludwigs-Universitaet Freiburg, Hermann-Herder Strasse 3b, D-79104 Freiburg i. Br. (Germany)], E-mail: simon.eckert@physik.uni-freiburg.de; Jakobs, K.; Kuehn, S.; Parzefall, U. [Physikalisches Institut, Albert-Ludwigs-Universitaet Freiburg, Hermann-Herder Strasse 3b, D-79104 Freiburg i. Br. (Germany); Dalla-Betta, G.-F.; Zoboli, A. [Dipartimento di Ingegneria e Scienza dell' Informazione, Universita degli Studi di Trento, via Sommarive 14, I-38050 Povo di Trento (Italy); Pozza, A.; Zorzi, N. [FBK-irst Trento, Microsystems Division, via Sommarive 18, I-38050 Povo di Trento (Italy)

    2008-10-21

    The luminosity upgrade of the Large Hadron Collider (LHC), the sLHC, will constitute an extremely challenging radiation environment for tracking detectors. Significant improvements in radiation hardness are needed to cope with the increased radiation dose, requiring new tracking detectors. In the upgraded ATLAS detector the region from 20 to 50 cm distance to the beam will be covered by silicon strip detectors (SSD) with short strips. These will have to withstand a 1 MeV neutron equivalent fluence of about 1x10{sup 15}n{sub eq}/cm{sup 2}, hence extreme radiation resistance is necessary. For the short strips, we propose to use SSD realised in the radiation tolerant 3D technology, where rows of columns-etched into the silicon bulk-are joined together to form strips. To demonstrate the feasibility of 3D SSD for the sLHC, we have built prototype modules using 3D-single-type-column (stc) SSD with short strips and front-end electronics from the present ATLAS SCT. The modules were read out with the SCT Data Acquisition system and tested with an IR-laser. We report on the performance of these 3D modules, in particular the noise at 40 MHz which constitutes a measurement of the effective detector capacitance. Conclusions about options for using 3D SSD detectors for tracking at the sLHC are drawn.

  9. UV absorption and photoisomerization of p-methoxycinnamate grafted silicone.

    Science.gov (United States)

    Pattanaargson, Supason; Hongchinnagorn, Nantawan; Hirunsupachot, Piyawan; Sritana-anant, Yongsak

    2004-01-01

    p-Methoxycinnamate moieties, UV-B-absorptive chromophores of the widely used UV-B filter, 2-ethylhexyl p-methoxycinnamate (OMC), were grafted onto the 7 mol% amino functionalized silicone polymer through amide linkages. Comparing with OMC, the resulting poly [3-(p-methoxycinnamido)(propyl)(methyl)-dimethyl] siloxane copolymer (CAS) showed less E to Z isomerization when exposed to UV-B light. The absorption profiles of the product showed the maximum absorption wavelength to be similar to that of OMC but with less sensitivity to the type of solvent. Poly (methylhydrosiloxane) grafted with 10 mol% p-methoxycinnamoyl moieties was prepared through hydrosilylations of 2-propenyl-p-methoxycinnamate, in which the resulting copolymer showed similar results to those of CAS.

  10. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  11. Performance study of double SOI image sensors

    Science.gov (United States)

    Miyoshi, T.; Arai, Y.; Fujita, Y.; Hamasaki, R.; Hara, K.; Ikegami, Y.; Kurachi, I.; Nishimura, R.; Ono, S.; Tauchi, K.; Tsuboyama, T.; Yamada, M.

    2018-02-01

    Double silicon-on-insulator (DSOI) sensors composed of two thin silicon layers and one thick silicon layer have been developed since 2011. The thick substrate consists of high resistivity silicon with p-n junctions while the thin layers are used as SOI-CMOS circuitry and as shielding to reduce the back-gate effect and crosstalk between the sensor and the circuitry. In 2014, a high-resolution integration-type pixel sensor, INTPIX8, was developed based on the DSOI concept. This device is fabricated using a Czochralski p-type (Cz-p) substrate in contrast to a single SOI (SSOI) device having a single thin silicon layer and a Float Zone p-type (FZ-p) substrate. In the present work, X-ray spectra of both DSOI and SSOI sensors were obtained using an Am-241 radiation source at four gain settings. The gain of the DSOI sensor was found to be approximately three times that of the SSOI device because the coupling capacitance is reduced by the DSOI structure. An X-ray imaging demonstration was also performed and high spatial resolution X-ray images were obtained.

  12. Three-dimensional study of the pressure field and advantages of hemispherical crucible in silicon Czochralski crystal growth

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, F. [LTSE Laboratory, University of Science and Technol., USTHB BP 32 Elalia, Babezzouar, Algiers (Algeria); University Mouloud Mammeri, Tizi Ouzou (Algeria); Merah, A. [University M' hammed Bougara, Boumerdes (Algeria); Zizi, M. [LTSE Laboratory, University of Science and Technol., USTHB BP 32 Elalia, Babezzouar, Algiers (Algeria); Hanchi, S. [UER Mecanique/ E.M.P B.P 17 Bordj El Bahri, Algiers (Algeria); Alemany, A. [Laboratoire EPM, CNRS, Grenoble (France); Bouabdallah, A.

    2010-06-15

    The effects of several growth parameters in cylindrical and spherical Czochralski crystal process are studied numerically and particularly, we focus on the influence of the pressure field. We present a set of three-dimensional computational simulations using the finite volume package Fluent in two different geometries, a new geometry as cylindro-spherical and the traditional configuration as cylindro-cylindrical. We found that the evolution of pressure which is has not been studied before; this important function is strongly related to the vorticity in the bulk flow, the free surface and the growth interface. It seems that the pressure is more sensitive to the breaking of symmetry than the other properties that characterize the crystal growth as temperature or velocity fields. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Digital Control of the Czochralski Growth of Gallium Arsenide-Controller Software Reference Manual

    Science.gov (United States)

    1987-07-15

    once a parameter was changed. (2) Despite of the fact that there are analog controllers on the market which feature a high degree of automation...single-zone heater is in use.) - 4 - Kfc ^&S^^ p IS’ K: i 1. Digital Control of Czochralski GaAs Crystal Growth (2) Four tachometers which are...34 if either the overlay name or the program version loaded with the overlay do not match the expected data. (It is important not to mix modules

  14. Superparamagnetic iron oxide nanoparticle attachment on array of micro test tubes and microbeakers formed on p-type silicon substrate for biosensor applications

    Directory of Open Access Journals (Sweden)

    Raja Sufi

    2011-01-01

    Full Text Available Abstract A uniformly distributed array of micro test tubes and microbeakers is formed on a p-type silicon substrate with tunable cross-section and distance of separation by anodic etching of the silicon wafer in N, N-dimethylformamide and hydrofluoric acid, which essentially leads to the formation of macroporous silicon templates. A reasonable control over the dimensions of the structures could be achieved by tailoring the formation parameters, primarily the wafer resistivity. For a micro test tube, the cross-section (i.e., the pore size as well as the distance of separation between two adjacent test tubes (i.e., inter-pore distance is typically approximately 1 μm, whereas, for a microbeaker the pore size exceeds 1.5 μm and the inter-pore distance could be less than 100 nm. We successfully synthesized superparamagnetic iron oxide nanoparticles (SPIONs, with average particle size approximately 20 nm and attached them on the porous silicon chip surface as well as on the pore walls. Such SPION-coated arrays of micro test tubes and microbeakers are potential candidates for biosensors because of the biocompatibility of both silicon and SPIONs. As acquisition of data via microarray is an essential attribute of high throughput bio-sensing, the proposed nanostructured array may be a promising step in this direction.

  15. Multiplication in Silicon p-n Junctions

    DEFF Research Database (Denmark)

    Moll, John L.

    1965-01-01

    Multiplication values were measured in the collector junctions of silicon p-n-p and n-p-n transistors before and after bombardment by 1016 neutrons/cm2. Within experimental error there was no change either in junction fields, as deduced from capacitance measurements, or in multiplication values i...

  16. The development of p-type silicon detectors for the high radiation regions of the LHC

    International Nuclear Information System (INIS)

    Hanlon, M.D.L.

    1998-04-01

    This thesis describes the production and characterisation of silicon microstrip detectors and test structures on p-type substrates. An account is given of the production and full parameterisation of a p-type microstrip detector, incorporating the ATLAS-A geometry in a beam test. This detector is an AC coupled device incorporating a continuous p-stop isolation frame and polysilicon biasing and is typical of n-strip devices proposed for operation at the LHC. It was successfully read out using the FELix-128 analogue pipeline chip and a signal to noise (s/n) of 17±1 is reported, along with a spatial resolution of 14.6±0.2 μm. Diode test structures were fabricated on both high resistivity float zone material and on epitaxial material and subsequently irradiated with 24 GeV protons at the CERN PS up to a dose of (8.22±0.23) x 10 14 per cm 2 . An account of the measurement program is presented along with results on the changes in the effective doping concentration (N eff ) with irradiation and the changes in bulk current. Changes in the effective doping concentration and leakage current for high resistivity p-type material under irradiation were found to be similar to to that of n-type material. Values of α=(3.30±0.08) x 10 -17 A cm -1 for the leakage current parameter and g c =(1.20±0.05)x10 -2 cm -1 for the effective dopant introduction rate were found for this material. The epitaxial material did not perform better than the float zone material for the range of doses studied. Surprising results were obtained for highly irradiated p-type diodes illuminated on the ohmic side with an α-source, in that signals were observed well below the full depletion voltage. The processing that had been used to fabricate the test structures and the initial prototype that was studied in the test beam was based on the process used to fabricate devices on n-type material. Presented in this thesis are the modifications that were made to the process, which centred on the oxidation

  17. Radiation Damage in Silicon Detectors Caused by Hadronic and Electromagnetic Irradiation

    CERN Document Server

    Fretwurst, E.; Stahl, J.; Pintilie, I.

    2002-01-01

    The report contains various aspects of radiation damage in silicon detectors subjected to high intensity hadron and electromagnetic irradiation. It focuses on improvements for the foreseen LHC applications, employing oxygenation of silicon wafers during detector processing (result from CERN-RD48). An updated survey on hadron induced damage is given in the first article. Several improvements are outlined especially with respect to antiannealing problems associated with detector storage during LHC maintenance periods. Open questions are outlined in the final section, among which are a full understanding of differences found between proton and neutron induced damage, process related effects changing the radiation tolerance in addition to the oxygen content and the lack of understanding the changed detector properties on the basis of damage induced point and cluster defects. In addition to float zone silicon, so far entirely used for detector fabrication,Czochralski silicon was also studied and first promising re...

  18. Study on 150μm thick n- and p-type epitaxial silicon sensors irradiated with 24 GeV/c protons and 1 MeV neutrons

    International Nuclear Information System (INIS)

    Kaska, Katharina; Moll, Michael; Fahrer, Manuel

    2010-01-01

    A study on 150μm epitaxial (EPI) n- and p-type silicon diodes irradiated with neutrons up to 8x10 15 n/cm 2 and protons up to 1.7x10 15 p/cm 2 has been performed by means of CV/IV, charge collection efficiency (CCE) and transient current technique (TCT) measurements. It is found that the effective space charge density increases three times faster after proton than after neutron irradiation with a slightly higher effective space charge generation rate for n-type material compared to p-type material. A drop in charge collection efficiency already at fluences of 1x10 12 n eq /cm 2 can be seen in n-type material, but is absent in p-type material. TCT measurements show space charge sign inversion from positive to negative charge in n-type material after neutron irradiation and from negative to positive space charge in p-type material after proton irradiation. No difference was found in the response of diodes manufactured by different producers out of the same wafer material.

  19. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Energy Technology Data Exchange (ETDEWEB)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, Minden 11800 Penang (Malaysia)

    2015-04-24

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×10{sup 16} atoms/cm{sup 3}) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  20. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Science.gov (United States)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z.

    2015-04-01

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×1016 atoms/cm3) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  1. Radiation-induced bistable centers with deep levels in silicon n{sup +}–p structures

    Energy Technology Data Exchange (ETDEWEB)

    Lastovskii, S. B., E-mail: lastov@ifttp.bas-net.by [Scientific and Practical Materials Research Center of the National Academy of Sciences of Belarus (Belarus); Markevich, V. P. [Manchester University, Photon Science Institute (United Kingdom); Yakushevich, H. S.; Murin, L. I. [Scientific and Practical Materials Research Center of the National Academy of Sciences of Belarus (Belarus); Krylov, V. P. [Vladimir State University (Russian Federation)

    2016-06-15

    The method of deep level transient spectroscopy is used to study electrically active defects in p-type silicon crystals irradiated with MeV electrons and α particles. A new radiation-induced defect with the properties of bistable centers is determined and studied. After keeping the irradiated samples at room temperature for a long time or after their short-time annealing at T ∼ 370 K, this defect does not display any electrical activity in p-type silicon. However, as a result of the subsequent injection of minority charge carriers, this center transforms into the metastable configuration with deep levels located at E{sub V} + 0.45 and E{sub V} + 0.54 eV. The reverse transition to the main configuration occurs in the temperature range of 50–100°C and is characterized by the activation energy ∼1.25 eV and a frequency factor of ∼5 × 10{sup 15} s{sup –1}. The determined defect is thermally stable at temperatures as high as T ∼ 450 K. It is assumed that this defect can either be a complex of an intrinsic interstitial silicon atom with an interstitial carbon atom or a complex consisting of an intrinsic interstitial silicon atom with an interstitial boron atom.

  2. 2 μm wavelength range InP-based type-II quantum well photodiodes heterogeneously integrated on silicon photonic integrated circuits.

    Science.gov (United States)

    Wang, Ruijun; Sprengel, Stephan; Muneeb, Muhammad; Boehm, Gerhard; Baets, Roel; Amann, Markus-Christian; Roelkens, Gunther

    2015-10-05

    The heterogeneous integration of InP-based type-II quantum well photodiodes on silicon photonic integrated circuits for the 2 µm wavelength range is presented. A responsivity of 1.2 A/W at a wavelength of 2.32 µm and 0.6 A/W at 2.4 µm wavelength is demonstrated. The photodiodes have a dark current of 12 nA at -0.5 V at room temperature. The absorbing active region of the integrated photodiodes consists of six periods of a "W"-shaped quantum well, also allowing for laser integration on the same platform.

  3. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    Science.gov (United States)

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  4. Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity

    Directory of Open Access Journals (Sweden)

    Verena Steckenreiter

    2017-03-01

    Full Text Available Based on contactless carrier lifetime measurements performed on p-type boron-doped Czochralski-grown silicon (Cz-Si wafers, we examine the rate constant Rde of the permanent deactivation process of the boron-oxygen-related defect center as a function of the illumination intensity I at 170°C. While at low illumination intensities, a linear increase of Rde on I is measured, at high illumination intensities, Rde seems to saturate. We are able to explain the saturation by assuming that Rde increases proportionally with the excess carrier concentration Δn and take the fact into account that at sufficiently high illumination intensities, the carrier lifetime decreases with increasing Δn and hence the slope of Δn(I decreases, leading to an apparent saturation. Importantly, on low-lifetime Cz-Si samples no saturation of the deactivation rate constant is observed for the same illumination intensities, proving that the deactivation is stimulated by the presence of excess electrons and not directly by the photons.

  5. Technology Development on P-type Silicon Strip Detectors for Proton Beam Dosimetry

    International Nuclear Information System (INIS)

    Aouadi, K.; Bouterfa, M.; Delamare, R.; Flandre, D.; Bertrand, D.; Henry, F.

    2013-06-01

    In this paper, we present a technology for the fabrication of n-in-p silicon strip detectors, which is based on the use of Al 2 O 3 oxide compared to p-spray insulation scheme. This technology has been developed using the best technological parameters deduced from simulations, particularly for the p-spray implantation parameters. Different wafers were processed towards the fabrication of the radiation detectors with p-spray insulation and Al 2 O 3 . The evaluation of the prototype detectors has been carried out by performing the electrical characterization of the devices through the measurement of current-voltage and capacitance-voltage characteristics, as well as the measurement of detection response under radiation. The results of electrical measurements indicate that detectors fabricated with Al 2 O 3 exhibit a dark current several times lower than p-spray detectors and show an excellent electrical insulation between strips with a higher inter-strip resistance. Response of Al 2 O 3 strip detector under radiation has been found better. The resulting improved output signal dynamic range finally makes the use of Al 2 O 3 more attractive. (authors)

  6. High-gain bipolar detector on float-zone silicon

    Science.gov (United States)

    Han, D. J.; Batignani, G.; Del Guerra, A.; Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Giorgi, M.; Forti, F.

    2003-10-01

    Since the float-zone (FZ) silicon has lower contaminations and longer minority-carrier lifetime than those in Czochralski silicon and other semiconductor materials, it has potential advantages to fabricate bipolar detectors on the high-purity FZ silicon substrate to achieve a high gain at ultra-low-signal levels. The authors present preliminary experimental results on a bipolar detector fabricated on an unusual high-purity FZ silicon substrate. A backside gettering layer of phosphorus-doped polysilicon was employed to preserve the long carrier lifetime of the high-purity FZ silicon. The device has been investigated in the detection of a continuous flux of X-ray and infrared light. The bipolar detector with a circular emitter of 2 mm diameter has demonstrated high gains up to 3820 for 22 keV X-ray from a 1 mCi Cd radioactive source (the X-ray photon flux, received by the detector is estimated to be ˜7.77×10 4/s). High gain up to 4400 for 0.17 nW light with a wavelength of 0.83 μm has been observed for the same device.

  7. High-gain bipolar detector on float-zone silicon

    International Nuclear Information System (INIS)

    Han, D.J.; Batignani, G.; Guerra, A.D.A. Del; Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Giorgi, M.; Forti, F.

    2003-01-01

    Since the float-zone (FZ) silicon has lower contaminations and longer minority-carrier lifetime than those in Czochralski silicon and other semiconductor materials, it has potential advantages to fabricate bipolar detectors on the high-purity FZ silicon substrate to achieve a high gain at ultra-low-signal levels. The authors present preliminary experimental results on a bipolar detector fabricated on an unusual high-purity FZ silicon substrate. A backside gettering layer of phosphorus-doped polysilicon was employed to preserve the long carrier lifetime of the high-purity FZ silicon. The device has been investigated in the detection of a continuous flux of X-ray and infrared light. The bipolar detector with a circular emitter of 2 mm diameter has demonstrated high gains up to 3820 for 22 keV X-ray from a 1 mCi Cd radioactive source (the X-ray photon flux, received by the detector is estimated to be ∼7.77x10 4 /s). High gain up to 4400 for 0.17 nW light with a wavelength of 0.83 μm has been observed for the same device

  8. P-type silicon surface barrier detector used for x-ray dosimetry

    International Nuclear Information System (INIS)

    Yamamoto, Hisao; Hatakeyama, Satoru; Norimura, Toshiyuki; Tsuchiya, Takehiko

    1983-01-01

    Responses to X-rays of a P-type surface barrier detector fabricated in our laboratory were studied, taking into consideration the dependence on the temperature in order to examine its applicability to dosimetry of short-range radiation. The study was also made in the case of N-type surface barrier detector. At room temperature, the short-circuit current increased linearly with exposure dose rate (15 - 50 R/min) for N- and P-type detectors. The open-circuit voltage showed a nonlinear dependence. With increasing temperature, the short-circuit current for the N-type detector was approximately constant up to 30 0 C and then decreased, though the open-circuit voltage decreased linearly. For the P- type detector, both open-circuit voltage and short-circuit current decreased almost linearly with increasing temperature. While a P-type detector is still open to some improvements, these results indicate that it can be used as a dosimeter. (author)

  9. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  10. A comparative study of the Si diodes of N type applied to high-dose range dosimetry

    International Nuclear Information System (INIS)

    Pascoalino, Kelly Cristina da Silva; Goncalves, Josemary Angelica Correa; Tobias, Carmen Cecilia Bueno

    2011-01-01

    This work presents the results of the comparative studies of floating-zone (Fz) and magnetic Czochralski (MCz) n-type silicon diodes as gamma dosimeters. The devices were irradiated with gamma rays from 60 Co source, Gammacell 220, at Radiation Technology Center (CTR-IPEN/CNEN-SP) with the dose rate of 2 kGy/h. The results with total absorbed doses of approximately 1 MGy showed that the devices studied are tolerant to radiation damages and then can be used as an online dosimeter in high doses radiation processing. (author)

  11. Continuous Czochralski growth: Silicon sheet growth development of the large area sheet task of the low cost silicon solar array project

    Science.gov (United States)

    Johnson, C. M.

    1980-12-01

    The growth of 100 kg of silicon single crystal material, ten cm in diameter or greater, and 150 kg of silicon single crystal material 15 cm or greater utilizing one common silicon container material (one crucible) is investigated. A crystal grower that is recharged with a new supply of polysilicon material while still under vacuum and at temperatures above the melting point of silicon is developed. It accepts large polysilicon charges up to 30 kg, grows large crystal ingots (to 15 cm diameter and 25 kg in weight), and holds polysilicon material for recharging (rod or lump) while, at the same time, growing crystal ingots. Special equipment is designed to recharge polysilicon rods, recharge polysilicon lumps, and handle and store large, hot silicon crystal ingots. Many continuous crystal growth runs were performed lasting as long as 109 hours and producing as many as ten crystal ingots, 15 cm with weights progressing to 27 kg.

  12. Synthesis of lithium niobate and monocrystal growth by Czochralski method

    International Nuclear Information System (INIS)

    Balzuweit, K.

    1988-01-01

    The qualitative analysis of lithium niobate by x-ray analysis and optical microscopy is presented. The lithium niobate compound was obtained by synthesis using niobium oxides and lithium carbonates. The lithium niobate monocrystal growth was done by Czochralski method. (M.C.K.)

  13. Radiation-induced defects in Czochralski-grown silicon containing carbon and germanium

    International Nuclear Information System (INIS)

    Londos, C A; Andrianakis, A; Emtsev, V V; Ohyama, H

    2009-01-01

    Formation processes of vacancy-oxygen (VO) and carbon interstitial-oxygen interstitial (C i O i ) complexes in electron-irradiated Czochralski-grown Si crystals (Cz–Si), also doped with Ge, are investigated. IR spectroscopy measurements are employed to monitor the production of these defects. In Cz–Si with carbon concentrations [C s ] up to 1 × 10 17 cm −3 and Ge concentrations [Ge] up to 1 × 10 20 cm −3 the production rate of VO defects as well as the rate of oxygen loss show a slight growth of about 10% with the increasing Ge concentration. At high concentrations of carbon [C s ] around 2 × 10 17 cm −3 the production rate of VO defects is getting larger by ∼40% in Cz–Si:Ge at Ge concentrations around 1 × 10 19 cm −3 and then at [Ge] ≈ 2 × 10 20 cm −3 this enlargement drops to ∼13%, thus approaching the values characteristic of lesser concentrations of carbon. A similar behavior against Ge concentration displays the production rate of C i O i complexes. The same trend is also observed for the rate of carbon loss, whereas the trend for the rate of oxygen loss is opposite. The behavior of Ge atoms is different at low and high concentrations of this isoelectronic impurity in Cz–Si. At low concentrations most isolated Ge atoms serve as temporary traps for vacancies preventing them from indirect annihilation with self-interstitials. At high concentrations Ge atoms are prone to form clusters. The latter ones are traps for vacancies and self-interstitials due to the strain fields, increasing the importance of indirect annihilation of intrinsic point defects. Such a model allows one to give a plausible explanation for the obtained results. A new band at 994 cm −1 seen only in irradiated Ge-doped Cz–Si is also studied. Interestingly, its annealing behavior was found to be very similar to that of VO complexes

  14. Comparative TEM study of bonded silicon/silicon interfaces fabricated by hydrophilic, hydrophobic and UHV wafer bonding

    International Nuclear Information System (INIS)

    Reznicek, A.; Scholz, R.; Senz, S.; Goesele, U.

    2003-01-01

    Wafers of Czochralski-grown silicon were bonded hydrophilically, hydrophobically and in ultrahigh vacuum (UHV) at room temperature. Wafers bonded hydrophilically adhere together by hydrogen bonds, those bonded hydrophobically by van der Waals forces and UHV-bonded ones by covalent bonds. Annealing the pre-bonded hydrophilic and hydrophobic wafer pairs in argon for 2 h at different temperatures increases the initially low bonding energy. UHV-bonded wafer pairs were also annealed to compare the results. Transmission electron microscopy (TEM) investigations show nano-voids at the interface. The void density depends on the initial bonding strength. During annealing the shape, coverage and density of the voids change significantly

  15. Tunnel oxide passivated rear contact for large area n-type front junction silicon solar cells providing excellent carrier selectivity

    Directory of Open Access Journals (Sweden)

    Yuguo Tao

    2016-01-01

    Full Text Available Carrier-selective contact with low minority carrier recombination and efficient majority carrier transport is mandatory to eliminate metal-induced recombination for higher energy conversion efficiency for silicon (Si solar cells. In the present study, the carrier-selective contact consists of an ultra-thin tunnel oxide and a phosphorus-doped polycrystalline Si (poly-Si thin film formed by plasma enhanced chemical vapor deposition (PECVD and subsequent thermal crystallization. It is shown that the poly-Si film properties (doping level, crystallization and dopant activation anneal temperature are crucial for achieving excellent contact passivation quality. It is also demonstrated quantitatively that the tunnel oxide plays a critical role in this tunnel oxide passivated contact (TOPCON scheme to realize desired carrier selectivity. Presence of tunnel oxide increases the implied Voc (iVoc by ~ 125 mV. The iVoc value as high as 728 mV is achieved on symmetric structure with TOPCON on both sides. Large area (239 cm2 n-type Czochralski (Cz Si solar cells are fabricated with homogeneous implanted boron emitter and screen-printed contact on the front and TOPCON on the back, achieving 21.2% cell efficiency. Detailed analysis shows that the performance of these cells is mainly limited by boron emitter recombination on the front side.

  16. Deep level transient spectroscopic analysis of p/n junction implanted with boron in n-type silicon substrate

    Science.gov (United States)

    Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi

    2018-04-01

    For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.

  17. Laser-zone Growth in a Ribbon-to-ribbon (RTR) Process Silicon Sheet Growth Development for the Large Area Silicon Sheet Task of the Low Cost Solar Array Project

    Science.gov (United States)

    Baghdadi, A.; Gurtler, R. W.; Legge, R.; Sopori, B.; Rice, M. J.; Ellis, R. J.

    1979-01-01

    A technique for growing limited-length ribbons continually was demonstrated. This Rigid Edge technique can be used to recrystallize about 95% of the polyribbon feedstock. A major advantage of this method is that only a single, constant length silicon ribbon is handled throughout the entire process sequence; this may be accomplished using cassettes similar to those presently in use for processing Czochralski waters. Thus a transition from Cz to ribbon technology can be smoothly affected. The maximum size being considered, 3 inches x 24 inches, is half a square foot, and will generate 6 watts for 12% efficiency at 1 sun. Silicon dioxide has been demonstrated as an effective, practical diffusion barrier for use during the polyribbon formation.

  18. Process for making silicon from halosilanes and halosilicons

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  19. Cu gettering by phosphorus-doped emitters in p-type silicon: Effect on light-induced degradation

    Science.gov (United States)

    Inglese, Alessandro; Laine, Hannu S.; Vähänissi, Ville; Savin, Hele

    2018-01-01

    The presence of copper (Cu) contamination is known to cause relevant light-induced degradation (Cu-LID) effects in p-type silicon. Due to its high diffusivity, Cu is generally regarded as a relatively benign impurity, which can be readily relocated during device fabrication from the wafer bulk, i.e. the region affected by Cu-LID, to the surface phosphorus-doped emitter. This contribution examines in detail the impact of gettering by industrially relevant phosphorus layers on the strength of Cu-LID effects. We find that phosphorus gettering does not always prevent the occurrence of Cu-LID. Specifically, air-cooling after an isothermal anneal at 800°C results in only weak impurity segregation to the phosphorus-doped layer, which turns out to be insufficient for effectively mitigating Cu-LID effects. Furthermore, we show that the gettering efficiency can be enhanced through the addition of a slow cooling ramp (-4°C/min) between 800°C and 600°C, resulting in the nearly complete disappearance of Cu-LID effects.

  20. Thermal system design and modeling of meniscus controlled silicon growth process for solar applications

    Science.gov (United States)

    Wang, Chenlei

    The direct conversion of solar radiation to electricity by photovoltaics has a number of significant advantages as an electricity generator. That is, solar photovoltaic conversion systems tap an inexhaustible resource which is free of charge and available anywhere in the world. Roofing tile photovoltaic generation, for example, saves excess thermal heat and preserves the local heat balance. This means that a considerable reduction of thermal pollution in densely populated city areas can be attained. A semiconductor can only convert photons with the energy of the band gap with good efficiency. It is known that silicon is not at the maximum efficiency but relatively close to it. There are several main parts for the photovoltaic materials, which include, single- and poly-crystalline silicon, ribbon silicon, crystalline thin-film silicon, amorphous silicon, copper indium diselenide and related compounds, cadmium telluride, et al. In this dissertation, we focus on melt growth of the single- and poly-crystalline silicon manufactured by Czochralski (Cz) crystal growth process, and ribbon silicon produced by the edge-defined film-fed growth (EFG) process. These two methods are the most commonly used techniques for growing photovoltaic semiconductors. For each crystal growth process, we introduce the growth mechanism, growth system design, general application, and progress in the numerical simulation. Simulation results are shown for both Czochralski and EFG systems including temperature distribution of the growth system, velocity field inside the silicon melt and electromagnetic field for the EFG growth system. Magnetic field is applied on Cz system to reduce the melt convection inside crucible and this has been simulated in our numerical model. Parametric studies are performed through numerical and analytical models to investigate the relationship between heater power levels and solidification interface movement and shape. An inverse problem control scheme is developed to

  1. Formation of copper precipitates in silicon

    Science.gov (United States)

    Flink, Christoph; Feick, Henning; McHugo, Scott A.; Mohammed, Amna; Seifert, Winfried; Hieslmair, Henry; Heiser, Thomas; Istratov, Andrei A.; Weber, Eicke R.

    1999-12-01

    The formation of copper precipitates in silicon was studied after high-temperature intentional contamination of p- and n-type FZ and Cz-grown silicon and quench to room temperature. With the Transient Ion Drift (TID) technique on p-type silicon a critical Fermi level position at EC-0.2 eV was found. Only if the Fermi level position, which is determined by the concentrations of the acceptors and the copper donors, surpasses this critical value precipitation takes place. If the Fermi level is below this level the supersaturated interstitial copper diffuses out. An electrostatic precipitation model is introduced that correlates the observed precipitation behavior with the electrical activity of the copper precipitates as detected with Deep Level Transient Spectroscopy (DLTS) on n-type and with Minority Carrier Transient Spectroscopy (MCTS) on p-type silicon.

  2. Electroless deposition of Ni-P on a silicon surface

    Directory of Open Access Journals (Sweden)

    hassan El Grini

    2017-06-01

    Full Text Available The present article concerns the metallization of silicon substrates by deposition of the nickel-phosphorus alloy produced by an autocatalytic chemical process. The deposition electrolyte is composed of a metal salt, a reducing agent (sodium hypophosphite, a complexing agent (sodium citrate and a buffer (ammonium acetate. The deposition could only be carried out after activation of the silicon by fixing catalytic species on its surface. The immersion of the silicon samples in palladium chloride made it possible to produce relatively thick and regular Ni-P coatings. The immersion time was optimized. The activation of Si was characterized by XPS and the Ni-P coating by XPS and M.E.B. The electrochemical study did not show any real mechanism changes compared to the Ni-P deposition on a conductive surface. 

  3. Role of oxygen in surface segregation of metal impurities in silicon poly- and bicrystals

    Energy Technology Data Exchange (ETDEWEB)

    Amarray, E.; Deville, J.P.

    1987-07-01

    Metal impurities at surfaces of polycrystalline silicon ribbons have been characterized by surface sensitive methods. Oxygen and heat treatments were found to be a driving force for surface segregation of these impurities. To better analyse their influence and their possible incidence in gettering, model studies were undertaken on Czochralski grown silicon bicrystals. Two main factors of surface segregation have been studied: the role of an ultra-thin oxide layer and the effect of heat treatments. The best surface purification was obtained after an annealing process at 750/sup 0/C of a previously oxidized surface at 450/sup 0/C. This was related to the formation of SiO clusters, followed by a coalescence of SiO/sub 4/ units leading to the subsequent injection of silicon self-interstitials in the lattice.

  4. Luminescence of porous silicon doped by erbium

    International Nuclear Information System (INIS)

    Bondarenko, V.P.; Vorozov, N.N.; Dolgij, L.N.; Dorofeev, A.M.; Kazyuchits, N.M.; Leshok, A.A.; Troyanova, G.N.

    1996-01-01

    The possibility of the 1.54 μm intensive luminescence in the silicon dense porous layers, doped by erbium, with various structures is shown. Low-porous materials of both porous type on the p-type silicon and porous silicon with wood-like structure on the n + type silicon may be used for formation of light-emitting structures

  5. Hadron-therapy beam monitoring: Towards a new generation of ultra-thin p-type silicon strip detectors

    International Nuclear Information System (INIS)

    Bouterfa, M.; Aouadi, K.; Bertrand, D.; Olbrechts, B.; Delamare, R.; Raskin, J. P.; Gil, E. C.; Flandre, D.

    2011-01-01

    Hadron-therapy has gained increasing interest for cancer treatment especially within the last decade. System commissioning and quality assurance procedures impose to monitor the particle beam using 2D dose measurements. Nowadays, several monitoring systems exist for hadron-therapy but all show a relatively high influence on the beam properties: indeed, most devices consist of several layers of materials that degrade the beam through scattering and energy losses. For precise treatment purposes, ultra-thin silicon strip detectors are investigated in order to reduce this beam scattering. We assess the beam size increase provoked by the Multiple Coulomb Scattering when passing through Si, to derive a target thickness. Monte-Carlo based simulations show a characteristic scattering opening angle lower than 1 mrad for thicknesses below 20 μm. We then evaluated the fabrication process feasibility. We successfully thinned down silicon wafers to thicknesses lower than 10 μm over areas of several cm 2 . Strip detectors are presently being processed and they will tentatively be thinned down to 20 μm. Moreover, two-dimensional TCAD simulations were carried out to investigate the beam detector performances on p-type Si substrates. Additionally, thick and thin substrates have been compared thanks to electrical simulations. Reducing the pitch between the strips increases breakdown voltage, whereas leakage current is quite insensitive to strips geometrical configuration. The samples are to be characterized as soon as possible in one of the IBA hadron-therapy facilities. For hadron-therapy, this would represent a considerable step forward in terms of treatment precision. (authors)

  6. Towards solar grade silicon: Challenges and benefits for low cost photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Pizzini, Sergio [Ned Silicon Spa, Via Th. Edison 6, 60027 Osimo (Ancona) (Italy)

    2010-09-15

    It is well known that silicon in its various structural configurations (single crystal, multicrystalline, amorphous, micro-nanocrystalline) supplies almost 90% of the substrates used in the photovoltaic industry. It is also known, since years, that the photovoltaic (PV) industry shows a marked growth trend, which demanded and demands a continuous, huge increase of the bulk silicon supply in the order of 30%/yr. In order to fulfill their today- and future needs, many companies worldwide took the decision to start the installation of many thousand tons/year plants, most of them using the Siemens process, some of them using the MG route, to produce the so called solar grade (SG) silicon. The advantages of the Siemens process are well known, as it provides ultrapure silicon, directly usable for growing either single crystalline Czochralski ingots or multicrystalline ingots using the directional solidification (DS) technique. The disadvantages are its high energetic cost (a minimum of 120 kWH/kg) and the possible losses of chlorinated gases in the atmosphere, with possible severe environmental problems. The advantages of the MG route are still potential, as there is no commercially available production of solar silicon as yet, and rely on its reduced energetic costs (a maximum of 25-30 kWh/kg) for a feedstock directly usable for growing multicrystalline ingots using the DS technique. The drawbacks of silicon of MG origin are its larger concentration of metallic impurities, as compared with the Siemens one, the higher B and P content, and the potentially high carbon content. The aim of this paper is to deal with some of the problems encountered so far with the silicon of MG origin with respect to the metallic and non-metallic impurities content, as well as to propose technologically feasible solar grade feedstock specifications. (author)

  7. N-type polycrystalline silicon films formed on alumina by aluminium induced crystallization and overdoping

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France)], E-mail: Ozge.Tuzun@iness.c-strasbourg.fr; Slaoui, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France); Gordon, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Focsa, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France); Ballutaud, D. [GEMaC-UMR 8635 CNRS, 1 place Aristide Briand, F-92195 Meudon (France); Beaucarne, G.; Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)

    2008-08-30

    In this work, we investigated the formation of n-type polysilicon films on alumina substrates by overdoping a p-type silicon layer obtained by aluminium induced crystallization of amorphous silicon (AIC), and subsequent epitaxy. The phosphorus doping of the AIC was carried out by thermal diffusion from a solid source. The structural quality of the n-type Si film was monitored by optical microscope and scanning electron microscope (SEM). The doping efficiency was determined by resistivity measurements and secondary ion mass spectroscopy (SIMS). The sheet resitivity changed from 2700{omega}/sq to 19.6{omega}/sq after thermal diffusion at 950 deg. C for 1h, indicating the overdoping effect. The SIMS profile carried out after the high temperature epitaxy exhibits a two steps phosphorus distribution, indicating the formation of an n{sup +}n structure.

  8. On copper diffusion in silicon measured by glow discharge mass spectrometry.

    Science.gov (United States)

    Modanese, Chiara; Gaspar, Guilherme; Arnberg, Lars; Di Sabatino, Marisa

    2014-11-01

    Copper contamination occurs frequently in silicon for photovoltaic applications due to its very fast diffusion coupled with a low solid solubility, especially at room temperature. The combination of these properties exerts a challenge on the direct analysis of Cu bulk concentration in Si by sputtering techniques like glow discharge mass spectrometry (GDMS). This work aims at addressing the challenges in quantitative analysis of fast diffusing elements in Si matrix by GDMS. N-type, monocrystalline (Czochralski) silicon samples were intentionally contaminated with Cu after solidification and consequently annealed at 900 °C to ensure a homogeneous distribution of Cu in the bulk. The samples were quenched after annealing to control the extent of the diffusion to the surface prior to the GDMS analyses, which were carried out at different time intervals from within few minutes after cooling onward. The Cu profiles were measured by high-resolution GDMS operating in a continuous direct current mode, where the integration step length was set to ∼0.5 μm over a total sputtered depth of 8-30 μm. The temperature of the samples during the GDMS analyses was also measured in order to evaluate the diffusion. The Cu contamination of n-type Si samples was observed to be highly material dependent. The practical impact of Cu out-diffusion on the calculation of the relative sensitivity factor (RSF) of Cu in Si is discussed.

  9. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    International Nuclear Information System (INIS)

    Naddaf, M.; Hamadeh, H.

    2010-01-01

    The effect of low power density of ∼5 μWcm - 2 monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Tow peak PL red and green is resolved in PS samples etched under blue-green wavelength illumination; 480,533 and 580 nm. It is found that the weight of green PL has maxima for the sample illuminated with 533 nm wavelength whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit green PL band, but shows considerable enhancement in the red PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS. (author)

  10. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    International Nuclear Information System (INIS)

    Naddaf, M.; Hamadeh, H.

    2009-01-01

    The effect of low power density of ∼ 5 μW/cm 2 monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Two-peak PL 'red' and 'green' is resolved in PS samples etched under blue-green wavelength illumination; 480, 533 and 580 nm. It is found that the weight of 'green' PL has maxima for the sample illuminated with 533 nm wavelength. Whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit 'green' PL band, but shows considerable enhancement in the 'red' PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS.

  11. Low-temperature radiation damage in silicon - 1: Annealing studies on N-type material

    International Nuclear Information System (INIS)

    Awadelkarim, O.O.

    1986-07-01

    The presence of electrically active defects in electron-irradiated P-doped n-type silicon was monitored using capacitance and loss factor measurements. Irradiations were performed at temperatures c - 0.14) eV and (E c - 0.24) eV in the gap are ascribed to the carbon interstitial and the divacancy, respectively. (author)

  12. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Chromium Trioxide Hole-Selective Heterocontacts for Silicon Solar Cells.

    Science.gov (United States)

    Lin, Wenjie; Wu, Weiliang; Liu, Zongtao; Qiu, Kaifu; Cai, Lun; Yao, Zhirong; Ai, Bin; Liang, Zongcun; Shen, Hui

    2018-04-25

    A high recombination rate and high thermal budget for aluminum (Al) back surface field are found in the industrial p-type silicon solar cells. Direct metallization on lightly doped p-type silicon, however, exhibits a large Schottky barrier for the holes on the silicon surface because of Fermi-level pinning effect. As a result, low-temperature-deposited, dopant-free chromium trioxide (CrO x , x solar cell as a hole-selective contact at the rear surface. By using 4 nm CrO x between the p-type silicon and Ag, we achieve a reduction of the contact resistivity for the contact of Ag directly on p-type silicon. For further improvement, we utilize a CrO x (2 nm)/Ag (30 nm)/CrO x (2 nm) multilayer film on the contact between Ag and p-type crystalline silicon (c-Si) to achieve a lower contact resistance (40 mΩ·cm 2 ). The low-resistivity Ohmic contact is attributed to the high work function of the uniform CrO x film and the depinning of the Fermi level of the SiO x layer at the silicon interface. Implementing the advanced hole-selective contacts with CrO x /Ag/CrO x on the p-type silicon solar cell results in a power conversion efficiency of 20.3%, which is 0.1% higher than that of the cell utilizing 4 nm CrO x . Compared with the commercialized p-type solar cell, the novel CrO x -based hole-selective transport material opens up a new possibility for c-Si solar cells using high-efficiency, low-temperature, and dopant-free deposition techniques.

  14. Trap spectrum of the ``new oxygen donor'' in silicon

    Science.gov (United States)

    Hölzlein, K.; Pensl, G.; Schulz, M.

    1984-07-01

    Electronic properties of the new oxygen donor generated in phosphorus-doped Czochralski-silicon at 650‡C are investigated by deep level transient spectroscopy. A continuous distribution of trap states (1014 1016 cm-3 eV-1) is detected in the upper half of the band gap with increasing values towards the conduction band. The magnitude of the state density observed increases with the oxygen content, the heat duration, and a preanneal at temperatures lower than 650‡C. The continuous trap spectrum of the new donor is explained by interface states occuring at the surface of SiO x precipitates.

  15. Das Stefan-Problem bei der Kristallzucht nach Czochralski

    OpenAIRE

    Kopetsch, H.

    1987-01-01

    The shape of the crystal-melt interface in Czochralski crystal growth may strongly influence the quality of the grown crystal. Thus a numerical algorithm has been developed which allows us to study the dynamics of this interface subject to various growth conditions. Especially the hydrodynamics in the melt is taken into account. Mathematically, a moving boundary problem (Stefan problem) has to be solved along with the flow and temperature field in melt and crystal which is treated by the meth...

  16. Mobility of carriers in the case of diffuse motion in the configuration space of restructuring divacancies in silicon

    International Nuclear Information System (INIS)

    Dolgolenko, A.P.

    2014-01-01

    Calculated temperature dependence of the electron mobility and describes the behavior of holes in high resistance silicon Czochralski grown and float zone melting, after irradiation by fast neutrons reactor and a subsequent isochronous and isothermal annealing. In the framework of the elaborated model of defect clusters was calculated temperature dependence of the concentration of electrons and holes in silicon samples. It is shown that the configuration change divacancies in clusters of defects and in conducting matrix leads to increase in the height of the drift barriers and concentration of long-wave phonons in conducting matrix samples of silicon. It was defined temperature dependence of the height of the drift barriers in the process of ageing at room temperature n-Si

  17. Field-induced surface passivation of p-type silicon by using AlON films

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, S.N.; Parm, I.O.; Dhungel, S.K.; Jang, K.S.; Jeong, S.W.; Yoo, J.; Hwang, S.H.; Yi, J. [School of Information and Communication Engineering, Sungkyunkwan University, 300 Chunchun dong, Jangan-gu, Suwon-440746 (Korea)

    2008-02-15

    In the present work, we report on the evidence for a high negative charge density in aluminum oxynitride (AlON) coating on silicon. A comparative study was carried out on the composition and electrical properties of AlON and aluminum nitride (AlN). AlON films were deposited on p-type Si (1 0 0) substrate by RF magnetron sputtering using a mixture of argon and oxygen gases at substrate temperature of 300 C. The electrical properties of the AlON, AlN films were studied through capacitance-voltage (C-V) characteristics of metal-insulator-semiconductor (MIS) using the films as insulating layers. The flatband voltage shift V{sub FB} observed for AlON is around 4.5 V, which is high as compared to the AlN thin film. Heat treatment caused the V{sub FB} reduction to 3 V, but still the negative charge density was observed to be very high. In the AlN film, no fixed negative charge was observed at all. The XRD spectrum of AlON shows the major peaks of AlON (2 2 0) and AlN (0 0 2), located at 2{theta} value of 32.96 and 37.8 , respectively. The atomic percentage of Al, N in AlN film was found to be 42.5% and 57.5%, respectively. Atomic percentages of Al, N and O in EDS of AlON film are 20.21%, 27.31% and 52.48%, respectively. (author)

  18. Precision interplanar spacings measurements of boron doped silicon

    International Nuclear Information System (INIS)

    Soares, D.A.W.; Pimentel, C.A.F.

    1982-05-01

    A study of lattice parameters of boron doped silicon (10 14 -10 19 atom/cc) grown in and directions by Czochralski technique has been undertaken. Interplanar spacings (d) were measured by pseudo-Kossel technique to a precision up to 0,001%; different procedures to obtain d and the errors are discussed. It is concluded that the crystallographic planes contract preferentially and the usual study of parameter variation must be made as a function of d. The diffused B particularly contracts the [333] plane and in a more pronunciate way in high concentrations. An orientation dependence of the diffusion during growth was observed. (Author) [pt

  19. An automated ion implant/pulse anneal machine for low cost silicon cell production

    International Nuclear Information System (INIS)

    Armini, A.J.; Bunker, S.N.; Spitzer, M.B.

    1982-01-01

    The continuing development of a high throughput ion implanter and a pulsed electron beam annealer designed for dedicated silicon solar cell manufacture is reviewed. This equipment is intended for production of junctions in 10 cm wide wafers at a throughput up to 10 MWsub(p) per year. The principal features of the implanter are the lack of mass analysis and defocusing utilizing electrostatic deflection. The implanted surface is annealed by liquid phase epitaxy resulting from a single burst of a large area electron beam. Cells with non-mass analyzed ion implantation have yielded AM1 cell efficiencies in excess of 15%. Pulse annealed Czochralski cells have been made with AM1 efficiencies of 13% vs. 15% for a furnace annealed group. Results of pulse annealing of polycrystalline materials indicate that cell performance comparable to diffusion can be obtained. (Auth.)

  20. Fabrication of High-Frequency pMUT Arrays on Silicon Substrates

    DEFF Research Database (Denmark)

    Pedersen, Thomas; Zawada, Tomasz; Hansen, Karsten

    2010-01-01

    A novel technique based on silicon micromachining for fabrication of linear arrays of high-frequency piezoelectric micromachined ultrasound transducers (pMUT) is presented. Piezoelectric elements are formed by deposition of lead zirconia titanate into etched features of a silicon substrate...

  1. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  2. Effect of preliminary annealing of silicon substrates on the spectral sensitivity of photodetectors in bipolar integrated circuits

    International Nuclear Information System (INIS)

    Blynskij, V.I.; Bozhatkin, O.A.; Golub, E.S.; Lemeshevskaya, A.M.; Shvedov, S.V.

    2010-01-01

    We examine the results of an effect of preliminary annealing on the spectral sensitivity of photodetectors in bipolar integrated circuits, formed in silicon grown by the Czochralski method. We demonstrate the possibility of substantially improving the sensitivity of photodetectors in the infrared region of the spectrum with twostep annealing. The observed effect is explained by participation of oxidation in the gettering process, where oxidation precedes formation of a buried n + layer in the substrate. (authors)

  3. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Hamadeh, H., E-mail: scientific@aec.org.sy [Department of Physics, Atomic Energy Commission of Syria (AECS), P.O. Box 6091 Damascus (Syrian Arab Republic)

    2009-08-31

    The effect of low power density of {approx} 5 {mu}W/cm{sup 2} monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Two-peak PL 'red' and 'green' is resolved in PS samples etched under blue-green wavelength illumination; 480, 533 and 580 nm. It is found that the weight of 'green' PL has maxima for the sample illuminated with 533 nm wavelength. Whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit 'green' PL band, but shows considerable enhancement in the 'red' PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS.

  4. Multiple batch recharging for industrial CZ silicon growth

    Science.gov (United States)

    Fickett, B.; Mihalik, G.

    2001-05-01

    The Czochralski (CZ) crystal growth process used in the Siemens Solar Industries’ (SSI) Vancouver, WA facility was non-continuous. Each furnace run's production was limited by the size of the starting charge. Once the charge was depleted, the furnace was shut down, cooled, and set back up for the next run. A recharge system was developed which transforms standard CZ growth into a semi-continuous process. Now when the charge is depleted, the crucible can be refilled in situ as the grown ingot is being removed from the furnace. SSI has demonstrated up to 14 recharge cycles in a single run. The resulting benefits included: significant cost reduction, increased yield, increased throughput, reduced energy consumption, improved process capability, reduced material handling requirements, and reduced labor. The recharge system also enables the use of granular silicon, which requires less than 30% of the energy required when manufacturing silicon-starting materials. This significantly reduces the energy “pay-back” time associated with SSI's finished product, photovoltaic panels.

  5. Extrinsic doping in silicon revisited

    KAUST Repository

    Schwingenschlö gl, Udo; Chroneos, Alexander; Grimes, R. W.; Schuster, Cosima

    2010-01-01

    Both n-type and p-type doping of silicon is at odds with the charge transfer predicted by Pauling electronegativities and can only be reconciled if we no longer regarding dopant species as isolated atoms but rather consider them as clusters consisting of the dopant and its four nearest neighbor silicon atoms. The process that gives rise to n-type and p-type effects is the charge redistribution that occurs between the dopant and its neighbors, as we illustrate here using electronic structure calculations. This view point is able to explain why conventional substitutional n-type doping of carbon has been so difficult.

  6. Extrinsic doping in silicon revisited

    KAUST Repository

    Schwingenschlögl, Udo

    2010-06-17

    Both n-type and p-type doping of silicon is at odds with the charge transfer predicted by Pauling electronegativities and can only be reconciled if we no longer regarding dopant species as isolated atoms but rather consider them as clusters consisting of the dopant and its four nearest neighbor silicon atoms. The process that gives rise to n-type and p-type effects is the charge redistribution that occurs between the dopant and its neighbors, as we illustrate here using electronic structure calculations. This view point is able to explain why conventional substitutional n-type doping of carbon has been so difficult.

  7. Coaxial-structured ZnO/silicon nanowires extended-gate field-effect transistor as pH sensor

    International Nuclear Information System (INIS)

    Li, Hung-Hsien; Yang, Chi-En; Kei, Chi-Chung; Su, Chung-Yi; Dai, Wei-Syuan; Tseng, Jung-Kuei; Yang, Po-Yu; Chou, Jung-Chuan; Cheng, Huang-Chung

    2013-01-01

    An extended-gate field-effect transistor (EGFET) of coaxial-structured ZnO/silicon nanowires as pH sensor was demonstrated in this paper. The oriented 1-μm-long silicon nanowires with the diameter of about 50 nm were vertically synthesized by the electroless metal deposition method at room temperature and were sequentially capped with the ZnO films using atomic layer deposition at 50 °C. The transfer characteristics (I DS –V REF ) of such ZnO/silicon nanowire EGFET sensor exhibited the sensitivity and linearity of 46.25 mV/pH and 0.9902, respectively for the different pH solutions (pH 1–pH 13). In contrast to the ZnO thin-film ones, the ZnO/silicon nanowire EGFET sensor achieved much better sensitivity and superior linearity. It was attributed to a high surface-to-volume ratio of the nanowire structures, reflecting a larger effective sensing area. The output voltage and time characteristics were also measured to indicate good reliability and durability for the ZnO/silicon nanowires sensor. Furthermore, the hysteresis was 9.74 mV after the solution was changed as pH 7 → pH 3 → pH 7 → pH 11 → pH 7. - Highlights: ► Coaxial-structured ZnO/silicon nanowire EGFET was demonstrated as pH sensor. ► EMD and ALD methods were proposed to fabricate ZnO/silicon nanowires. ► ZnO/silicon nanowire EGFET sensor achieved better sensitivity and linearity. ► ZnO/silicon nanowire EGFET sensor had good reliability and durability

  8. Mechanisms limiting the performance of large grain polycrystalline silicon solar cells

    Science.gov (United States)

    Culik, J. S.; Alexander, P.; Dumas, K. A.; Wohlgemuth, J. W.

    1984-01-01

    The open-circuit voltage and short-circuit current of large-grain (1 to 10 mm grain diameter) polycrystalline silicon solar cells is determined by the minority-carrier diffusion length within the bulk of the grains. This was demonstrated by irradiating polycrystalline and single-crystal (Czochralski) silicon solar cells with 1 MeV electrons to reduce their bulk lifetime. The variation of short-circuit current with minority-carrier diffusion length for the polycrystalline solar cells is identical to that of the single-crystal solar cells. The open-circuit voltage versus short-circuit current characteristic of the polycrystalline solar cells for reduced diffusion lengths is also identical to that of the single-crystal solar cells. The open-circuit voltage of the polycrystalline solar cells is a strong function of quasi-neutral (bulk) recombination, and is reduced only slightly, if at all, by grain-boundary recombination.

  9. Electrochemical characteristics of bundle-type silicon nanorods as an anode material for lithium ion batteries

    International Nuclear Information System (INIS)

    Nguyen, Si Hieu; Lim, Jong Choo; Lee, Joong Kee

    2012-01-01

    Highlights: ► A metal-assisted chemical etching technique was performed on Si thin films. ► The etching process resulted in the formation of bundle-type Si nanorods. ► The morphology of Si electrodes closely relate to electrochemical characteristics. - Abstract: In order to prepare bundle-type silicon nanorods, a silver-assisted chemical etching technique was used to modify a 1.6 μm silicon thin film, which was deposited on Cu foil by Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition. The bundle-type silicon nanorods on Cu foil were employed as anodes for a lithium secondary battery, without further treatment. The electrochemical characteristics of the pristine silicon thin film anodes and the bundle-type silicon nanorod anodes are different from one another. The electrochemical performance of the bundle-type silicon nanorod anodes exceeded that of the pristine Si thin film anodes. The specific capacity of the bundle-type silicon nanorod anodes is much higher than 3000 mAh g −1 at the first charge (Li insertion) cycle. The coulombic efficiency of bundle-type silicon anodes was stable at more than 97%, and the charge capacity remained at 1420 mAh g −1 , even after 100 cycles of charging and discharging. The results from the differential voltage analysis showed a side reaction at around 0.44–0.5 V, and the specific potential of this side reaction decreased after each cycle. The apparent diffusion coefficients of the two anode types were in the range of 10 −13 –10 −16 cm 2 s −1 in the first cycle. In subsequent charge cycles, these values for the silicon thin film anodes and the silicon nanorod bundle anode were approximately 10 −12 –10 −14 and 10 −13 –10 −15 cm 2 s −1 , respectively.

  10. Laser characterisation of a 3D single-type column p-type prototype module read out with ATLAS SCT electronics

    International Nuclear Information System (INIS)

    Ehrich, T.; Kuehn, S.; Boscardin, M.; Dalla Betta, G.-F.; Eckert, S.; Jakobs, K.; Maassen, M.; Parzefall, U.; Piemonte, C.; Pozza, A.; Ronchin, S.; Zorzi, N.

    2007-01-01

    In this paper measurements of a 3D single-type column (3D-stc) microstrip silicon device are shown. The 3D-stc sensor has n-type columns in p-type substrate. It has been connected to an ATLAS SCT ABCD3T chip and is readout with ATLAS SCT electronics at 40 MHz. Spatial measurements were done with a laser setup to investigate the expected low field region in 3D devices. An influence of the p-stops on the collected charge has been observed

  11. Laser characterisation of a 3D single-type column p-type prototype module read out with ATLAS SCT electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ehrich, T. [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder Str. 3, 79104 Freiburg (Germany); Kuehn, S. [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder Str. 3, 79104 Freiburg (Germany)], E-mail: susanne.kuehn@physik.uni-freiburg.de; Boscardin, M.; Dalla Betta, G.-F. [ITC-irst Trento, Microsystems Division, via Sommarive, 18 38050 Povo di Trento (Italy); Eckert, S.; Jakobs, K.; Maassen, M.; Parzefall, U. [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder Str. 3, 79104 Freiburg (Germany); Piemonte, C.; Pozza, A.; Ronchin, S.; Zorzi, N. [ITC-irst Trento, Microsystems Division, via Sommarive, 18 38050 Povo di Trento (Italy)

    2007-12-11

    In this paper measurements of a 3D single-type column (3D-stc) microstrip silicon device are shown. The 3D-stc sensor has n-type columns in p-type substrate. It has been connected to an ATLAS SCT ABCD3T chip and is readout with ATLAS SCT electronics at 40 MHz. Spatial measurements were done with a laser setup to investigate the expected low field region in 3D devices. An influence of the p-stops on the collected charge has been observed.

  12. VV and VO2 defects in silicon studied with hybrid density functional theory

    KAUST Repository

    Christopoulos, Stavros Richard G

    2014-12-07

    The formation of VO (A-center), VV and VO2 defects in irradiated Czochralski-grown silicon (Si) is of technological importance. Recent theoretical studies have examined the formation and charge states of the A-center in detail. Here we use density functional theory employing hybrid functionals to analyze the formation of VV and VO2 defects. The formation energy as a function of the Fermi energy is calculated for all possible charge states. For the VV and VO2 defects double negatively charged and neutral states dominate, respectively.

  13. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    Science.gov (United States)

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  14. Nanomechanical properties of thick porous silicon layers grown on p- and p+-type bulk crystalline Si

    International Nuclear Information System (INIS)

    Charitidis, C.A.; Skarmoutsou, A.; Nassiopoulou, A.G.; Dragoneas, A.

    2011-01-01

    Highlights: → The nanomechanical properties of bulk crystalline Si. → The nanomechanical properties of porous Si. → The elastic-plastic deformation of porous Si compared to bulk crystalline quantified by nanoindentation data analysis. - Abstract: The nanomechanical properties and the nanoscale deformation of thick porous Si (PSi) layers of two different morphologies, grown electrochemically on p-type and p+-type Si wafers were investigated by the depth-sensing nanoindentation technique over a small range of loads using a Berkovich indenter and were compared with those of bulk crystalline Si. The microstructure of the thick PSi layers was characterized by field emission scanning electron microscopy. PSi layers on p+-type Si show an anisotropic mesoporous structure with straight vertical pores of diameter in the range of 30-50 nm, while those on p-type Si show a sponge like mesoporous structure. The effect of the microstructure on the mechanical properties of the layers is discussed. It is shown that the hardness and Young's modulus of the PSi layers exhibit a strong dependence on their microstructure. In particular, PSi layers with the anisotropic straight vertical pores show higher hardness and elastic modulus values than sponge-like layers. However, sponge-like PSi layers reveal less plastic deformation and higher wear resistance compared with layers with straight vertical pores.

  15. Study of araldite in edge protection of n-type and p-type surface barrier detectors

    International Nuclear Information System (INIS)

    Alencar, M.A.V.; Jesus, E.F.O.; Lopes, R.T.

    1995-01-01

    The aim of this work is the realization of a comparative study between the surface barrier detectors performance n and type using the epoxy resin Araldite as edge protection material with the purpose of determining which type of detector (n or p) the use of Araldite is more indicated. The surface barrier detectors were constructed using n and p type silicon wafer with resistivity of 3350Ω.cm and 5850 Ω.cm respectively. In the n type detectors, the metals used as ohmic and rectifier contacts were the Al and Au respectively, while in the p type detectors, the ohmic and rectifier contacts were Au and Al. All metallic contacts were done by evaporation in high vacuum (∼10 -4 Torr) and with deposit of 40 μm/cm 2 . The obtained results for the detectors (reverse current of -350nA and resolution from 21 to 26 keV for p type detectors and reserve current of 1μA and resolution from 44 to 49 keV for n type detectors) tend to demonstrate that use of epoxy resin Araldite in the edge protection is more indicated to p type surface barrier detectors. (author). 3 refs., 4 figs., 1 tab

  16. Surface States and Effective Surface Area on Photoluminescent P-Type Porous Silicon

    Science.gov (United States)

    Weisz, S. Z.; Porras, A. Ramirez; Resto, O.; Goldstein, Y.; Many, A.; Savir, E.

    1997-01-01

    The present study is motivated by the possibility of utilizing porous silicon for spectral sensors. Pulse measurements on the porous-Si/electrolyte system are employed to determine the surface effective area and the surface-state density at various stages of the anodization process used to produce the porous material. Such measurements were combined with studies of the photoluminescence spectra. These spectra were found to shift progressively to the blue as a function of anodization time. The luminescence intensity increases initially with anodization time, reaches a maximum and then decreases with further anodization. The surface state density, on the other hand, increases with anodization time from an initial value of about 2 x 10(exp 12)/sq cm surface to about 1013 sq cm for the anodized surface. This value is attained already after -2 min anodization and upon further anodization remains fairly constant. In parallel, the effective surface area increases by a factor of 10-30. This behavior is markedly different from the one observed previously for n-type porous Si.

  17. Characterization of thermal, optical and carrier transport properties of porous silicon using the photoacoustic technique

    International Nuclear Information System (INIS)

    Sheng, Chan Kok; Mahmood Mat Yunus, W.; Yunus, Wan Md. Zin Wan; Abidin Talib, Zainal; Kassim, Anuar

    2008-01-01

    In this work, the porous silicon layer was prepared by the electrochemical anodization etching process on n-type and p-type silicon wafers. The formation of the porous layer has been identified by photoluminescence and SEM measurements. The optical absorption, energy gap, carrier transport and thermal properties of n-type and p-type porous silicon layers were investigated by analyzing the experimental data from photoacoustic measurements. The values of thermal diffusivity, energy gap and carrier transport properties have been found to be porosity-dependent. The energy band gap of n-type and p-type porous silicon layers was higher than the energy band gap obtained for silicon substrate (1.11 eV). In the range of porosity (50-76%) of the studies, our results found that the optical band-gap energy of p-type porous silicon (1.80-2.00 eV) was higher than that of the n-type porous silicon layer (1.70-1.86 eV). The thermal diffusivity value of the n-type porous layer was found to be higher than that of the p-type and both were observed to increase linearly with increasing layer porosity

  18. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei

    2009-03-11

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive atomic force microscope (AFM) in contact mode. The output voltage pulse is generated when the tip contacts the stretched side (positive piezoelectric potential side) of the NW. In contrast, the n-type ZnO NW produces negative output voltage when scanned by the AFM tip, and the output voltage pulse is generated when the tip contacts the compressed side (negative potential side) of the NW. In reference to theoretical simulation, these experimentally observed phenomena have been systematically explained based on the mechanism proposed for a nanogenerator. © 2009 American Chemical Society.

  19. Study on defects and impurities in cast-grown polycrystalline silicon substrates for solar cells

    International Nuclear Information System (INIS)

    Arafune, K.; Sasaki, T.; Wakabayashi, F.; Terada, Y.; Ohshita, Y.; Yamaguchi, M.

    2006-01-01

    We focused on the defects and impurities in polycrystalline silicon substrates, which deteriorate solar cell efficiency. Comparison of the minority carrier lifetime with the grain size showed that the region with short minority carrier lifetimes did not correspond to the region with small grains. Conversely, the minority carrier lifetime decreased as the etch-pit density (EPD) increased, suggesting that the minority carrier lifetime is strongly affected by the EPD. Electron beam induced current measurements revealed that a combination of grain boundaries and point defects had high recombination activity. Regarding impurities, the interstitial oxygen concentration was relatively low compared with that in a Czochralski-grown silicon substrate, the total carbon concentration exceeded the solubility limit of silicon melt. X-ray microprobe fluorescence measurements revealed a large amount of iron in the regions where there were many etch-pits and grain boundaries with etch-pits. X-ray absorption near edge spectrum analysis revealed trapped iron in the form of oxidized iron

  20. a-Si:H/c-Si heterojunction front- and back contacts for silicon solar cells with p-type base

    Energy Technology Data Exchange (ETDEWEB)

    Rostan, Philipp Johannes

    2010-07-01

    This thesis reports on low temperature amorphous silicon back and front contacts for high-efficiency crystalline silicon solar cells with a p-type base. The back contact uses a sequence of intrinsic amorphous (i-a-Si:H) and boron doped microcrystalline (p-{mu}c-Si:H) silicon layers fabricated by Plasma Enhanced Chemical Vapor Deposition (PECVD) and a magnetron sputtered ZnO:Al layer. The back contact is finished by evaporating Al onto the ZnO:Al and altogether prepared at a maximum temperature of 220 C. Analysis of the electronic transport of mobile charge carriers at the back contact shows that the two high-efficiency requirements low back contact series resistance and high quality c-Si surface passivation are in strong contradiction to each other, thus difficult to achieve at the same time. The preparation of resistance- and effective lifetime samples allows one to investigate both requirements independently. Analysis of the majority charge carrier transport on complete Al/ZnO:Al/a-Si:H/c-Si back contact structures derives the resistive properties. Measurements of the effective minority carrier lifetime on a-Si:H coated wafers determines the back contact surface passivation quality. Both high-efficiency solar cell requirements together are analyzed in complete photovoltaic devices where the back contact series resistance mainly affects the fill factor and the back contact passivation quality mainly affects the open circuit voltage. The best cell equipped with a diffused emitter with random texture and a full-area a-Si:H/c-Si back contact has an independently confirmed efficiency {eta} = 21.0 % with an open circuit voltage V{sub oc} = 681 mV and a fill factor FF = 78.7 % on an area of 1 cm{sup 2}. An alternative concept that uses a simplified a-Si:H layer sequence combined with Al-point contacts yields a confirmed efficiency {eta} = 19.3 % with an open circuit voltage V{sub oc} = 655 mV and a fill factor FF = 79.5 % on an area of 2 cm{sup 2}. Analysis of the

  1. Silicon in Imperata cylindrica (L.) P. Beauv: content, distribution, and ultrastructure.

    Science.gov (United States)

    Rufo, Lourdes; Franco, Alejandro; de la Fuente, Vicenta

    2014-07-01

    Silicon concentration, distribution, and ultrastructure of silicon deposits in the Poaceae Imperata cylindrica (L.) P. Beauv. have been studied. This grass, known for its medicinal uses and also for Fe hyperaccumulation and biomineralization capacities, showed a concentration of silicon of 13,705 ± 9,607 mg/kg dry weight. Silicon was found as an important constituent of cell walls of the epidermis of the whole plant. Silica deposits were found in silica bodies, endodermis, and different cells with silicon-collapsed lumen as bulliforms, cortical, and sclerenchyma cells. Transmission electron microscope observations of these deposits revealed an amorphous material of an ultrastructure similar to that previously reported in silica bodies of other Poaceae.

  2. Defect characterization in high-purity silicon after γ- and hadron irradiation

    International Nuclear Information System (INIS)

    Stahl, J.

    2004-07-01

    The challenge for silicon particle detectors in future high energy physics experiments caused by extreme radiation fields can only be met by an appropriate defect engineering of the starting material. Appreciable improvements had already been obtained by enriching high resistivity float zone silicon with oxygen as demonstrated by the CERN RD48 collaboration. This thesis will focus on the difference observed after irradiation between standard and oxygenated float zone and detector grade Czochralski silicon. Results obtained with diodes manufactured on epitaxial layers are also included, envisioning effects arising from the possible migration of impurities during the crystal growth from the oxygen rich Czochralski substrate. Deep level transient spectroscopy (DLTS) and thermally stimulated current (TSC) measurements have been performed for defect characterization after γ- and hadron irradiation. Also a new high resolution DLTS technique has been used for the first time to separate defect levels with similar parameters. During the microscopic studies additionally to the well known defects like VO i , V 2 , C i O i or VP, four new radiation induced defects have been discovered and characterized. Two of these defects are closely correlated with the detector performance: A deep acceptor labeled as I-defect, and a bistable donor (BD). The formation of the I-defect is strongly suppressed in oxygen rich materials, while the formation of the BD is suppressed in oxygen lean material. With their properties the I- and the BD-defect are able to explain the different macroscopic behavior of standard and oxygen enriched float zone silicon after γ-irradiation. Furthermore, the BD defect is most probably responsible for the observation that in Cz and Epi diodes space charge sign inversion does not occur even after high fluences of proton irradiation. Additionally the γ-irradiated diodes were annealed at temperatures between 100 C and 350 C. During these studies some new reaction

  3. Radiation damage and defect behavior in proton irradiated lithium-counterdoped n+p silicon solar cells

    Science.gov (United States)

    Stupica, John; Goradia, Chandra; Swartz, Clifford K.; Weinberg, Irving

    1987-01-01

    Two lithium-counterdoped n+p silicon solar cells with different lithium concentrations were irradiated by 10-MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the highest radiation resistance. Deep level transient spectroscopy which showed two deep level defects that were lithium related. Relating the defect energy levels obtained from this study with those from earlier work using 1-MeV electron irradiation shows no correlation of the defect energy levels. There is one marked similarity: the absence of the boron-interstitial-oxygen-interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell.

  4. Determination of intrinsic polarization for K{sub 2}ZnCl{sub 4} single crystal grown by Czochralski technique for ferroelectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sonu [Crystal Lab, Department of Physics & Astrophysics, University of Delhi, Delhi-7 (India); Ray, Geeta [Crystal Lab, Department of Physics & Astrophysics, University of Delhi, Delhi-7 (India); Physics Department, Miranda House, University of Delhi, Delhi-7 (India); Sinha, Nidhi [Crystal Lab, Department of Physics & Astrophysics, University of Delhi, Delhi-7 (India); Department of Electronics, SGTB Khalsa College, University of Delhi, Delhi-7 (India); Kumar, Binay, E-mail: b3kumar69@yahoo.co.in [Crystal Lab, Department of Physics & Astrophysics, University of Delhi, Delhi-7 (India)

    2017-04-01

    Large sized single crystal of K{sub 2}ZnCl{sub 4} (KZC) was grown by Czochralski (Cz) technique. Structural parameters of KZC were determined by Single crystal X-ray diffraction (SCXRD). From DSC analysis and temperature dependent dielectric measurement, KZC crystal was found to show Curie phase transition at 151 °C. TG/DTA confirmed the melting point that was found to be 443 °C. The value of piezoelectric charge coefficient (d{sub 33}) for KZC crystal was found to be 32 pC/N demonstrating their applicability in transducers and piezoelectric devices. Ferroelectric P-E loop for the grown crystal was traced at room temperature and the intrinsic polarization obtained by PUND measurement was found to be 0.1398 μC/cm{sup 2} indicating its applicability in switching devices. The energy band gap for KZC single crystal was found to be 6.13 eV. Vickers micro-hardness test revealed soft nature of KZC single crystals. - Highlights: • Large sized K{sub 2}ZnCl{sub 4} (KZC) single crystal was grown by Czochralski technique. • It possesses high Curie temperature as 151 °C. • d{sub 33} coefficient was found to be 32 pC/N. • Intrinsic polarization measured by PUND. • Its direct band gap energy was calculated to be 6.13 eV.

  5. The electronic structure of radial p-n junction silicon nanowires

    Science.gov (United States)

    Chiou, Shan-Haw; Grossman, Jeffrey

    2007-03-01

    Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism.

  6. Proposal of a neutron transmutation doping facility for n-type spherical silicon solar cell at high-temperature engineering test reactor.

    Science.gov (United States)

    Ho, Hai Quan; Honda, Yuki; Motoyama, Mizuki; Hamamoto, Shimpei; Ishii, Toshiaki; Ishitsuka, Etsuo

    2018-05-01

    The p-type spherical silicon solar cell is a candidate for future solar energy with low fabrication cost, however, its conversion efficiency is only about 10%. The conversion efficiency of a silicon solar cell can be increased by using n-type silicon semiconductor as a substrate. This study proposed a new method of neutron transmutation doping silicon (NTD-Si) for producing the n-type spherical solar cell, in which the Si-particles are irradiated directly instead of the cylinder Si-ingot as in the conventional NTD-Si. By using a 'screw', an identical resistivity could be achieved for the Si-particles without a complicated procedure as in the NTD with Si-ingot. Also, the reactivity and neutron flux swing could be kept to a minimum because of the continuous irradiation of the Si-particles. A high temperature engineering test reactor (HTTR), which is located in Japan, was used as a reference reactor in this study. Neutronic calculations showed that the HTTR has a capability to produce about 40t/EFPY of 10Ωcm resistivity Si-particles for fabrication of the n-type spherical solar cell. Copyright © 2018 Elsevier Ltd. All rights reserved.

  7. Effect of impurities on the growth of {113} interstitial clusters in silicon under electron irradiation

    Science.gov (United States)

    Nakai, K.; Hamada, K.; Satoh, Y.; Yoshiie, T.

    2011-01-01

    The growth and shrinkage of interstitial clusters on {113} planes were investigated in electron irradiated Czochralski grown silicon (Cz-Si), floating-zone silicon (Fz-Si), and impurity-doped Fz-Si (HT-Fz-Si) using a high voltage electron microscope. In Fz-Si, {113} interstitial clusters were formed only near the beam incident surface after a long incubation period, and shrank on subsequent irradiation from the backside of the specimen. In Cz-Si and HT-Fz-Si, {113} interstitial clusters nucleated uniformly throughout the specimen without incubation, and began to shrink under prolonged irradiation at higher electron beam intensity. At lower beam intensity, however, the {113} interstitial cluster grew stably. These results demonstrate that the {113} interstitial cluster cannot grow without a continuous supply of impurities during electron irradiation. Detailed kinetics of {113} interstitial cluster growth and shrinkage in silicon, including the effects of impurities, are proposed. Then, experimental results are analyzed using rate equations based on these kinetics.

  8. Effects of silicon:carbon P+ layer interfaces on solar cells

    International Nuclear Information System (INIS)

    Jeffrey, F.R.; Vernstrom, G.D.; Weber, M.F.; Gilbert, J.R.

    1987-01-01

    Results are presented showing the effects on amorphous silicon (a-Si) photovoltaic performance of the interfaces associated with a silicon carbide (a-Si:C) p+ layer. Carbon grading into the intrinsic layer from the p+ layer increases open circuit voltage (Voc) from 0.7V to 0.88V. This effect is very similar to the boron profile effect reported earlier and supports the contention that Voc is being limited by an electron current at the p-i interface. The interface between the p+ a-Si:C layer and the transparent conductive oxide (TCO) is shown to be a potential source of high series resistance, with an abrupt interface showing the most serious problem. The effect is explained by electron injection from the TCO into the p+ layer being inhibited as a result of band mismatch

  9. FTIR studies of swift silicon and oxygen ion irradiated porous silicon

    International Nuclear Information System (INIS)

    Bhave, Tejashree M.; Hullavarad, S.S.; Bhoraskar, S.V.; Hegde, S.G.; Kanjilal, D.

    1999-01-01

    Fourier Transform Infrared Spectroscopy has been used to study the bond restructuring in silicon and oxygen irradiated porous silicon. Boron doped p-type (1 1 1) porous silicon was irradiated with 10 MeV silicon and a 14 MeV oxygen ions at different doses ranging between 10 12 and 10 14 ions cm -2 . The yield of PL in porous silicon irradiated samples was observed to increase considerably while in oxygen irradiated samples it was seen to improve only by a small extent for lower doses whereas it decreased for higher doses. The results were interpreted in view of the relative intensities of the absorption peaks associated with O-Si-H and Si-H stretch bonds

  10. X- and gamma-ray N+PP+ silicon detectors with high radiation resistance

    International Nuclear Information System (INIS)

    Petris, M.; Ruscu, R.; Moraru, R.; Cimpoca, V.

    1998-01-01

    We have investigated the use of p-type silicon detectors as starting material for X-and gamma-ray detectors because of several potential benefits it would bring: 1. high purity p-type silicon grown by the float-zone process exhibits better radial dopant uniformity than n-type float-zone silicon; 2. it is free of radiation damage due to the neutron transmutation doping process and behaves better in a radiation field because mainly acceptor like centers are created through the exposure and the bulk material type inversion does not occur as in the n-type silicon. But the p-type silicon, in combination with a passivating layer of silicon dioxide, leads to a more complex detector layout since the positive charge in the oxide causes an inversion in the surface layer under the silicon dioxide. Consequently, it would be expected that N + P diodes have a higher leakage current than P + N ones. All these facts have been demonstrated experimentally. These features set stringent requirements for the technology of p-type silicon detectors. Our work presents two new geometries and an improved technology for p-type high resistivity material to obtain low noise radiation detectors. Test structures were characterized before and after the gamma exposure with a cumulative dose in the range 10 4 - 5 x 10 6 rad ( 60 Co). Results indicate that proposed structures and their technology enable the development of reliable N + PP + silicon detectors. For some samples (0.8 - 12 mm 2 ), extremely low reverse currents were obtained and, in combination with a low noise charge preamplifier, the splitting of 241 Am X-ray lines was possible and also the Mn Kα line (5.9 keV) was extracted from the noise with a 1.9 keV FWHM at the room temperature. An experimental model of a nuclear probe based on these diodes was designed for X-ray detection applications. (authors)

  11. Hybrid single quantum well InP/Si nanobeam lasers for silicon photonics.

    Science.gov (United States)

    Fegadolli, William S; Kim, Se-Heon; Postigo, Pablo Aitor; Scherer, Axel

    2013-11-15

    We report on a hybrid InP/Si photonic crystal nanobeam laser emitting at 1578 nm with a low threshold power of ~14.7 μW. Laser gain is provided from a single InAsP quantum well embedded in a 155 nm InP layer bonded on a standard silicon-on-insulator wafer. This miniaturized nanolaser, with an extremely small modal volume of 0.375(λ/n)(3), is a promising and efficient light source for silicon photonics.

  12. Defect study of Zn-doped p-type gallium antimonide using positron lifetime spectroscopy

    International Nuclear Information System (INIS)

    Ling, C. C.; Fung, S.; Beling, C. D.; Huimin, Weng

    2001-01-01

    Defects in p-type Zn-doped liquid-encapsulated Czochralski--grown GaSb were studied by the positron lifetime technique. The lifetime measurements were performed on the as-grown sample at temperature varying from 15 K to 297 K. A positron trapping center having a characteristic lifetime of 317 ps was identified as the neutral V Ga -related defect. Its concentration in the as-grown sample was found to be in the range of 10 17 --10 18 cm -3 . At an annealing temperature of 300 o C, the V Ga -related defect began annealing out and a new defect capable of trapping positrons was formed. This newly formed defect, having a lifetime value of 379 ps, is attributed to a vacancy--Zn-defect complex. This defect started annealing out at a temperature of 580 o C. A positron shallow trap having binding energy and concentration of 75 meV and 10 18 cm -3 , respectively, was also observed in the as-grown sample. This shallow trap is attributed to positrons forming hydrogenlike Rydberg states with the ionized dopant acceptor Zn

  13. Nuclear radiation detectors using high resistivity neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Gessner, T.; Irmer, K.

    1983-01-01

    A method for the production of semiconductor detectors based on high resistivity n-type silicon is described. The n-type silicon is produced by neutron irradiation of p-type silicon. The detectors are produced by planar technique. They are suitable for the spectrometry of alpha particles and for the pulse count measurement of beta particles at room temperature. (author)

  14. Production of Solar Grade (SoG) Silicon by Refining Liquid Metallurgical Grade (MG) Silicon: Final Report, 19 April 2001; FINAL

    International Nuclear Information System (INIS)

    Khattack, C. P.; Joyce, D. B.; Schmid, F.

    2001-01-01

    This report summarizes the results of the developed technology for producing SoG silicon by upgrading MG silicon with a cost goal of$20/kg in large-scale production. A Heat Exchanger Method (HEM) furnace originally designed to produce multicrystalline ingots was modified to refine molten MG silicon feedstock prior to directional solidification. Based on theoretical calculations, simple processing techniques, such as gas blowing through the melt, reaction with moisture, and slagging have been used to remove B from molten MG silicon. The charge size was scaled up from 1 kg to 300 kg in incremental steps and effective refining was achieved. After the refining parameters were established, improvements to increase the impurity reduction rates were emphasized. With this approach, 50 kg of commercially available as-received MG silicon was processed for a refining time of about 13 hours. A half life of and lt;2 hours was achieved, and the B concentration was reduced to 0.3 ppma and P concentration to 10 ppma from the original values of 20 to 60 ppma, and all other impurities to and lt;0.1 ppma. Achieving and lt;1 ppma B by this simple refining technique is a breakthrough towards the goal of achieving low-cost SoG silicon for PV applications. While the P reduction process was being optimized, the successful B reduction process was applied to a category of electronics industry silicon scrap previously unacceptable for PV feedstock use because of its high B content (50-400 ppma). This material after refining showed that its B content was reduced by several orders of magnitude, to(approx)1 ppma (0.4 ohm-cm, or about 5x1016 cm-3). NREL's Silicon Materials Research team grew and wafered small and lt;100 and gt; dislocation-free Czochralski (Cz) crystals from the new feedstock material for diagnostic tests of electrical properties, C and O impurity levels, and PV performance relative to similar crystals grown from EG feedstock and commercial Cz wafers. The PV conversion

  15. Application of the Proper Orthogonal Decomposition to Turbulent Czochralski Convective Flows

    International Nuclear Information System (INIS)

    Rahal, S; Cerisier, P; Azuma, H

    2007-01-01

    The aim of this work is to study the general aspects of the convective flow instabilities in a simulated Czochralski system. We considered the influence of the buoyancy and crystal rotation. Velocity fields, obtained by an ultrasonic technique, the corresponding 2D Fourier spectra and a correlation function, have been used. Steady, quasi-periodic and turbulent flows, are successively recognized, as the Reynolds number was increased, for a fixed Rayleigh number. The orthogonal decomposition method was applied and the numbers of modes, involved in the dynamics of turbulent flows, calculated. As far as we know, this method has been used for the first time to study the Czochralski convective flows. This method provides also information on the most important modes and allows simple theoretical models to be established. The large rotation rates of the crystal were found to stabilize the flow, and conversely the temperature gradients destabilize the flow. Indeed, the increase of the rotation effects reduces the number of involved modes and oscillations, and conversely, as expected, the increase of the buoyancy effects induces more modes to be involved in the dynamics. Thus, the flow oscillations can be reduced either by increasing the crystal rotation rate to the adequate value, as shown in this study or by imposing a magnetic field

  16. Surface Passivation for Silicon Heterojunction Solar Cells

    NARCIS (Netherlands)

    Deligiannis, D.

    2017-01-01

    Silicon heterojunction solar cells (SHJ) are currently one of the most promising solar cell technologies in the world. The SHJ solar cell is based on a crystalline silicon (c-Si) wafer, passivated on both sides with a thin intrinsic hydrogenated amorphous silicon (a-Si:H) layer. Subsequently, p-type

  17. Photo and electroluminescence of porous silicon layers

    International Nuclear Information System (INIS)

    Keshmini, S.H.; Samadpour, S.; Haji-Ali, E.; Rokn-Abadi, M.R.

    1995-01-01

    Porous silicon (PSi) layers were prepared by both chemical and electrochemical methods on n- and p-type Si substrates. In the former technique, light emission was obtained from p-type and n-type samples. It was found that intense light illumination during the preparation process was essential for PSi formation on n-type substrates. An efficient electrochemical cell with some useful features was designed for electrochemical etching of silicon. Various preparation parameters were studied and photoluminescence emissions ranging from dark red to light blue were obtained from PSi samples prepared on p-type substrates. N-type samples produced emission ranging from dark red to orange yellow. Electroluminescence of porous silicon samples showed that the color of the emission was the same as the photoluminescence color of the sample, and its intensity and duration depended on the current density passed through the sample. The effects of exposure of samples to air, storage in vacuum and heat treatment in air on luminescence intensity of the samples and preparation of patterned porous layers were also studied. (author)

  18. Development of edgeless silicon pixel sensors on p-type substrate for the ATLAS high-luminosity upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Calderini, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Universitá di Pisa, Pisa (Italy); Bagolini, A. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bomben, M. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bosisio, L. [Università degli studi di Trieste and INFN-Trieste (Italy); Chauveau, J. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Universitè de Geneve, Geneve (Switzerland); Marchiori, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy)

    2014-11-21

    In view of the LHC upgrade for the high luminosity phase (HL-LHC), the ATLAS experiment is planning to replace the inner detector with an all-silicon system. The n-in-p bulk technology represents a valid solution for the modules of most of the layers, given the significant radiation hardness of this option and the reduced cost. The large area necessary to instrument the outer layers will demand to tile the sensors, a solution for which the inefficient region at the border of each sensor needs to be reduced to the minimum size. This paper reports on a joint R and D project by the ATLAS LPNHE Paris group and FBK Trento on a novel n-in-p edgeless planar pixel design, based on the deep-trench process available at FBK.

  19. Profiling N-Type Dopants in Silicon

    Czech Academy of Sciences Publication Activity Database

    Hovorka, Miloš; Mika, Filip; Mikulík, P.; Frank, Luděk

    2010-01-01

    Roč. 51, č. 2 (2010), s. 237-242 ISSN 1345-9678 R&D Projects: GA ČR GP102/09/P543; GA AV ČR IAA100650803 Institutional research plan: CEZ:AV0Z20650511 Keywords : silicon * dopant contrast * photoemission electron microscopy * scanning electron microscopy Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.779, year: 2010 http://www.jim.or.jp/journal/e/51/02/237.html

  20. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  1. The study of 1 MeV electron irradiation induced defects in N-type and P-type monocrystalline silicon

    Science.gov (United States)

    Babaee, S.; Ghozati, S. B.

    2017-12-01

    Despite extensive use of GaAs cells in space, silicon cells are still being used. The reason is that not only they provide a good compromise between efficiency and cost, but also some countries do not have the required technology for manufacturing GaAs. Behavior of a silicon cell under any levels of charged particle irradiation could be deducted from the results of a damage equivalent 1 MeV electron irradiation using the NASA EQflux open source software package. In this paper for the first time, we have studied the behavior of a silicon cell before and after 1 MeV electron irradiation with 1014, 1015 and 1016 electrons-cm-2 fluences, using SILVACO TCAD simulation software package. Simulation was carried out at room temperature under AM0 condition. Results reveal that open circuit voltage and efficiency decrease after irradiation while short circuit current shows a slight increase in the trend around 5 × 1016 electrons-cm-2, and short circuit current loss plays an important role on efficiency changes rather than open circuit voltage.

  2. Capacity spectroscopy of minority-carrier radiation traps in n-type silicon

    International Nuclear Information System (INIS)

    Kuchinskij, P.V.; Lomako, V.M.; Shakhlevich, L.N.

    1987-01-01

    Minority charge-carrier radiation traps in n-silicon, produced by neutron transmutation doping (NTD) and zone melting method, were studied using unsteady capacity spectroscopy method. Studying the parameters of defects, formed in the lower half of the restricted zone, was performed using minority carrier injection by forward current pulses. Samples were p + -n-structures, produced on the basis of silicon with different oxygen content. It is shown, that a trap with activation energy ≅E v +0.34 eV appears to be the main defect in oxygen p-silicon. Investigation into thermal stability has shown, that centers with E v +0.34 eV and E v +0.27 eV activation energies are annealed within the same temperature interval (300-400 deg C)

  3. Real structure of LaGaO3 monocrystals grown by Czochralski method

    International Nuclear Information System (INIS)

    Morozov, A.N.; Morozova, O.Yu.; Ponomarev, N.M.

    1993-01-01

    A complex X-ray diffraction study of lanthanum (ortho)gallate crystal structure and structural defects in LaGaO 3 crystals grown by the Czochralski method is carried out. Coordinates of atoms in LaGaO 3 unit cell are determined. X-Ray topographic studies of oriented LaGaO 3 sublayers for high-tc superconductor film growth are optimized. The substructure of monocrystals is studied

  4. Hydrogen Production Using a Molybdenum Sulfide Catalyst on a Titanium-Protected n+p-Silicon Photocathode

    DEFF Research Database (Denmark)

    Seger, Brian; Laursen, Anders Bo; Vesborg, Peter Christian Kjærgaard

    2012-01-01

    A low-cost substitute: A titanium protection layer on silicon made it possible to use silicon under highly oxidizing conditions without oxidation of the silicon. Molybdenum sulfide was electrodeposited on the Ti-protected n+p-silicon electrode. This electrode was applied as a photocathode for wat...

  5. Decade of PV Industry R and D Advances in Silicon Module Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Symko-Davis, M.; Mitchell, R.L.; Witt, C.E.; Thomas, H.P. [National Renewable Energy Laboratory; King, R.[U.S. Department of Energy; Ruby, D.S. [Sandia National Laboratories

    2001-01-18

    The US Photovoltaic (PV) industry has made significant technical advances in crystalline silicon (Si) module manufacturing through the PV Manufacturing R and D Project during the past decade. Funded Si technologies in this project have been Czochralski, cast polycrystalline, edge-defined film-fed growth (EFG) ribbon, string ribbon, and Si-film. Specific R and D Si module-manufacturing categories that have shown technical growth and will be discussed are in crystal growth and processing, wafering, cell fabrication, and module manufacturing. These R and D advancements since 1992 have contributed to a 30% decrease in PV manufacturing costs and stimulated a sevenfold increase in PV production capacity.

  6. Formation of nanosize poly(p-phenylene vinylene) in porous silicon substrate

    International Nuclear Information System (INIS)

    Le Rendu, P.; Nguyen, T.P.; Cheah, K.; Joubert, P.

    2003-01-01

    We report the results of optical investigations in porous silicon (PS)/poly(p-phenylene vinylene) (PPV) systems obtained by filling the pores of silicon wafers with polymer. By scanning electron microscopy (SEM), IR, and Raman spectroscopy, we observed that the porous silicon layer was thoroughly filled by the polymer with no significant change in the structure of the materials. This suggests that there is no interaction between the components. On the other hand, the photoluminescence (PL) spectra of the devices investigated at different temperatures (from 11 to 290 K) showed that both materials are active at low temperatures. Porous silicon has a band located at 398 nm while PPV has two bands at 528 and 570 nm. As the temperature increases, the PL intensity of porous silicon decreases and that PPV is blue shifted. A new band emerging at 473 nm may indicate an energy transfer from the porous silicon to PPV, involving short segments of the polymer. The band of PPV located at 515 nm becomes more dominant and indicates that the nanosize polymer films are formed in the pores of the silicon layer, in agreement with the results obtained by SEM, IR, and Raman analyses

  7. Mid-IR optical properties of silicon doped InP

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Han, Li; Norrman, Kion

    2017-01-01

    of growth conditions on the optical and electrical properties of silicon doped InP (InP:Si) in the wavelength range from 3 to 40 μm was studied. The carrier concentration of up to 3.9 × 1019 cm-3 is achieved by optimizing the growth conditions. The dielectric function, effective mass of electrons and plasma...

  8. Morphological and optical properties of n-type porous silicon

    Indian Academy of Sciences (India)

    type silicon wafer have been reported in the present article. Method of PS fabrication is by photo-assisted electrochemical etching with different etching current densities ( J ). Porosity and PS layer thickness, obtained by the gravimetric method, ...

  9. Wurtzite-Phased InP Micropillars Grown on Silicon with Low Surface Recombination Velocity.

    Science.gov (United States)

    Li, Kun; Ng, Kar Wei; Tran, Thai-Truong D; Sun, Hao; Lu, Fanglu; Chang-Hasnain, Connie J

    2015-11-11

    The direct growth of III-V nanostructures on silicon has shown great promise in the integration of optoelectronics with silicon-based technologies. Our previous work showed that scaling up nanostructures to microsize while maintaining high quality heterogeneous integration opens a pathway toward a complete photonic integrated circuit and high-efficiency cost-effective solar cells. In this paper, we present a thorough material study of novel metastable InP micropillars monolithically grown on silicon, focusing on two enabling aspects of this technology-the stress relaxation mechanism at the heterogeneous interface and the microstructure surface quality. Aberration-corrected transmission electron microscopy studies show that InP grows directly on silicon without any amorphous layer in between. A set of periodic dislocations was found at the heterointerface, relaxing the 8% lattice mismatch between InP and Si. Single crystalline InP therefore can grow on top of the fully relaxed template, yielding high-quality micropillars with diameters expanding beyond 1 μm. An interesting power-dependence trend of carrier recombination lifetimes was captured for these InP micropillars at room temperature, for the first time for micro/nanostructures. By simply combining internal quantum efficiency with carrier lifetime, we revealed the recombination dynamics of nonradiative and radiative portions separately. A very low surface recombination velocity of 1.1 × 10(3) cm/sec was obtained. In addition, we experimentally estimated the radiative recombination B coefficient of 2.0 × 10(-10) cm(3)/sec for pure wurtzite-phased InP. These values are comparable with those obtained from InP bulk. Exceeding the limits of conventional nanowires, our InP micropillars combine the strengths of both nanostructures and bulk materials and will provide an avenue in heterogeneous integration of III-V semiconductor materials onto silicon platforms.

  10. Texturization of as-cut p-type monocrystalline silicon wafer using different wet chemical solutions

    Science.gov (United States)

    Hashmi, Galib; Hasanuzzaman, Muhammad; Basher, Mohammad Khairul; Hoq, Mahbubul; Rahman, Md. Habibur

    2018-06-01

    Implementing texturization process on the monocrystalline silicon substrate reduces reflection and enhances light absorption of the substrate. Thus texturization is one of the key elements to increase the efficiency of solar cell. Considering as-cut monocrystalline silicon wafer as base substrate, in this work different concentrations of Na2CO3 and NaHCO3 solution, KOH-IPA (isopropyl alcohol) solution and tetramethylammonium hydroxide solution with different time intervals have been investigated for texturization process. Furthermore, saw damage removal process was conducted with 10% NaOH solution, 20 wt% KOH-13.33 wt% IPA solution and HF/nitric/acetic acid solution. The surface morphology of saw damage, saw damage removed surface and textured wafer were observed using optical microscope and field emission scanning electron microscopy. Texturization causes pyramidal micro structures on the surface of (100) oriented monocrystalline silicon wafer. The height of the pyramid on the silicon surface varies from 1.5 to 3.2 µm and the inclined planes of the pyramids are acute angle. Contact angle value indicates that the textured wafer's surface fall in between near-hydrophobic to hydrophobic range. With respect to base material absolute reflectance 1.049-0.75% within 250-800 nm wavelength region, 0.1-0.026% has been achieved within the same wavelength region when textured with 0.76 wt% KOH-4 wt% IPA solution for 20 min. Furthermore, an alternative route of using 1 wt% Na2CO3-0.2 wt% NaHCO3 solution for 50 min has been exploited in the texturization process.

  11. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  12. Tailoring the optical characteristics of microsized InP nanoneedles directly grown on silicon.

    Science.gov (United States)

    Li, Kun; Sun, Hao; Ren, Fan; Ng, Kar Wei; Tran, Thai-Truong D; Chen, Roger; Chang-Hasnain, Connie J

    2014-01-08

    Nanoscale self-assembly offers a pathway to realize heterogeneous integration of III-V materials on silicon. However, for III-V nanowires directly grown on silicon, dislocation-free single-crystal quality could only be attained below certain critical dimensions. We recently reported a new approach that overcomes this size constraint, demonstrating the growth of single-crystal InGaAs/GaAs and InP nanoneedles with the base diameters exceeding 1 μm. Here, we report distinct optical characteristics of InP nanoneedles which are varied from mostly zincblende, zincblende/wurtzite-mixed, to pure wurtzite crystalline phase. We achieved, for the first time, pure single-crystal wurtzite-phase InP nanoneedles grown on silicon with bandgaps of 80 meV larger than that of zincblende-phase InP. Being able to attain excellent material quality while scaling up in size promises outstanding device performance of these nanoneedles. At room temperature, a high internal quantum efficiency of 25% and optically pumped lasing are demonstrated for single nanoneedle as-grown on silicon substrate. Recombination dynamics proves the excellent surface quality of the InP nanoneedles, which paves the way toward achieving multijunction photovoltaic cells, long-wavelength heterostructure lasers, and advanced photonic integrated circuits.

  13. Photonic porous silicon as a pH sensor.

    Science.gov (United States)

    Pace, Stephanie; Vasani, Roshan B; Zhao, Wei; Perrier, Sébastien; Voelcker, Nicolas H

    2014-01-01

    Chronic wounds do not heal within 3 months, and during the lengthy healing process, the wound is invariably exposed to bacteria, which can colonize the wound bed and form biofilms. This alters the wound metabolism and brings about a change of pH. In this work, porous silicon photonic films were coated with the pH-responsive polymer poly(2-diethylaminoethyl acrylate). We demonstrated that the pH-responsive polymer deposited on the surface of the photonic film acts as a barrier to prevent water from penetrating inside the porous matrix at neutral pH. Moreover, the device demonstrated optical pH sensing capability visible by the unaided eye.

  14. Photovoltaic properties of ZnO nanorods/p-type Si heterojunction structures

    Directory of Open Access Journals (Sweden)

    Rafal Pietruszka

    2014-02-01

    Full Text Available Selected properties of photovoltaic (PV structures based on n-type zinc oxide nanorods grown by a low temperature hydrothermal method on p-type silicon substrates (100 are investigated. PV structures were covered with thin films of Al doped ZnO grown by atomic layer deposition acting as transparent electrodes. The investigated PV structures differ in terms of the shapes and densities of their nanorods. The best response is observed for the structure containing closely-spaced nanorods, which show light conversion efficiency of 3.6%.

  15. Radiation Response of Forward Biased Float Zone and Magnetic Czochralski Silicon Detectors of Different Geometry for 1-MeV Neutron Equivalent Fluence Monitoring

    CERN Document Server

    Mekki, J; Dusseau, Laurent; Roche, Nicolas Jean-Henri; Saigne, Frederic; Mekki, Julien; Glaser, Maurice

    2010-01-01

    Aiming at evaluating new options for radiation monitoring sensors in LHC/SLHC experiments, the radiation responses of FZ and MCz custom made silicon detectors of different geometry have been studied up to about 4 x 10(14) n(eq)/cm(2). The radiation response of the devices under investigation is discussed in terms of material type, thickness and active area influence.

  16. Evolution of end-of-range damage and transient enhanced diffusion of indium in silicon

    Science.gov (United States)

    Noda, T.

    2002-01-01

    Correlation of evolution of end-of-range (EOR) damage and transient enhanced diffusion (TED) of indium has been studied by secondary ion mass spectrometry and transmission electron microscopy. A physically based model of diffusion and defect growth is applied to the indium diffusion system. Indium implantation with 200 keV, 1×1014/cm2 through a 10 nm screen oxide into p-type Czochralski silicon wafer was performed. During postimplantation anneal at 750 °C for times ranging from 2 to 120 min, formation of dislocation loops and indium segregation into loops were observed. Simulation results of evolution of EOR defects show that there is a period that {311} defects dissolve and release free interstitials before the Ostwald ripening step of EOR dislocation loops. Our diffusion model that contains the interaction between indium and loops shows the indium pileup to the loops. Indium segregation to loops occurs at a pure growth step of loops and continues during the Ostwald ripening step. Although dislocation loops and indium segregation in the near-surface region are easily dissolved by high temperature annealing, EOR dislocation loops in the bulk region are rigid and well grown. It is considered that indium trapped by loops with a large radius is energetically stable. It is shown that modeling of the evolution of EOR defects is important for understanding indium TED.

  17. Identification of photoluminescence P line in indium doped silicon as In{sub Si}-Si{sub i} defect

    Energy Technology Data Exchange (ETDEWEB)

    Lauer, Kevin, E-mail: klauer@cismst.de; Möller, Christian [CiS Forschungsinstitut für Mikrosensorik und Photovoltaik GmbH, Konrad-Zuse-Str. 14, 99099 Erfurt (Germany); Schulze, Dirk [TU Ilmenau, Institut für Physik, Weimarer Str. 32, 98693 Ilmenau (Germany); Ahrens, Carsten [Infineon Technologies AG, Am Campeon 1-12, 85579 Neubiberg (Germany)

    2015-01-15

    Indium and carbon co-implanted silicon was investigated by low-temperature photoluminescence spectroscopy. A photoluminescence peak in indium doped silicon (P line) was found to depend on the position of a silicon interstitial rich region, the existence of a SiN{sub x}:H/SiO{sub x} stack and on characteristic illumination and annealing steps. These results led to the conclusion that silicon interstitials are involved in the defect and that hydrogen impacts the defect responsible for the P line. By applying an unique illumination and annealing cycle we were able to link the P line defect with a defect responsible for degradation of charge carrier lifetime in indium as well as boron doped silicon. We deduced a defect model consisting of one acceptor and one silicon interstitial atom denoted by A{sub Si}-Si{sub i}, which is able to explain the experimental data of the P line as well as the light-induced degradation in indium and boron doped silicon. Using this model we identified the defect responsible for the P line as In{sub Si}-Si{sub i} in neutral charge state and C{sub 2v} configuration.

  18. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  19. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  20. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  1. Radiation damage and defect behavior in proton irradiated lithium-counterdoped n/sup +/p silicon solar cells

    International Nuclear Information System (INIS)

    Stupica, J.; Goradia, C.; Swartz, C.K.; Weinberg, I.

    1987-01-01

    Two lithium-counterdoped n/sup +/p silicon solar cells with different lithium concentrations were irradiated by 10 MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the higher radiation resistance. Deep level defects were studied using deep level transient spectroscopy which yielded two defects that were lithium related. Relating the defect energy levels obtained from this study under 10 MeV protons, with an earlier work using 1 MeV electron irradiations shows no correlation of the defect energy levels. There is one marked comparison though. The absence of the boron interstitial-oxygen interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The present results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell

  2. Modelling of thermal field and point defect dynamics during silicon single crystal growth using CZ technique

    Science.gov (United States)

    Sabanskis, A.; Virbulis, J.

    2018-05-01

    Mathematical modelling is employed to numerically analyse the dynamics of the Czochralski (CZ) silicon single crystal growth. The model is axisymmetric, its thermal part describes heat transfer by conduction and thermal radiation, and allows to predict the time-dependent shape of the crystal-melt interface. Besides the thermal field, the point defect dynamics is modelled using the finite element method. The considered process consists of cone growth and cylindrical phases, including a short period of a reduced crystal pull rate, and a power jump to avoid large diameter changes. The influence of the thermal stresses on the point defects is also investigated.

  3. Rectification properties of n-type nanocrystalline diamond heterojunctions to p-type silicon carbide at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Goto, Masaki; Amano, Ryo; Shimoda, Naotaka [Graduate School of Automotive Science, Kyushu University, Nishiku, Fukuoka 819-0395 (Japan); Kato, Yoshimine, E-mail: yoshimine.kato@zaiko.kyushu-u.ac.jp [Department of Materials Science and Engineering, Kyushu University, Nishiku, Fukuoka 819-0395 (Japan); Teii, Kungen [Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan)

    2014-04-14

    Highly rectifying heterojunctions of n-type nanocrystalline diamond (NCD) films to p-type 4H-SiC substrates are fabricated to develop p-n junction diodes operable at high temperatures. In reverse bias condition, a potential barrier for holes at the interface prevents the injection of reverse leakage current from the NCD into the SiC and achieves the high rectification ratios of the order of 10{sup 7} at room temperature and 10{sup 4} even at 570 K. The mechanism of the forward current injection is described with the upward shift of the defect energy levels in the NCD to the conduction band of the SiC by forward biasing. The forward current shows different behavior from typical SiC Schottky diodes at high temperatures.

  4. Technology development of p-type microstrip detectors with radiation hard p-spray isolation

    International Nuclear Information System (INIS)

    Pellegrini, G.; Fleta, C.; Campabadal, F.; Diez, S.; Lozano, M.; Rafi, J.M.; Ullan, M.

    2006-01-01

    A technology for the fabrication of p-type microstrip silicon radiation detectors using p-spray implant isolation has been developed at CNM-IMB. The p-spray isolation has been optimized in order to withstand a gamma irradiation dose up to 50 Mrad (Si), which represents the ionization radiation dose expected in the middle region of the SCT-Atlas detector of the future Super-LHC during 10 years of operation. The best technological options for the p-spray implant were found by using a simulation software package and dedicated calibration runs. Using the optimized technology, detectors have been fabricated in the Clean Room facility of CNM-IMB, and characterized by reverse current and capacitance measurements before and after irradiation. The average full depletion voltage measured on the non-irradiated detectors was V FD =41±3 V, while the leakage current density for the microstrip devices at V FD +20 V was 400 nA/cm 2

  5. Study of the defects related to oxygen in Czochralski silicon destined to photovoltaic solar cells - Influence of isovalent impurities

    International Nuclear Information System (INIS)

    Tanay, Florent

    2013-01-01

    This study aims at understanding the effects of two main defects related to oxygen, the boron-oxygen complexes (responsible for light-induced degradation of the carrier lifetime) and the thermal donors (among other things, responsible for variations of the conductivity), on the electric and photovoltaic properties of silicon. More precisely, the interactions of isovalent impurities, known for modifying the oxygen spatial distribution, with these defects were studied. Two experimental protocols were first developed to evaluate the light-induced degradation of the carrier lifetime in iron-rich silicon. Then, the introduction in silicon of germanium and tin in high quantity were shown not to significantly influence the conversion efficiency of the cells. However, contrary to recent studies from the literature, no reduction due to germanium co-doping or to tin co-doping of the light-induced degradation of the photovoltaic performances was observed. However carbon was shown to lead to a slowdown of the degradation due to boron-oxygen complexes. Moreover contrary to tin which has no influence on the thermal donor generation, germanium slows down their formation. An empirical expression has been proposed to take into account this effect for a large range of germanium concentrations. Eventually in highly doped and compensated silicon, the thermal donor generation is identical as in conventional silicon, which experimentally confirms that the thermal donor formation is limited by the electron density. (author) [fr

  6. Structural characteristics and physical properties of diortho(pyro)silicate crystals of lanthanides yttrium and scandium grown by the Czochralski technique

    Energy Technology Data Exchange (ETDEWEB)

    Anan' eva, G.V.; Karapetyan, V.E.; Korovkin, A.M.; Merkulyaeva, T.I.; Peschanskaya, I.A.; Savinova, I.P.; Feofilov, P.P. (Gosudarstvennyj Opticheskij Inst., Leningrad (USSR))

    1982-03-01

    Optically uniform monocrystals of diortho (pyro) silicates of lanthanides, yttrium, and scandium were grown by the Czochralski technique. Four structural types of Ln/sub 2/(Si/sub 2/O/sub 7/) crystals were determined by the roentgenographic method. The presence of structural subgroups was also supported by the method of spectroscopic probes. Structural parameters were determined and data on certain physical properties (fusion temperature, density, refractive indices, transparency) of investigated crystals were presented. The generation of induced emission at lambda=1.057 ..mu..m was obtained in La/sub 2/(Si/sub 2/O/sub 7/)-Nd/sup 3 +/ crystal.

  7. A New Understanding of Near-Threshold Damage for 200 keV Irradiation In Silicon

    International Nuclear Information System (INIS)

    Stoddard, Nathan; Duscher, Gerd J.M.; Windl, Wolfgang; Rozgonyi, G.A.

    2005-01-01

    Recently we reported room temperature point defect creation and subsequent extended defect nucleation in nitrogen-doped silicon during 200 kV electron irradiation, while identical irradiation of nitrogen-free silicon produced no effect. In this paper, first principles calculations are combined with new transmission electron microscope (TEM) observations to support a new model for elastic electron-silicon interactions in the TEM, which encompasses both nitrogen doped and nitrogen free silicon. Specifically, the nudged elastic band method was used to study the energetics along the diffusion path during an electron collision event in the vicinity of a nitrogen pair. It was found that the 0 K estimate for the energy barrier of a knock-on event is lowered from ∼12 to 6.2 eV. However, this is still inadequate to explain the observations. We therefore propose an increase in the energy barrier for Frenkel pair recombination associated with N 2 -V bonding. Concerning pure silicon, stacking fault formation near irradiation-induced holes demonstrates the participation of bulk processes. In low oxygen float zone material, 2--5 nm voids were formed, while oxygen precipitation in Czochralski Si has been verified by electron energy-loss spectroscopy. Models of irradiation-induced point defect aggregation are presented and it is concluded that these must be bulk and not surface mediated phenomena.

  8. Electrodeposition of cadmium on n-type silicon single crystals of ...

    African Journals Online (AJOL)

    sea

    type silicon have been studied as a function of different potential steps. Within appropriate potential ... including progressive nucleation on active sites and diffusion controlled cluster growth. ..... al CdSe nanocrystals on {111} gold. Surf. Sci.

  9. pH-controlled silicon nanowires fluorescence switch

    International Nuclear Information System (INIS)

    Mu Lixuan; Shi Wensheng; Zhang Taiping; Zhang Hongyan; She Guangwei

    2010-01-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  10. Electrochemical characterization of carbon coated bundle-type silicon nanorod for anode material in lithium ion secondary batteries

    International Nuclear Information System (INIS)

    Halim, Martin; Kim, Jung Sub; Choi, Jeong-Gil; Lee, Joong Kee

    2015-01-01

    Highlights: • Bundle-type silicon nanorods (BSNR) were synthesized by metal assisted chemical etching. • Novel bundle-type nanorods electrode showed self-relaxant characteristics. • The self-relaxant property was enhanced by increasing the silver concentration. • PAA binder enhanced the self-relaxant property of the silicon material. • Carbon coated BSNR (BSNR@C) has evidently provided better cycle performance. - Abstract: Nanostructured silicon synthesis by surface modification of commercial micro-powder silicon was investigated in order to reduce the maximum volume change over cycle. The surface of micro-powder silicon was modified using an Ag metal-assisted chemical etching technique to produce nanostructured material in the form of bundle-type silicon nanorods. The volume change of the electrode using the nanostructured silicon during cycle was investigated using an in-situ dilatometer. Our result shows that nanostructured silicon synthesized using this method showed a self-relaxant characteristic as an anode material for lithium ion battery application. Moreover, binder selection plays a role in enhancing self-relaxant properties during delithiation via strong hydrogen interaction on the surface of the silicon material. The nanostructured silicon was then coated with carbon from propylene gas and showed higher capacity retention with the use of polyacrylic acid (PAA) binder. While the nano-size of the pore diameter control may significantly affect the capacity fading of nanostructured silicon, it can be mitigated via carbon coating, probably due to the prevention of Li ion penetration into 10 nano-meter sized pores

  11. Electrochemical characterization of carbon coated bundle-type silicon nanorod for anode material in lithium ion secondary batteries

    Energy Technology Data Exchange (ETDEWEB)

    Halim, Martin [Center for Energy Convergence, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Energy and Environmental Engineering, Korea University of Science and Technology, Gwahangno, Yuseong-gu, Daejeon, 305-333 (Korea, Republic of); Kim, Jung Sub [Center for Energy Convergence, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Department of Material Science & Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Choi, Jeong-Gil [Department of Chemical Engineering, Hannam University, 461-1 Junmin-dong, Yusung-gu, Taejon 305-811 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Energy and Environmental Engineering, Korea University of Science and Technology, Gwahangno, Yuseong-gu, Daejeon, 305-333 (Korea, Republic of)

    2015-04-15

    Highlights: • Bundle-type silicon nanorods (BSNR) were synthesized by metal assisted chemical etching. • Novel bundle-type nanorods electrode showed self-relaxant characteristics. • The self-relaxant property was enhanced by increasing the silver concentration. • PAA binder enhanced the self-relaxant property of the silicon material. • Carbon coated BSNR (BSNR@C) has evidently provided better cycle performance. - Abstract: Nanostructured silicon synthesis by surface modification of commercial micro-powder silicon was investigated in order to reduce the maximum volume change over cycle. The surface of micro-powder silicon was modified using an Ag metal-assisted chemical etching technique to produce nanostructured material in the form of bundle-type silicon nanorods. The volume change of the electrode using the nanostructured silicon during cycle was investigated using an in-situ dilatometer. Our result shows that nanostructured silicon synthesized using this method showed a self-relaxant characteristic as an anode material for lithium ion battery application. Moreover, binder selection plays a role in enhancing self-relaxant properties during delithiation via strong hydrogen interaction on the surface of the silicon material. The nanostructured silicon was then coated with carbon from propylene gas and showed higher capacity retention with the use of polyacrylic acid (PAA) binder. While the nano-size of the pore diameter control may significantly affect the capacity fading of nanostructured silicon, it can be mitigated via carbon coating, probably due to the prevention of Li ion penetration into 10 nano-meter sized pores.

  12. Investigating the effect of silicon surface chemical treatment on Al/Si contact properties in GaP/Si solar cells

    Science.gov (United States)

    Kudryashov, D.; Gudovskikh, A.

    2018-03-01

    In the present work, experimental studies have been carried out to reveal how chemical treatment of a silicon surface affects the properties of the Al/Si contact. It has been shown that for p-type monocrystalline silicon substrates with a resistivity of 10 ohm cm, it is possible to form an ohmic Al/Si contact by magnetron sputtering of an aluminum thin film and its further annealing at temperatures of 400 - 450 °C. In the range of annealing temperatures of 250 - 400 °C, the Si substrate treatment in the HF solution leads to a significant increase in currents on the current-voltage curves of the Al/Si contact, while in the range of 450 - 700 °C, the effect of chemical treatment of the silicon is not detected.

  13. Band structure properties of (BGa)P semiconductors for lattice matched integration on (001) silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, Nadir; Sweeney, Stephen [Advanced Technology Institute and Department of Physics, University of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); Hosea, Jeff [Advanced Technology Institute and Department of Physics, University of Surrey, Guildford, Surrey GU2 7XH, UK and Ibnu Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Johor Bahru 81310 (Malaysia); Liebich, Sven; Zimprich, Martin; Volz, Kerstin; Stolz, Wolfgang [Material Sciences Center and Faculty of Physics, Philipps-University, 35032 Marburg (Germany); Kunert, Bernerdette [NAsP III/V GmbH, Am Knechtacker 19, 35041 Marburg (Germany)

    2013-12-04

    We report the band structure properties of (BGa)P layers grown on silicon substrate using metal-organic vapour-phase epitaxy. Using surface photo-voltage spectroscopy we find that both the direct and indirect band gaps of (BGa)P alloys (strained and unstrained) decrease with Boron content. Our experimental results suggest that the band gap of (BGa)P layers up to 6% Boron is large and suitable to be used as cladding and contact layers in GaP-based quantum well heterostructures on silicon substrates.

  14. Effect of cobalt-60 {gamma} radiation and of thermal neutrons on high resistance P and N silicon. Possibility of obtaining a nuclear compensation for P type silicon; Effects du rayonnement {gamma} du cobalt 60 et de neutrons thermiques sur du silicium P et N de haute resistivite. Possibilite de realiser une compensation nucleaire d'un silicium du type P

    Energy Technology Data Exchange (ETDEWEB)

    Messier, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1965-11-01

    Type P silicon has been compensated by the production of a controlled and uniform amount of donor atoms ({sup 31}P) using thermal neutrons to bring about a nuclear transformation. It is shown that it is possible in this way to reduce by a factor of about one hundred the overall concentration of residual ionised impurities in the purest crystals obtained by floating zone purification (2 x 10{sup 12} atoms/cm{sup 3}). The degree compensation obtained is limited by the initial inhomogeneity of acceptor impurities which have to be compensated. Lattice defects which still remain after prolonged annealings reduce the life-time of the material to about 10 {mu}s approximately. Particle detectors having thicknesses of 2 to 5 mm have been built by this process; they give good results, particularly at low temperatures. A study has also been made of the number and of the nature of lattice defects produced by thermal neutrons in high resistivity P and N type crystals. These defects have been compared to those produced by {gamma} rays from {sup 60}Co. A discussion is given of the validity of the Wertheim model concerning pronounced recombination at low temperatures (77 deg. K - 300 deg. K) of primary defect-interstitial pairs. The nature of the defects introducing energy levels into the lower half of the forbidden band has been studied. (author) [French] On a compense du silicium de type P en produisant, au moyen de neutrons thermiques, par transmutation nucleaire une quantite controlee et uniforme d'atomes donneurs ({sup 31}P). On montre qu'on peut ainsi reduire de cent fois environ la densite nette d'impuretes ionisees residuelles subsistant dans les cristaux les plus purs obtenus par purification par zone flottante (2.10{sup 12} atomes/cm{sup 3}). Le degre de compensation obtenu est limite par i'inhomogeneite initiale des impuretes acceptrices a compenser. Des defauts de reseau qui subsistent meme apres des recuits prolonges reduisent la duree de vie du materiau a 10 {mu

  15. Determination of Shear Deformation Potentials from the Free-Carrier Piezobirefringence in Germanium and Silicon

    DEFF Research Database (Denmark)

    Riskaer, Sven

    1966-01-01

    The present investigations of the free-carrier piezobirefringence phenomenon verify that in n-type germanium and silicon as well as in p-type silicon this effect can be ascribed to intraband transitions of the carriers. It is demonstrated how a combined investigation of the low-stress and high......-stress piezobirefringence in these materials provides a direct and independent method for determining deformation-potential constants. For n-type germanium we obtain Ξu=18.0±0.5 eV, for n-type silicon Ξu=8.5±0.4 eV; for p-type silicon a rather crude analytical approximation yields b=-3.1 eV and d=-8.3 eV. Finally...

  16. Amorphous silicon/crystalline silicon heterojunctions for nuclear radiation detector applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Hong, W.S.; Luke, P.N.; Wang, N.W.; Ziemba, F.P.

    1996-01-01

    Results on the characterization of the electrical properties of amorphous silicon films for the three different growth methods, RF sputtering, PECVD, and LPCVD are reported. The performance of these a-Si films as heterojunctions on high resistivity p-type and n-type crystalline silicon is examined by measuring the noise, leakage current and the alpha particle response of 5 mm diameter detector structures. It is demonstrated that heterojunction detectors formed by RF sputtered films and PECVD films are comparable in performance with conventional surface barrier detectors. The results indicate that the a-Si/c-Si heterojunctions have the potential to greatly simplify detector fabrication. Directions for future avenues of nuclear particle detector development are indicated

  17. Recombination via point defects and their complexes in solar silicon

    Energy Technology Data Exchange (ETDEWEB)

    Peaker, A.R.; Markevich, V.P.; Hamilton, B. [Photon Science Institute, University of Manchester, Manchester M13 9PL (United Kingdom); Parada, G.; Dudas, A.; Pap, A. [Semilab, 2 Prielle Kornelia Str, 1117 Budapest (Hungary); Don, E. [Semimetrics, PO Box 36, Kings Langley, Herts WD4 9WB (United Kingdom); Lim, B.; Schmidt, J. [Institute for Solar Energy Research (ISFH) Hamlen, 31860 Emmerthal (Germany); Yu, L.; Yoon, Y.; Rozgonyi, G. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695-7907 (United States)

    2012-10-15

    Electronic grade Czochralski and float zone silicon in the as grown state have a very low concentration of recombination generation centers (typically <10{sup 10} cm{sup -3}). Consequently, in integrated circuit technologies using such material, electrically active inadvertent impurities and structural defects are rarely detectable. The quest for cheap photovoltaic cells has led to the use of less pure silicon, multi-crystalline material, and low cost processing for solar applications. Cells made in this way have significant extrinsic recombination mechanisms. In this paper we review recombination involving defects and impurities in single crystal and in multi-crystalline solar silicon. Our main techniques for this work are recombination lifetime mapping measurements using microwave detected photoconductivity decay and variants of deep level transient spectroscopy (DLTS). In particular, we use Laplace DLTS to distinguish between isolated point defects, small precipitate complexes and decorated extended defects. We compare the behavior of some common metallic contaminants in solar silicon in relation to their effect on carrier lifetime and cell efficiency. Finally, we consider the role of hydrogen passivation in relation to transition metal contaminants, grain boundaries and dislocations. We conclude that recombination via point defects can be significant but in most multi-crystalline material the dominant recombination path is via decorated dislocation clusters within grains with little contribution to the overall recombination from grain boundaries. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Effect of fabrication parameters on morphological and optical properties of highly doped p-porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Maryam, E-mail: mar.zare@gmail.com [Young Researchers Club, Khomeinishahr Branch, Islamic Azad University, Khomeinishahr (Iran, Islamic Republic of); Shokrollahi, Abbas [Young Researchers Club, Khomeinishahr Branch, Islamic Azad University, Khomeinishahr (Iran, Islamic Republic of); Seraji, Faramarz E. [Optical Communication Group, Iran Telecom Research Center, Tehran (Iran, Islamic Republic of)

    2011-09-01

    Porous silicon (PS) layers were fabricated by anodization of low resistive (highly doped) p-type silicon in HF/ethanol solution, by varying current density, etching time and HF concentration. Atomic force microscopy (AFM) and field emission scanning electron microscope (FESEM) analyses were used to investigate the physical properties and reflection spectrum was used to investigate the optical behavior of PS layers in different fabrication conditions. Vertically aligned mesoporous morphology is observed in fabricated films and with HF concentration higher than 20%. The dependence of porosity, layer thickness and rms roughness of the PS layer on current density, etching time and composition of electrolyte is also observed in obtained results. Correlation between reflectivity and fabrication parameters was also explored. Thermal oxidation was performed on some mesoporous layers that resulted in changes of surface roughness, mean height and reflectivity of the layers.

  19. Modeling of dislocation dynamics in germanium Czochralski growth

    Science.gov (United States)

    Artemyev, V. V.; Smirnov, A. D.; Kalaev, V. V.; Mamedov, V. M.; Sidko, A. P.; Podkopaev, O. I.; Kravtsova, E. D.; Shimansky, A. F.

    2017-06-01

    Obtaining very high-purity germanium crystals with low dislocation density is a practically difficult problem, which requires knowledge and experience in growth processes. Dislocation density is one of the most important parameters defining the quality of germanium crystal. In this paper, we have performed experimental study of dislocation density during 4-in. germanium crystal growth using the Czochralski method and comprehensive unsteady modeling of the same crystal growth processes, taking into account global heat transfer, melt flow and melt/crystal interface shape evolution. Thermal stresses in the crystal and their relaxation with generation of dislocations within the Alexander-Haasen model have been calculated simultaneously with crystallization dynamics. Comparison to experimental data showed reasonable agreement for the temperature, interface shape and dislocation density in the crystal between calculation and experiment.

  20. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  1. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  2. Numerical investigation of magnetic field effect on pressure in cylindrical and hemispherical silicon CZ crystal growth

    International Nuclear Information System (INIS)

    Mokhtari, F.; Bouabdallah, A.; Merah, A.; Oualli, H.

    2012-01-01

    The effect of axial magnetic field of different intensities on pressure in silicon Czochralski crystal growth is investigated in cylindrical and hemispherical geometries with rotating crystal and crucible and thermocapillary convection. As one important thermodynamic variable, the pressure is found to be more sensitive than temperature to magnetic field with strong dependence upon the vorticity field. The pressure at the triple point is proposed as a convenient parameter to control the homogeneity of the grown crystal. With a gradual increase of the magnetic field intensity the convection effect can be reduced without thermal fluctuations in the silicon melt. An evaluation of the magnetic interaction parameter critical value corresponding to flow, pressure and temperature homogenization leads to the important result that a relatively low axial magnetic field is required for the spherical system comparatively to the cylindrical one. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Numerical investigation of magnetic field effect on pressure in cylindrical and hemispherical silicon CZ crystal growth

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, F. [Universite Mouloud Mammeri de Tizi Ouzou (Algeria); LTSE Laboratory, University of Science and Technology. BP 32 Elalia, Babezzouar, Algiers (Algeria); Bouabdallah, A. [LTSE Laboratory, University of Science and Technology. BP 32 Elalia, Babezzouar, Algiers (Algeria); Merah, A. [LTSE Laboratory, University of Science and Technology. BP 32 Elalia, Babezzouar, Algiers (Algeria); M' hamed Bougara University, Boumerdes (Algeria); Oualli, H. [EMP, Bordj ElBahri, Algiers (Algeria)

    2012-12-15

    The effect of axial magnetic field of different intensities on pressure in silicon Czochralski crystal growth is investigated in cylindrical and hemispherical geometries with rotating crystal and crucible and thermocapillary convection. As one important thermodynamic variable, the pressure is found to be more sensitive than temperature to magnetic field with strong dependence upon the vorticity field. The pressure at the triple point is proposed as a convenient parameter to control the homogeneity of the grown crystal. With a gradual increase of the magnetic field intensity the convection effect can be reduced without thermal fluctuations in the silicon melt. An evaluation of the magnetic interaction parameter critical value corresponding to flow, pressure and temperature homogenization leads to the important result that a relatively low axial magnetic field is required for the spherical system comparatively to the cylindrical one. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Type I Clathrates as Novel Silicon Anodes: An Electrochemical and Structural Investigation

    Science.gov (United States)

    Li, Ying; Raghavan, Rahul; Wagner, Nicholas A.; Davidowski, Stephen K.; Baggetto, Loïc; Zhao, Ran; Cheng, Qian; Yarger, Jeffery L.; Veith, Gabriel M.; Ellis‐Terrell, Carol; Miller, Michael A.; Chan, Kwai S.

    2015-01-01

    Silicon clathrates contain cage‐like structures that can encapsulate various guest atoms or molecules. An electrochemical evaluation of type I silicon clathrates based on Ba8AlySi46−y as the anode material for lithium‐ion batteries is presented here. Postcycling characterization with nuclear magnetic resonance and X‐ray diffraction shows no discernible structural or volume changes even after electrochemical insertion of 44 Li (≈1 Li/Si) into the clathrate structure. The observed properties are in stark contrast with lithiation of other silicon anodes, which become amorphous and suffer from large volume changes. The electrochemical reactions are proposed to occur as single phase reactions at approximately 0.2 and 0.4 V versus Li/Li+ during lithiation and delithiation, respectively, distinct from diamond cubic or amorphous silicon anodes. Reversible capacities as high as 499 mAh g−1 at a 5 mA g−1 rate were observed for silicon clathrate with composition Ba8Al8.54Si37.46, corresponding to ≈1.18 Li/Si. These results show that silicon clathrates could be promising durable anodes for lithium‐ion batteries. PMID:27980951

  5. Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)

    2012-04-16

    Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.

  6. Development of silicon growth techniques from melt with surface heating

    Science.gov (United States)

    Kravtsov, Anatoly

    2018-05-01

    The paper contains literary and personal data on the development history of silicon-growing technology with volumetric and surface melt heating. It discusses the advantages and disadvantages of surface-heating technology. Examples are given of the implementation of such processes in the 60s-70s of the last century, and the reasons for the discontinuation of the relevant work. It describes the main solutions for the implementation of crystal growth process with the electron-beam heating of the melt surface, implemented by KEPP EU (Latvia). It discusses differences in the management of the growth process for the crystals with constant diameters compared to the Czochralski method. It lists geometrical and electro-physical properties of the obtained crystals. It describes the possible use of such crystals and the immediate challenges of technology development.

  7. The performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate

    Directory of Open Access Journals (Sweden)

    Shulong Wang

    2016-11-01

    Full Text Available In this study, the performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate is studied with the help of atomic layer deposition (ALD and magnetron sputtering technology. The surface morphology of the bilayer films with different structures are observed after rapid thermal annealing (RTA by atomic force microscopy (AFM. The results show that Y2O3/Al2O3/Si structure has a larger number of small spikes on the surface and its surface roughness is worse than Al2O3/Y2O3/Si structure. The reason is that the density of Si substrate surface is much higher than that of ALD growth Al2O3. With the help of high-frequency capacitance-voltage(C-V measurement and conductivity method, the density of interface traps can be calculated. After a high temperature annealing, the metal silicate will generate at the substrate interface and result in silicon dangling bond and interface trap charge, which has been improved by X-ray photoelectron spectroscopy (XPS and interface trap charge density calculation. The interface trapped charge density of La2O3/Al2O3/Si stacked gate structure is lower than that of La2O3/Y2O3/Si gate structure. If Y2O3 is used to replace Al2O3 as the interfacial layer, the accumulation capacitance will increase obviously, which means lower equivalent oxide thickness (EOT. Our results show that interface layer Y2O3 grown by magnetron sputtering can effectively ensure the interface traps near the substrate at relative small level while maintain a relative higher dielectric constant than Al2O3.

  8. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  9. Shallow Melt Apparatus for Semicontinuous Czochralski Crystal Growth

    Science.gov (United States)

    Wang, T.; Ciszek, T. F.

    2006-01-10

    In a single crystal pulling apparatus for providing a Czochralski crystal growth process, the improvement of a shallow melt crucible (20) to eliminate the necessity supplying a large quantity of feed stock materials that had to be preloaded in a deep crucible to grow a large ingot, comprising a gas tight container a crucible with a deepened periphery (25) to prevent snapping of a shallow melt and reduce turbulent melt convection; source supply means for adding source material to the semiconductor melt; a double barrier (23) to minimize heat transfer between the deepened periphery (25) and the shallow melt in the growth compartment; offset holes (24) in the double barrier (23) to increase melt travel length between the deepened periphery (25) and the shallow growth compartment; and the interface heater/heat sink (22) to control the interface shape and crystal growth rate.

  10. Modification of the properties of porous silicon on adsorption of iodine molecules

    International Nuclear Information System (INIS)

    Vorontsov, A. S.; Osminkina, L. A.; Tkachenko, A. E.; Konstantinova, E. A.; Elenskii, V. G.; Timoshenko, V. Yu.; Kashkarov, P. K.

    2007-01-01

    Infrared spectroscopy and electron spin resonance measurements are used to study the properties of porous silicon layers on adsorption of the I 2 iodine molecules. The layers are formed on the p-an n-Si single-crystal wafers. It is established that, in the atmosphere of I 2 molecules, the charge-carrier concentration in the layers produced on the p-type wafers can be noticeably increased: the concentration of holes can attain values on the order of ∼10 18 -10 19 cm -3 . In porous silicon layers formed on the n-type wafers, the adsorption-induced inversion of the type of charge carriers and the partial substitution of silicon-hydrogen bonds by silicon-iodine bonds are observed. A decrease in the concentration of surface paramagnetic defects, P b centers, is observed in the samples with adsorbed iodine. The experimental data are interpreted in the context of the model in which it is assumed that both deep and shallow acceptor states are formed at the surface of silicon nanocrystals upon the adsorption of I 2 molecules

  11. Radiation damage studies for the DOe silicon detector

    International Nuclear Information System (INIS)

    Lehner, Frank

    2004-01-01

    We report on irradiation studies performed on spare production silicon detector modules for the current DOe silicon detector. The lifetime expectations due to radiation damage effects of the existing silicon detector are reviewed. A new upgrade project was started with the goal of a complete replacement of the existing silicon detector. In that context, several investigations on the radiation hardness of new prototype silicon microstrip detectors were carried out. The irradiation on different detector types was performed with 10 MeV protons up to fluences of 10 14 p/cm 2 at the J.R. Mcdonald Laboratory at Kansas State University. The flux calibration was carefully checked using different normalisation techniques. As a result, we observe roughly 40-50% less radiation damage in silicon for 10 MeV p exposure than it is expected by the predicted NIEL scaling

  12. Development of radiation tolerant semiconductor detectors for the Super-LHC

    CERN Document Server

    Moll, M; Al-Ajili, A A; Alfieri, G; Allport, P P; Artuso, M; Assouak, S; Avset, B S; Barabash, L; Barcz, A; Bates, R; Biagi, S F; Bilei, G M; Bisello, D; Blue, A; Blumenau, A; Boisvert, V; Bölla, G; Bondarenko, G B; Borchi, E; Borrello, L; Bortoletto, D; Boscardin, M; Bosisio, L; Bowcock, T J V; Brodbeck, T J; Broz, J; Bruzzi, M; Brzozowski, A; Buda, M; Buhmann, P; Buttar, C; Campabadal, F; Campbell, D; Candelori, A; Casse, G; Cavallini, A; Charron, S; Chilingarov, A; Chren, D; Cindro, V; Collins, P; Coluccia, R; Contarato, D; Coutinho, J; Creanza, D; Cunningham, W; Betta, G F D; Dawson, I; de Boer, Wim; De Palma, M; Demina, R; Dervan, P; Dittongo, S; Dolezal, Z; Dolgolenko, A; Eberlein, T; Eremin, V; Fall, C; Fasolo, F; Fizzotti, F; Fleta, C; Focardi, E; Forton, E; Fretwurst, E; García, C; García-Navarro, J E; Gaubas, E; Genest, M H; Gill, K A; Giolo, K; Glaser, M; Gössling, C; Golovine, V; Sevilla, S G; Gorelov, I; Goss, J; Bates, A G; Grégoire, G; Gregori, P; Grigoriev, E; Grillo, A A; Groza, A; Guskov, J; Haddad, L; Härkönen, J; Hauler, F; Hoeferkamp, M; Honniger, F; Horazdovsky, T; Horisberger, Roland Paul; Horn, M; Houdayer, A; Hourahine, B; Hughes, G; Ilyashenko, Yu S; Irmscher, K; Ivanov, A; Jarasiunas, K; Johansen, K M H; Jones, B K; Jones, R; Joram, C; Jungermann, L; Kalinina, E; Kaminski, P; Karpenko, A; Karpov, A; Kazlauskiene, V; Kazukauskas, V; Khivrich, V; Khomenkov, V; Kierstead, J A; Klaiber Lodewigs, J; Klingenberg, R; Kodys, P; Kohout, Z; Korjenevski, S; Koski, M; Kozlowski, R; Kozodaev, M; Kramberger, G; Krasel, O; Kuznetsov, A; Kwan, S; Lagomarsino, S; Lassila-Perini, K M; Lastovetsky, V F; Latino, G; Lazanu, S; Lazanu, I; Lebedev, A; Lebel, C; Leinonen, K; Leroy, C; Li Z; Lindström, G; Linhart, V; Litovchenko, A P; Litovchenko, P G; Lo Giudice, A; Lozano, M; Luczynski, Z; Luukka, P; Macchiolo, A; Makarenko, L F; Mandic, I; Manfredotti, C; Manna, N; Garcia, S Mi; Marunko, S; Mathieson, K; Melone, J; Menichelli, D; Messineo, A; Metcalfe, J; Miglio, S; Mikuz, M; Miyamoto, J; Monakhov, E; Moscatelli, F; Naoumov, D; Nossarzhevska, E; Nysten, J; Olivero, P; OShea, V; Palviainen, T; Paolini, C; Parkes, C; Passeri, D; Pein, U; Pellegrini, G; Perera, L; Petasecca, M; Piemonte, C; Pignatel, G U; Pinho, N; Pintilie, I; Pintilie, L; Polivtsev, L; Polozov, P; Popa, A; Popule, J; Pospísil, S; Pozza, A; Radicci, V; Rafí, J M; Rando, R; Röder, R; Rohe, T; Ronchin, S; Rott, C; Roy, A; Ruzin, A; Sadrozinski, H F W; Sakalauskas, S; Scaringella, M; Schiavulli, L; Schnetzer, S; Schumm, B; Sciortino, S; Scorzoni, A; Segneri, G; Seidel, S; Seiden, A; Sellberg, G; Sellin, P J; Sentenac, D; Shipsey, I; Sícho, P; Sloan, T; Solar, M; Son, S; Sopko, B; Sopko, V; Spencer, N; Stahl, J; Stolze, D; Stone, R; Storasta, J; Strokan, N; Sudzius, M; Surma, B; Suvorov, A; Svensson, B G; Tipton, P; Tomasek, M; Tsvetkov, A; Tuominen, E; Tuovinen, E; Tuuva, T; Tylchin, M; Uebersee, H; Uher, J; Ullán, M; Vaitkus, J V; Velthuis, J; Verbitskaya, E; Vrba, V; Wagner, G; Wilhelm, I; Worm, S; Wright, V; Wunstorf, R; Yiuri, Y; Zabierowski, P; Zaluzhny, A; Zavrtanik, M; Zen, M; Zhukov, V; Zorzi, N

    2005-01-01

    The envisaged upgrade of the Large Hadron Collider (LHC) at CERN towards the Super-LHC (SLHC) with a 10 times increased luminosity of 10challenges for the tracking detectors of the SLHC experiments. Unprecedented high radiation levels and track densities and a reduced bunch crossing time in the order of 10ns as well as the need for cost effective detectors have called for an intensive R&D program. The CERN RD50 collaboration "Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders" is working on the development of semiconductor sensors matching the requirements of the SLHC. Sensors based on defect engineered silicon like Czochralski, epitaxial and oxygen enriched silicon have been developed. With 3D, Semi-3D and thin detectors new detector concepts have been evaluated and a study on the use of standard and oxygen enriched p-type silicon detectors revealed a promising approach for radiation tolerant cost effective devices. These and other most recent advancements of the RD50 ...

  13. Silicon diode for measurement of integral neutron dose and method of its production

    International Nuclear Information System (INIS)

    Frank, H.; Seda, J.; Trousil, J.

    1978-01-01

    The silicon diode consists of an N or P type silicon plate having a specific resistance exceeding 10 ohm.cm and minority carrier life exceeding 100μs. The plate thickness is a quintuple to a ten-tuple of the diffusion length and the plate consists of layers. Ions of, eg., boron, at a concentration exceeding 10 14 cm -2 are implanted into the P + type silicon layer and a layer of a metal, eg., nickel, is deposited onto it. Ions of eg., phosphorus, at a concentration exceeding 10 14 cm -2 are implanted in the N + type layer and a metal layer, eg., nickel is again depositeJ onto it. Implantation proceeds at an ion acceleration voltage of 10 to 200 kV. Metal layer deposition follows, and simultaneously with annealing of the P + and N + types of silicon layers, the metal layers are annealed at 600 to 900 degC for 1 to 60 minutes with subsequent temperature decrease at a rate less than 10 degC/min, down to a temperature of 300 degC. (J.P.)

  14. Microstructure and oxidative degradation behavior of silicon carbide fiber Hi-Nicalon type S

    International Nuclear Information System (INIS)

    Takeda, M.; Urano, A.; Sakamoto, J.; Imai, Y.

    1998-01-01

    Polycarbosilane-derived SiC fibers, Nicalon, Hi-Nicalon, and Hi-Nicalon type S were exposed for 1 to 100 h at 1273-1773 K in air. Oxide layer growth and tensile strength change of these fibers were examined after the oxidation test. As a result, three types of SiC fibers decreased their strength as oxide layer thickness increased. Fracture origins were determined at near the oxide layer-fiber interface. Adhered fibers arised from softening of silicon oxide at high temperature were also observed. In this study, Hi-Nicalon type S showed better oxidation resistance than other polycarbosilane-derived SiC fibers after 1673 K or higher temperature exposure in air for 10 h. This result was explained by the poreless silicon oxide layer structure of Hi-Nicalon type S. (orig.)

  15. Silicon Sheet Growth Development for the Large Area Sheet Task of the Low Cost Solar Array Project. Heat Exchanger Method - Ingot Casting Fixed Abrasive Method - Multi-Wire Slicing

    Science.gov (United States)

    Schmid, F.; Khattak, C. P.

    1978-01-01

    Solar cells fabricated from HEM cast silicon yielded up to 15% conversion efficiencies. This was achieved in spite of using unpurified graphite parts in the HEM furnace and without optimization of material or cell processing parameters. Molybdenum retainers prevented SiC formation and reduced carbon content by 50%. The oxygen content of vacuum cast HEM silicon is lower than typical Czochralski grown silicon. Impregnation of 45 micrometers diamonds into 7.5 micrometers copper sheath showed distortion of the copper layer. However, 12.5 micrometers and 15 micrometers copper sheath can be impregnated with 45 micrometers diamonds to a high concentration. Electroless nickel plating of wires impregnated only in the cutting edge showed nickel concentration around the diamonds. This has the possibility of reducing kerf. The high speed slicer fabricated can achieve higher speed and longer stroke with vibration isolation.

  16. Mobility of charge carriers in porous silicon layers

    International Nuclear Information System (INIS)

    Forsh, P. A.; Martyshov, M. N.; Latysheva, A. P.; Vorontsov, A. S.; Timoshenko, V. Yu.; Kashkarov, P. K.

    2008-01-01

    The (conduction) mobility of majority charge carriers in porous silicon layers of the n and p types is estimated by joint measurements of electrical conductivity and free charge carrier concentration, which is determined from IR absorption spectra. Adsorption of donor and acceptor molecules leading to a change in local electric fields in the structure is used to identify the processes controlling the mobility in porous silicon. It is found that adsorption of acceptor and donor molecules at porous silicon of the p and n types, respectively, leads to a strong increase in electrical conductivity, which is associated with an increase in the concentration of free carrier as well as in their mobility. The increase in the mobility of charge carriers as a result of adsorption indicates the key role of potential barriers at the boundaries of silicon nanocrystals and may be due to a decrease in the barrier height as a result of adsorption

  17. Type I Clathrates as Novel Silicon Anodes: An Electrochemical and Structural Investigation

    OpenAIRE

    Li, Ying; Raghavan, Rahul; Wagner, Nicholas A.; Davidowski, Stephen K.; Baggetto, Lo?c; Zhao, Ran; Cheng, Qian; Yarger, Jeffery L.; Veith, Gabriel M.; Ellis?Terrell, Carol; Miller, Michael A.; Chan, Kwai S.; Chan, Candace K.

    2015-01-01

    Silicon clathrates contain cage?like structures that can encapsulate various guest atoms or molecules. An electrochemical evaluation of type I silicon clathrates based on Ba8Al y Si46?y as the anode material for lithium?ion batteries is presented here. Postcycling characterization with nuclear magnetic resonance and X?ray diffraction shows no discernible structural or volume changes even after electrochemical insertion of 44 Li (?1 Li/Si) into the clathrate structure. The observed properties ...

  18. Development of n.sup.+./sup.-in-p large-area silicon microstrip sensors for very high radiation environments – ATLAS12 design and initial results

    Czech Academy of Sciences Publication Activity Database

    Unno, Y.; Edwards, S.O.; Pyatt, S.; Böhm, Jan; Mikeštíková, Marcela

    2014-01-01

    Roč. 765, Nov (2014), s. 80-90 ISSN 0168-9002 R&D Projects: GA MŠk(CZ) LG13009 Institutional support: RVO:68378271 Keywords : silicon strip * n + -in-p * P-type * Radiation-tolerant * HL- LHC * PTP Subject RIV: BF - Elementary Particles and High Energy Physics Impact factor: 1.216, year: 2014

  19. Nanostructured silicon ferromagnet collected by a permanent neodymium magnet.

    Science.gov (United States)

    Okuno, Takahisa; Thürmer, Stephan; Kanoh, Hirofumi

    2017-11-30

    Nanostructured silicon (N-Si) was prepared by anodic electroetching of p-type silicon wafers. The obtained magnetic particles were separated by a permanent neodymium magnet as a magnetic nanostructured silicon (mN-Si). The N-Si and mN-Si exhibited different magnetic properties: the N-Si exhibited ferromagnetic-like behaviour, whereas the mN-Si exhibited superparamagnetic-like behaviour.

  20. Study of porous silicon morphologies for electron transport

    International Nuclear Information System (INIS)

    Pang, Y.; Demroff, H.P.; Elliott, T.S.; Lee, B.; Lu, J.; Madduri, V.B.; Mazumdar, T.K.; McIntyre, P.M.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Field emitter devices are being developed for the gigatron, a high-efficiency, high frequency and high power microwave source. One approach being investigated is porous silicon, where a dense matrix of nanoscopic pores are galvanically etched into a silicon surface. In the present paper pore morphologies were used to characterize these materials. Using of Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) images of both N-type and P-type porous layers, it is found that pores propagate along the crystallographic direction, perpendicular to the surface of (100) silicon. Distinct morphologies were observed systematically near the surface, in the main bulk and near the bottom of N-type (100) silicon lift-off samples. It is seen that the pores are not cylindrical but exhibit more or less approximately square cross sections. X-ray diffraction spectra and electron diffraction patterns verified that bulk porous silicon is still a single crystal. In addition, a Scanning Tunnelling Microscope (STM) and an Atomic Force Microscope (AFM) were successfully applied to image the 40 angstrom gold film structure which was coated upon a cooled porous silicon layer. By associating the morphology study with the measured emitting current density of the Oxidized Porous Silicon Field Emission Triode (OPSFET), techniques for the surface treatment of porous silicon will be optimized

  1. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  2. Czochralski growth of gallium indium antimonide alloy crystals

    Energy Technology Data Exchange (ETDEWEB)

    Tsaur, S.C.

    1998-02-01

    Attempts were made to grow alloy crystals of Ga{sub 1{minus}x}In{sub x}Sb by the conventional Czochralski process. A transparent furnace was used, with hydrogen purging through the chamber during crystal growth. Single crystal seeds up to about 2 to 5 mole% InSb were grown from seeds of 1 to 2 mole% InSb, which were grown from essentially pure GaSb seeds of the [111] direction. Single crystals were grown with InSb rising from about 2 to 6 mole% at the seed ends to about 14 to 23 mole% InSb at the finish ends. A floating-crucible technique that had been effective in reducing segregation in doped crystals, was used to reduce segregation in Czochralski growth of alloy crystals of Ga{sub 1{minus}x}In{sub x}Sb. Crystals close to the targeted composition of 1 mole% InSb were grown. However, difficulties were encountered in reaching higher targeted InSb concentrations. Crystals about 2 mole% were grown when 4 mole% was targeted. It was observed that mixing occurred between the melts rendering the compositions of the melts; and, hence, the resultant crystal unpredictable. The higher density of the growth melt than that of the replenishing melt could have triggered thermosolutal convection to cause such mixing. It was also observed that the floating crucible stuck to the outer crucible when the liquidus temperature of the replenishing melt was significantly higher than that of the growth melt. The homogeneous Ga{sub 1{minus}x}In{sub x}Sb single crystals were grown successfully by a pressure-differential technique. By separating a quartz tube into an upper chamber for crystal growth and a lower chamber for replenishing. The melts were connected by a capillary tube to suppress mixing between them. A constant pressure differential was maintained between the chambers to keep the growth melt up in the growth chamber. The method was first tested with a low temperature alloy Bi{sub 1{minus}x}Sb{sub x}. Single crystals of Ga{sub 1{minus}x}In{sub x}Sb were grown with uniform

  3. Formation of photoluminescent n-type macroporous silicon: Effect of magnetic field and lateral electric potential

    Energy Technology Data Exchange (ETDEWEB)

    Antunez, E.E. [Centro de Investigación en Ingeniería y Ciencias Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Morelos, CP 62210 (Mexico); Estevez, J.O. [Instituto de Física, B. Universidad Autónoma de Puebla, A.P. J-48, Puebla 72570 (Mexico); Campos, J. [Instituto de Energías Renovables, UNAM, Priv. Xochicalco S/N, Temixco, Morelos, CP 62580 (Mexico); Basurto-Pensado, M.A. [Centro de Investigación en Ingeniería y Ciencias Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Morelos, CP 62210 (Mexico); Agarwal, V., E-mail: vagarwal@uaem.mx [Centro de Investigación en Ingeniería y Ciencias Aplicadas, UAEM, Av. Universidad 1001, Col. Chamilpa, Cuernavaca, Morelos, CP 62210 (Mexico)

    2014-11-15

    Metal electrode-free electrochemical etching of low doped n-type silicon substrates, under the combined effect of magnetic and lateral electric field, is used to fabricate photoluminescent n-type porous silicon structures in dark conditions. A lateral gradient in terms of structural characteristics (i.e. thickness and pore dimensions) along the electric field direction is formed. Enhancement of electric and magnetic field resulted in the increase of pore density and a change in the shape of the macropore structure, from circular to square morphology. Broad photoluminescence (PL) emission from 500 to 800 nm, with a PL peak wavelength ranging from 571 to 642 nm, is attributed to the wide range of microporous features present on the porous silicon layer.

  4. Effect of germanium doping on the annealing characteristics of oxygen and carbon-related defects in Czochralski silicon

    International Nuclear Information System (INIS)

    Londos, C. A.; Andrianakis, A.; Sgourou, E. N.; Emtsev, V.; Ohyama, H.

    2010-01-01

    This paper is devoted to the annealing studies of defects produced in carbon-rich Ge-doped Czochralski-grown Si (Cz-Si) by 2 MeV electron irradiation. The annealing temperature of vacancy-oxygen (VO) complexes, carbon interstitial-oxygen interstitial (C i O i ), and carbon interstitial-carbon substitutional (C i C s ) pairs as well as the formation temperature of vacancy-two oxygen (VO 2 ) complexes are monitored as a function of Ge concentration. It has been established that the annealing of C i O i and C i C s defects remains practically unaffected by the Ge presence, whereas the annealing temperature of VO defects and the formation temperature of VO 2 complexes are substantially lowered at Ge concentrations larger than 1x10 19 cm -3 . The hydrostatic component of elastic strains introduced by Ge atoms in the Si crystal lattice was calculated. It appears to be very small, at least insufficient to exert a pronounced effect upon the annealing behavior of radiation-produced defects. This conclusion is in line with what is observed for the C i O i and C i C s species. In the case of VO, whose annealing process in Cz-Si is concurrently conducted by two reaction paths VO+O i →VO 2 and VO+Si I →O i , we suggest that the latter reaction in Ge-doped Cz-Si is enhanced by emitting self-interstitials (Si I ) from loosely bound self-interstitial clusters predominantly formed around Ge impurity atoms. As a result, the liberation of self-interstitials at lower annealing temperatures leads to an enhanced annealing of VO defects. An enhanced formation of VO 2 complexes at lower temperatures is also discussed in terms of other reactions running in parallel with the reaction VO+Si I →O i .

  5. Characterization of GaInSb crystal obtained by Czochralski Method

    International Nuclear Information System (INIS)

    Streicher, M.; Costa, E.M.; Dedavid, B.A.; Corregidor, V.; Franco, N.; Dias, M.; Alves, E.; Alves, L.C.

    2014-01-01

    The surface morphology and chemical composition of a Ga 0.93 In 0.07 Sb ternary alloy crystal grown by liquid encapsulated Czochralski were investigated by means of scanning electron microscope equipped with energy dispersive X-ray spectroscopy (SEM-EDX), particle induced X-ray emission (PIXE) and X-ray diffraction (XRD) techniques. Results indicate that poor mixing of the starting compounds during the synthesizing process lead to a ternary alloy with different compositions along the growth direction. Small regions with high concentrations of indium were highlighted throughout the crystal. Different parts removed from the crystal present similar microstructures with planar defects. Up to five different regions were identified in the sample. (author)

  6. Dynamic global model of oxide Czochralski process with weighing control

    Science.gov (United States)

    Mamedov, V. M.; Vasiliev, M. G.; Yuferev, V. S.

    2011-03-01

    A dynamic model of oxide Czochralski growth with weighing control has been developed for the first time. A time-dependent approach is used for the calculation of temperature fields in different parts of a crystallization set-up and convection patterns in a melt, while internal radiation in crystal is considered in a quasi-steady approximation. A special algorithm is developed for the calculation of displacement of a triple point and simulation of a crystal surface formation. To calculate variations in the heat generation, a model of weighing control with a commonly used PID regulator is applied. As an example, simulation of the growth process of gallium-gadolinium garnet (GGG) crystals starting from the stage of seeding is performed.

  7. Characterization of GaInSb crystal obtained by Czochralski Method

    Energy Technology Data Exchange (ETDEWEB)

    Streicher, M.; Costa, E.M.; Dedavid, B.A. [Pontificia Universidade Catolica do Rio Grande do Sul (PUC-RS), Porto Alegre, RS (Brazil); Corregidor, V.; Franco, N.; Dias, M.; Alves, E.; Alves, L.C. [Universidade de Lisboa, Sacavem (Portugal)

    2014-07-01

    The surface morphology and chemical composition of a Ga{sub 0.93}In{sub 0.07}Sb ternary alloy crystal grown by liquid encapsulated Czochralski were investigated by means of scanning electron microscope equipped with energy dispersive X-ray spectroscopy (SEM-EDX), particle induced X-ray emission (PIXE) and X-ray diffraction (XRD) techniques. Results indicate that poor mixing of the starting compounds during the synthesizing process lead to a ternary alloy with different compositions along the growth direction. Small regions with high concentrations of indium were highlighted throughout the crystal. Different parts removed from the crystal present similar microstructures with planar defects. Up to five different regions were identified in the sample. (author)

  8. Roadmap for integration of InP based photonics and silicon electronics

    NARCIS (Netherlands)

    Williams, K.A.

    2015-01-01

    We identify the synergies and a roadmap for the intimate integration of InP photonic integrated circuits and Silicon electronic ICs using wafer-scale processes. Advantages are foreseen in terms of bandwidth, energy savings and package simplification.

  9. X-ray and synchrotron studies of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)

    2013-08-15

    The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.

  10. Quantum conductance in silicon quantum wires

    CERN Document Server

    Bagraev, N T; Klyachkin, L E; Malyarenko, A M; Gehlhoff, W; Ivanov, V K; Shelykh, I A

    2002-01-01

    The results of investigations of electron and hole quantum conductance staircase in silicon quantum wires are presented. The characteristics of self-ordering quantum wells of n- and p-types, which from on the silicon (100) surface in the nonequilibrium boron diffusion process, are analyzed. The results of investigations of the quantum conductance as the function of temperature, carrier concentration and modulation degree of silicon quantum wires are given. It is found out, that the quantum conductance of the one-dimensional channels is observed, for the first time, at an elevated temperature (T >= 77 K)

  11. Study of silicon doped with zinc ions and annealed in oxygen

    International Nuclear Information System (INIS)

    Privezentsev, V. V.; Kirilenko, E. P.; Goryachev, A. N.; Batrakov, A. A.

    2017-01-01

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with "6"4Zn"+ ions with an energy of 50 keV and a dose of 5 × 10"1"6 cm"–"2 at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-ray photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn_2SiO_4 and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.

  12. Surface wave photonic device based on porous silicon multilayers

    International Nuclear Information System (INIS)

    Guillermain, E.; Lysenko, V.; Benyattou, T.

    2006-01-01

    Porous silicon is widely studied in the field of photonics due to its interesting optical properties. In this work, we present theoretical and first experimental studies of a new kind of porous silicon photonic device based on optical surface wave. A theoretical analysis of the device is presented using plane-wave approximation. The porous silicon multilayered structures are realized using electrochemical etching of p + -type silicon. Morphological and optical characterizations of the realized structures are reported

  13. Fabrication of a novel silicon single electron transistor for Si:P quantum computer devices

    International Nuclear Information System (INIS)

    Angus, S.J.; Smith, C.E.A.; Gauja, E.; Dzurak, A.S.; Clark, R.G.; Snider, G.L.

    2004-01-01

    Full text: Quantum computation relies on the successful measurement of quantum states. Single electron transistors (SETs) are known to be able to perform fast and sensitive charge measurements of solid state qubits. However, due to their sensitivity, SETs are also very susceptible to random charge fluctuations in a solid-state materials environment. In previous dc transport measurements, silicon-based SETs have demonstrated greater charge stability than A1/A1 2 O 3 SETs. We have designed and fabricated a novel silicon SET architecture for a comparison of the noise characteristics of silicon and aluminium based devices. The silicon SET described here is designed for controllable and reproducible low temperature operation. It is fabricated using a novel dual gate structure on a silicon-on-insulator substrate. A silicon quantum wire is formed in a 100nm thick high-resistivity superficial silicon layer using reactive ion etching. Carriers are induced in the silicon wire by a back gate in the silicon substrate. The tunnel barriers are created electrostatically, using lithographically defined metallic electrodes (∼40nm width). These tunnel barriers surround the surface of the quantum wire, thus producing excellent electrostatic confinement. This architecture provides independent control of tunnel barrier height and island occupancy, thus promising better control of Coulomb blockade oscillations than in previously investigated silicon SETs. The use of a near intrinsic silicon substrate offers compatibility with Si:P qubits in the longer term

  14. Leakage current of amorphous silicon p-i-n diodes made by ion shower doping

    International Nuclear Information System (INIS)

    Kim, Hee Joon; Cho, Gyuseong; Choi, Joonhoo; Jung, Kwan-Wook

    2002-01-01

    In this letter, we report the leakage current of amorphous silicon (a-Si:H) p-i-n photodiodes, of which the p layer is formed by ion shower doping. The ion shower doping technique has an advantage over plasma-enhanced chemical vapor deposition (PECVD) in the fabrication of a large-area amorphous silicon flat-panel detector. The leakage current of the ion shower diodes shows a better uniformity within a 30 cmx40 cm substrate than that of the PECVD diodes. However, it shows a higher leakage current of 2-3 pA/mm 2 at -5 V. This high current originates from the high injection current at the p-i junction

  15. Electronic defect levels in continuous wave laser annealed silicon metal oxide semiconductor devices

    Science.gov (United States)

    Cervera, M.; Garcia, B. J.; Martinez, J.; Garrido, J.; Piqueras, J.

    1988-09-01

    The effect of laser treatment on the bulk and interface states of the Si-SiO2 structure has been investigated. The annealing was performed prior to the gate metallization using a continuous wave Ar+ laser. For low laser powers the interface state density seems to decrease slightly in comparison with untreated samples. However, for the highest irradiating laser powers a new bulk level at 0.41 eV above the valence band with concentrations up to 1015 cm-3 arises probably due to the electrical activation of the oxygen diluted in the Czochralski silicon. Later postmetallization annealings reduce the interface state density to values in the 1010 cm-2 eV-1 range but leave the concentration of the 0.41-eV center nearly unchanged.

  16. A numerical study of the influence of feeding polycrystalline silicon granules on melt temperature in the continuous Czochralski process

    Science.gov (United States)

    Ono, Naoki; Kida, Michio; Arai, Yoshiaki; Sahira, Kensho

    1993-09-01

    Temperature change was simulated using a solid body rotating melt model when solid polycrystalline silicon granules were supplied to a melt in a double-crucible method. Only heat conduction was considered in the analysis. The influence of the crucible rotation rates and of the initial temperature of the supplied silicon was investigated systematically and quantitatively. The influence of the crucible rotation rate was stronger than expected, which suggests that the crucible rotation rate cannot be lowered too much because of the possibility of the melt solidifying between the inner and outer crucibles.

  17. Radiation damage studies for the D0 silicon detector

    International Nuclear Information System (INIS)

    Lehner, F.

    2004-01-01

    We report on irradiation studies performed on spare production silicon detector modules for the current D0 silicon detector. The lifetime expectations due to radiation damage effects of the existing silicon detector are reviewed. A new upgrade project was started with the goal of a complete replacement of the existing silicon detector. In that context, several investigations on the radiation hardness of new prototype silicon microstrip detectors were carried out. The irradiation on different detector types was performed with 10 MeV protons up to fluences of 10 14 p/cm 2 at the J.R. Mcdonald Laboratory at Kansas State University. The flux calibration was carefully checked using different normalization techniques. As a result, we observe roughly 40-50% less radiation damage in silicon for 10 MeV p exposure than it is expected by the predicted NIEL scaling

  18. Development of a miniaturized watch-type dosimeter using a silicon printed-circuit board

    International Nuclear Information System (INIS)

    Ishikura, Takeshi; Sakamaki, Tsuyoshi; Matsumoto, Iwao; Aoyama, Kei; Nakamura, Takashi

    2008-01-01

    The electrical personal dosimeter using a silicon semiconductor sensor has the advantage of real time response and alarm function, which can prevent unexpected over-exposure. We tried to develop a miniaturized watch-type dosimeter by incorporating the silicon semiconductor sensor on a silicon printed-circuit board. Thin film resistors, capacitors and wiring patterns are formed on a downsized printed-circuit board. Electronic parts including transistors are mounted by soldering on the silicon printed-circuit board. The dosimeter is further miniaturized by downsizing the amplifier circuit, the semiconductor radiation sensor, the power supply circuit, setting parts and alarm part. The performance of the developed dosimeter was evaluated with respect to the gamma-ray spectra, angular dependence and linearity to dose equivalent rate, and it was confirmed that this dosimeter has the performance equivalent to a commercially available electrical personal dosimeter. (author)

  19. Effect of the CO2/SiH4 Ratio in the p-μc-SiO:H Emitter Layer on the Performance of Crystalline Silicon Heterojunction Solar Cells

    OpenAIRE

    Sritharathikhun, Jaran; Krajangsang, Taweewat; Moollakorn, Apichan; Inthisang, Sorapong; Limmanee, Amornrat; Hongsingtong, Aswin; Boriraksantikul, Nattaphong; Taratiwat, Tianchai; Akarapanjavit, Nirod; Sriprapha, Kobsak

    2014-01-01

    This paper reports the preparation of wide gap p-type hydrogenated microcrystalline silicon oxide (p-μc-SiO:H) films using a 40 MHz very high frequency plasma enhanced chemical vapor deposition technique. The reported work focused on the effects of the CO2/SiH4 ratio on the properties of p-μc-SiO:H films and the effectiveness of the films as an emitter layer of crystalline silicon heterojunction (c-Si-HJ) solar cells. A p-μc-SiO:H film with a wide optical band gap (E04), 2.1 eV, can be obtain...

  20. Intravitreal properties of porous silicon photonic crystals

    Science.gov (United States)

    Cheng, L; Anglin, E; Cunin, F; Kim, D; Sailor, M J; Falkenstein, I; Tammewar, A; Freeman, W R

    2009-01-01

    Aim To determine the suitability of porous silicon photonic crystals for intraocular drug-delivery. Methods A rugate structure was electrochemically etched into a highly doped p-type silicon substrate to create a porous silicon film that was subsequently removed and ultrasonically fractured into particles. To stabilise the particles in aqueous media, the silicon particles were modified by surface alkylation (using thermal hydrosilylation) or by thermal oxidation. Unmodified particles, hydrosilylated particles and oxidised particles were injected into rabbit vitreous. The stability and toxicity of each type of particle were studied by indirect ophthalmoscopy, biomicroscopy, tonometry, electroretinography (ERG) and histology. Results No toxicity was observed with any type of the particles during a period of >4 months. Surface alkylation led to dramatically increased intravitreal stability and slow degradation. The estimated vitreous half-life increased from 1 week (fresh particles) to 5 weeks (oxidised particles) and to 16 weeks (hydrosilylated particles). Conclusion The porous silicon photonic crystals showed good biocompatibility and may be used as an intraocular drug-delivery system. The intravitreal injectable porous silicon photonic crystals may be engineered to host a variety of therapeutics and achieve controlled drug release over long periods of time to treat chronic vitreoretinal diseases. PMID:18441177

  1. Influence of fabrication parameter on the nanostructure and photoluminescence of highly doped p-porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shaoyuan [National Engineering Laboratory for Vacuum Metallurgy, Kunming University of Science and Technology, Kunming 650093 (China); Faculty of Metallurgical and energy engineering, Kunming University of Science and Technology, Kunming 650093 (China); Ma, Wenhui, E-mail: mwhsilicon@163.com [National Engineering Laboratory for Vacuum Metallurgy, Kunming University of Science and Technology, Kunming 650093 (China); Faculty of Metallurgical and energy engineering, Kunming University of Science and Technology, Kunming 650093 (China); Zhou, Yang, E-mail: zhouyangnano@163.com [National Engineering Laboratory for Vacuum Metallurgy, Kunming University of Science and Technology, Kunming 650093 (China); Faculty of Metallurgical and energy engineering, Kunming University of Science and Technology, Kunming 650093 (China); Chen, Xiuhua [Faculty of Physical Science and Technology, Yunnan University, Kunming 650091 (China); Ma, Mingyu [National Engineering Laboratory for Vacuum Metallurgy, Kunming University of Science and Technology, Kunming 650093 (China); Faculty of Metallurgical and energy engineering, Kunming University of Science and Technology, Kunming 650093 (China); Xiao, Yongyin [Faculty of Physical Science and Technology, Yunnan University, Kunming 650091 (China); Xu, Yaohui [National Engineering Laboratory for Vacuum Metallurgy, Kunming University of Science and Technology, Kunming 650093 (China); Faculty of Metallurgical and energy engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2014-02-15

    Porous silicon (PS) was prepared by anodizing highly doped p-type silicon in the solution of H{sub 2}O/ethanol/HF. The effects of key fabrication parameters (HF concentration, etching time and current density) on the nanostructure of PS were carefully investigated by AFM, SEM and TEM characterization. According to the experimental results, a more full-fledged model was developed to explain the crack behaviors on PS surface. The photoluminescence (PL) of resulting PS was studied by a fluorescence spectrophotometer and the results show that PL peak positions shift to shorter wavelength with the increasing current density, anodisation time and dilution of electrolyte. The PL spectra blue shift of the sample with higher porosity is confirmed by HRTEM results that the higher porosity results in smaller Si nanocrystals. A linear model (λ{sub PL/nm}=620.3–0.595P, R=0.905) was established to describe the correlation between PL peak positions and porosity of PS. -- Highlights: • The effect of fabrication parameter on the nanostructure of PS is investigated. • The influence of nanostructure on the photoluminescence behaviors is studied • A full-fledged model for expounding the crack behaviors of PS is presented. • The correlation between the porosity and PL peak blue shift is described by a linear model.

  2. Signal amplification and leakage current suppression in amorphous silicon p-i-n diodes by field profile tailoring

    International Nuclear Information System (INIS)

    Hong, W.S.; Zhong, F.; Mireshghi, A.; Perez-Mendez, V.

    1999-01-01

    The performance of amorphous silicon p-i-n diodes as radiation detectors in terms of signal amplitude can be greatly improved when there is a built-in signal gain mechanism. The authors describe an avalanche gain mechanism which is achieved by introducing stacked intrinsic, p-type, and n-type layers into the diode structure. They replaced the intrinsic layer of the conventional p-i-n diode with i 1 -p-i 2 -n-i 3 multilayers. The i 2 layer (typically 1 ∼ 3 microm) achieves an electric field > 10 6 V/cm, while maintaining the p-i interfaces to the metallic contact at electric fields 4 V/cm, when the diode is fully depleted. For use in photo-diode applications the whole structure is less than 10 microm thick. Avalanche gains of 10 ∼ 50 can be obtained when the diode is biased to ∼ 500 V. Also, dividing the electrodes to strips of 2 microm width and 20 microm pitch reduced the leakage current up to an order of magnitude, and increased light transmission without creating inactive regions

  3. Complementary p- and n-type polymer doping for ambient stable graphene inverter.

    Science.gov (United States)

    Yun, Je Moon; Park, Seokhan; Hwang, Young Hwan; Lee, Eui-Sup; Maiti, Uday; Moon, Hanul; Kim, Bo-Hyun; Bae, Byeong-Soo; Kim, Yong-Hyun; Kim, Sang Ouk

    2014-01-28

    Graphene offers great promise to complement the inherent limitations of silicon electronics. To date, considerable research efforts have been devoted to complementary p- and n-type doping of graphene as a fundamental requirement for graphene-based electronics. Unfortunately, previous efforts suffer from undesired defect formation, poor controllability of doping level, and subtle environmental sensitivity. Here we present that graphene can be complementary p- and n-doped by simple polymer coating with different dipolar characteristics. Significantly, spontaneous vertical ordering of dipolar pyridine side groups of poly(4-vinylpyridine) at graphene surface can stabilize n-type doping at room-temperature ambient condition. The dipole field also enhances and balances the charge mobility by screening the impurity charge effect from the bottom substrate. We successfully demonstrate ambient stable inverters by integrating p- and n-type graphene transistors, which demonstrated clear voltage inversion with a gain of 0.17 at a 3.3 V input voltage. This straightforward polymer doping offers diverse opportunities for graphene-based electronics, including logic circuits, particularly in mechanically flexible form.

  4. Numerical modeling of Czochralski growth of Li2MoO4 crystals for heat-scintillation cryogenic bolometers

    Science.gov (United States)

    Stelian, Carmen; Velázquez, Matias; Veber, Philippe; Ahmine, Abdelmounaim; Sand, Jean-Baptiste; Buşe, Gabriel; Cabane, Hugues; Duffar, Thierry

    2018-06-01

    Lithium molybdate Li2MoO4 (LMO) crystals of mass ranging between 350 and 500 g are excellent candidates to build heat-scintillation cryogenic bolometers likely to be used for the detection of rare events in astroparticle physics. In this work, numerical modeling is applied in order to investigate the Czochralski growth of Li2MoO4 crystals in an inductive furnace. The numerical model was validated by comparing the numerical predictions of the crystal-melt interface shape to experimental visualization of the growth interface. Modeling was performed for two different Czochralski furnaces that use inductive heating. The simulation of the first furnace, which was used to grow Li2MoO4 crystals of 3-4 cm in diameter, reveals non-optimal heat transfer conditions for obtaining good quality crystals. The second furnace, which will be used to grow crystals of 5 cm in diameter, was numerically optimized in order to reduce the temperature gradients in the crystal and to avoid fast crystallization of the bath at the later stages of the growth process.

  5. Site-Controlled Growth of Monolithic InGaAs/InP Quantum Well Nanopillar Lasers on Silicon.

    Science.gov (United States)

    Schuster, Fabian; Kapraun, Jonas; Malheiros-Silveira, Gilliard N; Deshpande, Saniya; Chang-Hasnain, Connie J

    2017-04-12

    In this Letter, we report the site-controlled growth of InP nanolasers on a silicon substrate with patterned SiO 2 nanomasks by low-temperature metal-organic chemical vapor deposition, compatible with silicon complementary metal-oxide-semiconductor (CMOS) post-processing. A two-step growth procedure is presented to achieve smooth wurtzite faceting of vertical nanopillars. By incorporating InGaAs multiquantum wells, the nanopillar emission can be tuned over a wide spectral range. Enhanced quality factors of the intrinsic InP nanopillar cavities promote lasing at 0.87 and 1.21 μm, located within two important optical telecommunication bands. This is the first demonstration of a site-controlled III-V nanolaser monolithically integrated on silicon with a silicon-transparent emission wavelength, paving the way for energy-efficient on-chip optical links at typical telecommunication wavelengths.

  6. Integrated Amorphous Silicon p-i-n Temperature Sensor for CMOS Photonics

    Directory of Open Access Journals (Sweden)

    Sandro Rao

    2016-01-01

    Full Text Available Hydrogenated amorphous silicon (a-Si:H shows interesting optoelectronic and technological properties that make it suitable for the fabrication of passive and active micro-photonic devices, compatible moreover with standard microelectronic devices on a microchip. A temperature sensor based on a hydrogenated amorphous silicon p-i-n diode integrated in an optical waveguide for silicon photonics applications is presented here. The linear dependence of the voltage drop across the forward-biased diode on temperature, in a range from 30 °C up to 170 °C, has been used for thermal sensing. A high sensitivity of 11.9 mV/°C in the bias current range of 34–40 nA has been measured. The proposed device is particularly suitable for the continuous temperature monitoring of CMOS-compatible photonic integrated circuits, where the behavior of the on-chip active and passive devices are strongly dependent on their operating temperature.

  7. Ground-state splitting of ultrashallow thermal donors with negative central-cell corrections in silicon

    Science.gov (United States)

    Hara, Akito; Awano, Teruyoshi

    2017-06-01

    Ultrashallow thermal donors (USTDs), which consist of light element impurities such as carbon, hydrogen, and oxygen, have been found in Czochralski silicon (CZ Si) crystals. To the best of our knowledge, these are the shallowest hydrogen-like donors with negative central-cell corrections in Si. We observed the ground-state splitting of USTDs by far-infrared optical absorption at different temperatures. The upper ground-state levels are approximately 4 meV higher than the ground-state levels. This energy level splitting is also consistent with that obtained by thermal excitation from the ground state to the upper ground state. This is direct evidence that the wave function of the USTD ground state is made up of a linear combination of conduction band minimums.

  8. Magnetic flow control in growth and casting of photovoltaic silicon: Numerical and experimental results

    Science.gov (United States)

    Poklad, A.; Pal, J.; Galindo, V.; Grants, I.; Heinze, V.; Meier, D.; Pätzold, O.; Stelter, M.; Gerbeth, G.

    2017-07-01

    A novel, vertical Bridgman-type technique for growing multi-crystalline silicon ingots in an induction furnace is described. In contrast to conventional growth, a modified setup with a cone-shaped crucible and susceptor is used. A detailed numerical simulation of the setup is presented. It includes a global thermal simulation of the furnace and a local simulation of the melt, which aims at the influence of the melt flow on the temperature and concentration fields. Furthermore, seeded growth of cone-shaped Si ingots using either a monocrystalline seed or a seed layer formed by pieces of poly-Si is demonstrated and compared to growth without seeds. The influences of the seed material on the grain structure and the dislocation density of the ingots are discussed. The second part addresses model experiments for the Czochralski technique using the room temperature liquid metal GaInSn. The studies were focused on the influence of a rotating and a horizontally static magnetic field on the melt flow and the related heat transport in crucibles being heated from bottom and/or side, and cooled by a crystal model covering about 1/3 of the upper melt surface.

  9. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  10. N-type nano-silicon powders with ultra-low electrical resistivity as anode materials in lithium ion batteries

    Science.gov (United States)

    Yue, Zhihao; Zhou, Lang; Jin, Chenxin; Xu, Guojun; Liu, Liekai; Tang, Hao; Li, Xiaomin; Sun, Fugen; Huang, Haibin; Yuan, Jiren

    2017-06-01

    N-type silicon wafers with electrical resistivity of 0.001 Ω cm were ball-milled to powders and part of them was further mechanically crushed by sand-milling to smaller particles of nano-size. Both the sand-milled and ball-milled silicon powders were, respectively, mixed with graphite powder (silicon:graphite = 5:95, weight ratio) as anode materials for lithium ion batteries. Electrochemical measurements, including cycle and rate tests, present that anode using sand-milled silicon powder performed much better. The first discharge capacity of sand-milled silicon anode is 549.7 mAh/g and it is still up to 420.4 mAh/g after 100 cycles. Besides, the D50 of sand-milled silicon powder shows ten times smaller in particle size than that of ball-milled silicon powder, and they are 276 nm and 2.6 μm, respectively. In addition, there exist some amorphous silicon components in the sand-milled silicon powder excepting the multi-crystalline silicon, which is very different from the ball-milled silicon powder made up of multi-crystalline silicon only.

  11. Silicon doped InP as an alternative plasmonic material for mid-infrared

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Han, Li; Christensen, Dennis Valbjørn

    2016-01-01

    Silicon-doped InP is grown on top of semiinsulating iron-doped and sulfur-doped InP substrates by metalorganic vapor phase epitaxy (MOVPE), and the growth parameters are adjusted to obtain various free carrier concentrations from 1.05×1019 cm-3 up to 3.28×1019 cm-3. Midinfrared (IR) reflection...

  12. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  13. Complexing agents and pH influence on chemical durability of type I moulded glass containers.

    Science.gov (United States)

    Biavati, Alberto; Poncini, Michele; Ferrarini, Arianna; Favaro, Nicola; Scarpa, Martina; Vallotto, Marta

    2017-06-16

    Among the factors that affect the glass surface chemical durability, pH and complexing agents presence in aqueous solution have the main role (1). Glass surface attack can be also related to the delamination issue with glass particles appearance in the pharmaceutical preparation. A few methods to check for glass containers delamination propensity and some control guidelines have been proposed (2,3). The present study emphasizes the possible synergy between a few complexing agents with pH on the borosilicate glass chemical durability. Hydrolytic attack was performed in small volume 23 ml type I glass containers autoclaved according to EP or USP for 1 hour at 121°C, in order to enhance the chemical attack due to time, temperature and the unfavourable surface/volume ratio. 0,048 M or 0.024 M (moles/liter) solutions of the acids citric, glutaric, acetic, EDTA (ethylenediaminetetraacetic acid) and sodium phosphate with water for comparison, were used for the trials. The pH was adjusted ± 0,05 units at fixed values 5,5-6,6-7-7,4-8-9 by LiOH diluted solution. Since silicon is the main glass network former, silicon release into the attack solutions was chosen as the main index of the glass surface attack and analysed by ICPAES. The work was completed by the analysis of the silicon release in the worst attack conditions, of moulded glass, soda lime type II and tubing borosilicate glass vials to compare different glass compositions and forming technologies. Surface analysis by SEM was finally performed to check for the surface status after the worst chemical attack condition by citric acid. Copyright © 2017, Parenteral Drug Association.

  14. Silicon P.I.N. Junctions used for studies of radiation damage

    International Nuclear Information System (INIS)

    Lanore, J.

    1964-06-01

    Irradiation of silicon P.I.N. junction has been studied primarily for the purpose of developing a radiation damage dosimeter, but also for the purpose of investigating silicon itself. It is known that the rate of recombination of electrons and holes is a linear function of defects introduced by neutron irradiation. Two methods have been used to measure that rate of recombination: forward characteristic measurements, recovery time measurements. In order to explain how these two parameters depend on recombination rate we have given a theory of the P.I.N. junction. We have also given an idea of the carrier lifetime dependence versus temperature. Annealing effects in the range of 70 to 700 K have also been studied, we found five annealing stages with corresponding activation energies. As an application for these studies, we developed a radiation damage dosimeter with which we made several experiments in facilities such as Naiade or Marias. (author) [fr

  15. Intrinsic gettering of nickel impuriy deep levels in silicon substrate ...

    African Journals Online (AJOL)

    The intrinsic gettering of nickel impurity in p-type silicon substrate has been investigated. The density of electrically active nickel in intentionally contaminated silicon was determined before and after oxygen precipitation by means of resistivity measurements. These data, coupled with minority carrier lifetime and infrared ...

  16. Low cost monocrystalline silicon sheet fabrication for solar cells by advanced ingot technology

    Science.gov (United States)

    Fiegl, G. F.; Bonora, A. C.

    1980-01-01

    The continuous liquid feed (CLF) Czochralski furnace and the enhanced I.D. slicing technology for the low-cost production of monocrystalline silicon sheets for solar cells are discussed. The incorporation of the CLF system is shown to improve ingot production rate significantly. As demonstrated in actual runs, higher than average solidification rates (75 to 100 mm/hr for 150 mm 1-0-0 crystals) can be achieved, when the system approaches steady-state conditions. The design characteristics of the CLF furnace are detailed, noting that it is capable of precise control of dopant impurity incorporation in the axial direction of the crystal. The crystal add-on cost is computed to be $11.88/sq m, considering a projected 1986 25-slice per cm conversion factor with an 86% crystal growth yield.

  17. Development of advanced methods for continuous Czochralski growth. Silicon sheet growth development for the large area silicon sheet task of the low cost silicon solar array project

    Science.gov (United States)

    Wolfson, R. G.; Sibley, C. B.

    1978-01-01

    The three components required to modify the furnace for batch and continuous recharging with granular silicon were designed. The feasibility of extended growth cycles up to 40 hours long was demonstrated by a recharge simulation experiment; a 6 inch diameter crystal was pulled from a 20 kg charge, remelted, and pulled again for a total of four growth cycles, 59-1/8 inch of body length, and approximately 65 kg of calculated mass.

  18. Electrically active defects in p-type silicon after alpha-particle irradiation

    Science.gov (United States)

    Danga, Helga T.; Auret, F. Danie; Tunhuma, Shandirai M.; Omotoso, Ezekiel; Igumbor, Emmanuel; Meyer, Walter E.

    2018-04-01

    In this work, we investigated the defects introduced when boron (B) doped silicon (Si) was irradiated by making use of a 5.4 MeV americium (Am) 241 foil radioactive source with a fluence rate of 7×106 cm-2 s-1 at room temperature. Deep level transient spectroscopy (DLTS) and Laplace-DLTS measurements were used to investigate the electronic properties of the introduced defects. After exposure at a fluence of 5.1×1010 cm-2, the energy levels of the hole traps measured were: H(0.10), H(0.16), H(0.33) and H(0.52) The defect level H(0.10) was tri-vacancy related. H(0.33) was identified as the interstitial carbon (Ci) related defect which was a result of radiation induced damage. H(0.52) was a B-related defect. Explicit deductions about the origin of H(0.16) have not yet been achieved.

  19. Fast Pulling of n-Type Si Ingots for Enhanced Si Solar Cell Production

    Science.gov (United States)

    Kim, Kwanghun; Park, Sanghyun; Park, Jaechang; Pang, Ilsun; Ryu, Sangwoo; Oh, Jihun

    2018-03-01

    Reducing the manufacturing costs of silicon substrates is an important issue in the silicon-based solar cell industry. In this study, we developed a high-throughput ingot growth method by accelerating the pulling speed in the Czochralski process. By controlling the heat flow of the ingot growth chamber and at the solid-liquid interfaces, the pulling speed of an ingot could be increased by 15% compared to the conventional method, while retaining high quality. The wafer obtained at a high pulling speed showed an enhanced minority carrier lifetime compared with conventional wafers, due to the vacancy passivation effect, and also demonstrated comparable bulk resistivity and impurities. The results in this work are expected to open a new way to enhance the productivity of Si wafers used for Si solar cells, and therefore, to reduce the overall manufacturing cost.

  20. Robustness up to 400°C of the passivation of c-Si by p-type a-Si:H thanks to ion implantation

    Science.gov (United States)

    Defresne, A.; Plantevin, O.; Roca i Cabarrocas, Pere

    2016-12-01

    Heterojunction solar cells based on crystalline silicon (c-Si) passivated by hydrogenated amorphous silicon (a-Si:H) thin films are one of the most promising architectures for high energy conversion efficiency. Indeed, a-Si:H thin films can passivate both p-type and n-type wafers and can be deposited at low temperature (layers, in particular p-type a-Si:H, show a dramatic degradation in passivation quality above 200°C. Yet, annealing at 300 - 400°C the TCO layer and metallic contacts is highly desirable to reduce the contact resistance as well as the TCO optical absorption. In this work, we show that as expected, ion implantation (5 - 30 keV) introduces defects at the c-Si/a-Si:H interface which strongly degrade the effective lifetime, down to a few micro-seconds. However, the passivation quality can be restored and lifetime values can be improved up to 2 ms over the initial value with annealing. We show here that effective lifetimes above 1 ms can be maintained up to 380°C, opening up the possibility for higher process temperatures in silicon heterojunction device fabrication.

  1. Admittance studies of neutron-irradiated silicon p+-n diodes

    International Nuclear Information System (INIS)

    Tokuda, Y.; Usami, A.

    1977-01-01

    Defects introduced in n-type silicon by neutron irradiation were investigated by measuring the conductance (G) and the capacitance (C) of p + -n diodes. The method of the determination of the energy level, capture cross section, and concentration for each defect from the G-T and C-T curves for various frequencies was presented. Assuming that capture cross sections are independent of temperature, the energy levels of E/sub c/-0.15 eV, E/sub c/-0.22 eV, and E/sub c/-0.39 eV were obtained. For these defects, the calculated values of the electron capture cross section were 2.6 x 10 -14 , 3.7 x 10 -15 , and 2.0 x 10 -14 cm 2 , respectively. The introduction rate of defects for E/sub c/-0.39 eV was twice that for E/sub c/-0.22 eV which was twice that for E/sub c/-0.15 eV. Comparing with other published data, the energy levels of E/sub c/-0.15 eV and E/sub c/-0.39 eV were found to be correlated with the A center and the divacancy, respectively

  2. Temperature-Dependent Asymmetry of Anisotropic Magnetoresistance in Silicon p-n Junctions.

    Science.gov (United States)

    Yang, D Z; Wang, T; Sui, W B; Si, M S; Guo, D W; Shi, Z; Wang, F C; Xue, D S

    2015-09-01

    We report a large but asymmetric magnetoresistance in silicon p-n junctions, which contrasts with the fact of magnetoresistance being symmetric in magnetic metals and semiconductors. With temperature decreasing from 293 K to 100 K, the magnetoresistance sharply increases from 50% to 150% under a magnetic field of 2 T. At the same time, an asymmetric magnetoresistance, which manifests itself as a magnetoresistance voltage offset with respect to the sign of magnetic field, occurs and linearly increases with magnetoresistance. More interestingly, in contrast with other materials, the lineshape of anisotropic magnetoresistance in silicon p-n junctions significantly depends on temperature. As temperature decreases from 293 K to 100 K, the width of peak shrinks from 90° to 70°. We ascribe these novel magnetoresistance to the asymmetric geometry of the space charge region in p-n junction induced by the magnetic field. In the vicinity of the space charge region the current paths are deflected, contributing the Hall field to the asymmetric magnetoresistance. Therefore, the observed temperature-dependent asymmetry of magnetoresistance is proved to be a direct consequence of the spatial configuration evolution of space charge region with temperature.

  3. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  4. Study of silicon doped with zinc ions and annealed in oxygen

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, V. V., E-mail: v.privezentsev@mail.ru [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Kirilenko, E. P.; Goryachev, A. N. [Zelenograd, National Research University of Electronic Technology “MIET” (Russian Federation); Batrakov, A. A. [National Research University “MEI” (Russian Federation)

    2017-02-15

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with {sup 64}Zn{sup +} ions with an energy of 50 keV and a dose of 5 × 10{sup 16} cm{sup –2} at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-ray photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn{sub 2}SiO{sub 4} and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.

  5. Oxygen microclusters in Czochralski-grown Si probed by positron annihilation

    International Nuclear Information System (INIS)

    Uedono, Akira; Wei Long; Tanigawa, Shoichiro; Kawano, Takao; Ikari, Atsushi; Kawakami, Kazuto; Itoh, Hisayoshi.

    1994-01-01

    Trapping of positrons by oxygen microclusters in Czochralski-grown Si was studied. Lifetime spectra of positrons were measured for Si specimens annealed in the temperature range between 450degC and 1000degC. Positrons were found to be trapped by oxygen microclusters, and the trapping rate of positrons into such defects increased with increasing annealing temperature. In order to investigate the clustering behaviors of oxygen atoms in more derail, vacancy-oxygen complexes, V n O m (n,m=1,2, ···), were introduced by 3MeV electron irradiation. The concentration of monovacancy-oxygen complexes VO m (m=2,3, ···) increased with increasing annealing temperature. These facts were attributed that the oxygen microclusters, O m , were introduced by annealing above 700degC. (author)

  6. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  7. Hydrogenation of the ``new oxygen donor'' traps in silicon

    Science.gov (United States)

    Hölzlein, K.; Pensl, G.; Schulz, M.; Johnson, N. M.

    1986-04-01

    Hydrogenation was performed at moderate temperatures (≤300 °C) on Czochralski-grown Si samples that contained high concentrations of the oxygen-related ``new donor'' (ND) traps. From deep level transient spectroscopy, a comparison of spectra from untreated reference and hydrogenated material reveals that two different types of defect states contribute to the continuous energy distribution of the ND traps. The experimental and theoretical results further establish the ``SiOx interface'' model for the ND defects.

  8. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  9. Diffuse x-ray scattering and transmission electron microscopy study of defects in antimony-implanted silicon

    Science.gov (United States)

    Takamura, Y.; Marshall, A. F.; Mehta, A.; Arthur, J.; Griffin, P. B.; Plummer, J. D.; Patel, J. R.

    2004-04-01

    Ion implantation followed by laser annealing has been used to create supersaturated and electrically active concentrations of antimony in silicon. Upon subsequent thermal annealing, however, these metastable dopants deactivate towards the equilibrium solubility limit. In this work, the formation of inactive antimony structures has been studied with grazing incidence diffuse x-ray scattering, and transmission electron microscopy, and the results are correlated to previous high-resolution x-ray diffraction data. We find that at a concentration of 6.0×1020 cm-3, small, incoherent clusters of radius 3-4 Å form during annealing at 900 °C. At a higher concentration of 2.2×1021 cm-3, deactivation at 600 °C occurs through the formation of small, antimony aggregates and antimony precipitates. The size of these precipitates from diffuse x-ray scattering is roughly 15 Å in radius for anneal times from 15 to 180 seconds. This value is consistent with the features observed in high-resolution and mass contrast transmission electron microscopy images. The coherent nature of the aggregates and precipitates causes the expansion of the surrounding silicon matrix as the deactivation progresses. In addition, the sensitivity of the diffuse x-ray scattering technique has allowed us to detect the presence of small clusters of radius ˜2 Å in unprocessed Czochralski silicon wafers. These defects are not observed in floating zone silicon wafers, and are tentatively attributed to thermal donors.

  10. Analysis of n-in-p type silicon detectors for high radiation environment with fast analogue and binary readout systems

    Energy Technology Data Exchange (ETDEWEB)

    Printz, Martin

    2016-01-22

    sensor technology and module design will be deployed. Silicon strip and macro-pixel sensors in the future CMS experiment will face a fluence of up to Φ=1 x 10{sup 15} n{sub eq}cm{sup -2}s{sup -1} after an integrated luminosity of 3000 fb{sup -1} and 10 years of operation in HL-LHC conditions. Therefore the radiation hardness of the sensors must guarantee high charge collection efficiency which degrades with increasing radiation damage. Therefore extensive radiation damage and charge collection studies have been exercised in order to find the most suitable sensor material and layout which will withstand the harsh operation environment. The key technology has been decided to be p-type substrate whereby electrons with a high mobility and less trapping effects are collected by the readout electrodes. However, this technology requires detailed investigations of the necessary isolation layer which prevents a build up of an accumulation layer below the sensor surface which would directly lead to a lower resolution of the tracker. Furthermore, an elevated particle or track density requires a higher granularity. Hence the strip length of the sensors and the strip pitch will be reduced resulting in more channels and as a direct consequence more data which has to be transmitted out of the tracker volume. In contrary to the current tracker, the signal level will be compared to a threshold by the new binary readout chip CBC and just the binary hit information will be processed to the next instance. In addition, the tracker will contribute to the global Level-1 trigger decision. The contribution will be achieved by the correlation logic of the binary readout chip which detects hits on two stacked sensors in one module. Depending on the particle curvature in the CMS 3.8 T magnetic field, the transverse momentum p{sub T} of the traversing particles is estimated on-chip and compared to a programable threshold. Simulations indicate, that rejecting hits from low momentum particles in the

  11. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  12. Minimizing guard ring dead space in silicon detectors with an n-type guard ring at the edge of the detector

    International Nuclear Information System (INIS)

    Palviainen, Tanja; Tuuva, Tuure; Leinonen, Kari

    2007-01-01

    Detectors with n-type silicon with an n + -type guard ring were investigated. In the present work, a new p + /n/n + detector structure with an n + guard ring is described. The guard ring is placed at the edge of the detector. The detector depletion region extends also sideways, allowing for signal collection very close to the n-guard ring. In this kind of detector structure, the dead space of the detector is minimized to be only below the guard ring. This is proved by simulations done using Silvaco/ATLAS software

  13. Minimizing guard ring dead space in silicon detectors with an n-type guard ring at the edge of the detector

    Energy Technology Data Exchange (ETDEWEB)

    Palviainen, Tanja [Lappeenranta University of Technology, P.O. Box 20, FIN-53851 Lappeenranta (Finland)]. E-mail: tanja.palviainen@lut.fi; Tuuva, Tuure [Lappeenranta University of Technology, P.O. Box 20, FIN-53851 Lappeenranta (Finland); Leinonen, Kari [Lappeenranta University of Technology, P.O. Box 20, FIN-53851 Lappeenranta (Finland)

    2007-04-01

    Detectors with n-type silicon with an n{sup +}-type guard ring were investigated. In the present work, a new p{sup +}/n/n{sup +} detector structure with an n{sup +} guard ring is described. The guard ring is placed at the edge of the detector. The detector depletion region extends also sideways, allowing for signal collection very close to the n-guard ring. In this kind of detector structure, the dead space of the detector is minimized to be only below the guard ring. This is proved by simulations done using Silvaco/ATLAS software.

  14. Silicon nanowire transistors

    CERN Document Server

    Bindal, Ahmet

    2016-01-01

    This book describes the n and p-channel Silicon Nanowire Transistor (SNT) designs with single and dual-work functions, emphasizing low static and dynamic power consumption. The authors describe a process flow for fabrication and generate SPICE models for building various digital and analog circuits. These include an SRAM, a baseband spread spectrum transmitter, a neuron cell and a Field Programmable Gate Array (FPGA) platform in the digital domain, as well as high bandwidth single-stage and operational amplifiers, RF communication circuits in the analog domain, in order to show this technology’s true potential for the next generation VLSI. Describes Silicon Nanowire (SNW) Transistors, as vertically constructed MOS n and p-channel transistors, with low static and dynamic power consumption and small layout footprint; Targets System-on-Chip (SoC) design, supporting very high transistor count (ULSI), minimal power consumption requiring inexpensive substrates for packaging; Enables fabrication of different types...

  15. Porous silicon localization for implementation in matrix biosensors

    International Nuclear Information System (INIS)

    Benilov, A.; Cabrera, M.; Skryshevsky, V.; Martin, J.-R.

    2007-01-01

    The search of appropriate substrates and methods of surface DNA functionalisation is one of the important tasks of semiconductor biosensors. In this work we develop a method of light-assisted porous silicon etching in order to localize porous silicon spots on silicon substrate for matrix fluorophore-labeled DNA sensors implementation. The principal difference of porous spots localization proposed is considered for n- and p-type Si substrates under the condition of supplementary illumination. The tuning of the porous profile via applying of lateral electric field is proposed and experimentally proved

  16. The two sides of silicon detectors

    International Nuclear Information System (INIS)

    Devine, S.R.

    2001-10-01

    Results are presented on in situ irradiation of silicon detector's at cryogenic temperature. The results show that irradiation at cryogenic temperatures does not detrimentally effect a silicon detectors performance when compared to its irradiation at room temperature. Operation of silicon devices at cryogenic temperatures offers the advantage of reducing radiation-induced leakage current to levels of a few pA, while at 130K the Lazarus Effect plays an important role i.e. minimum voltage required for full depletion. Performing voltage scans on a 'standard' silicon pad detector pre- and post annealing, the charge collection efficiency was found to be 60% at 200V and 95% at 200V respectively. Time dependence measurements are presented, showing that for a dose of 6.5x10 14 p/cm 2 (450GeV protons) the time dependence of the charge collection efficiency is negligible. However, for higher doses, 1.2x10 15 p/cm 2 , the charge collection efficiency drops from an initial measured value of 67% to a stable value of 58% over a period of 15 minutes for reversed biased diodes. An analysis of the 'double junction' effect is also presented. A comparison between the Transient Current Technique and an X-ray technique is presented. The double junction has been observed in p + /n/n + silicon detectors after irradiation beyond 'type inversion', corresponding to a fluence equivalent to ∼3x10 13 cm -2 1MeV neutrons, producing p + /p/n + and essentially two p-n junctions within one device. With increasing bias voltage, as the electric field is extending into the detector bulk from opposite sides of the silicon detector, there are two distinct depletion regions that collect charge signal independently. Summing the signal charge from the two regions, one is able to reconstruct the initial energy of the incident particle. From Transient Current measurements it is apparent that E-field manipulation is possible by excess carrier injection, enabling a high enough E-field to extend across the

  17. Novel silicon n-on-p edgeless planar pixel sensors for the ATLAS upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Bomben, M., E-mail: marco.bomben@cern.ch [Laboratoire de Physique Nucleaire et de Hautes Énergies (LPNHE), Paris (France); Bagolini, A.; Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM) Povo di Trento (Italy); Bosisio, L. [Università di Trieste, Dipartimento di Fisica and INFN, Trieste (Italy); Calderini, G. [Laboratoire de Physique Nucleaire et de Hautes Énergies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Università di Pisa, Pisa (Italy); INFN Sez. di Pisa, Pisa (Italy); Chauveau, J. [Laboratoire de Physique Nucleaire et de Hautes Énergies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM) Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Université de Genève, Genève (Switzerland); Marchiori, G. [Laboratoire de Physique Nucleaire et de Hautes Énergies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM) Povo di Trento (Italy)

    2013-12-01

    In view of the LHC upgrade phases towards HL-LHC, the ATLAS experiment plans to upgrade the inner detector with an all-silicon system. The n-on-p silicon technology is a promising candidate for the pixel upgrade thanks to its radiation hardness and cost effectiveness. The edgeless technology would allow for enlarging the area instrumented with pixel detectors. We report on the development of novel n-on-p edgeless planar pixel sensors fabricated at FBK (Trento, Italy), making use of the active edge concept for the reduction of the dead area at the periphery of the device. After discussing the sensor technology and fabrication process, we present device simulations (pre- and post-irradiation) performed for different sensor configurations. First preliminary results obtained with the test-structures of the production are shown.

  18. Novel silicon n-on-p edgeless planar pixel sensors for the ATLAS upgrade

    International Nuclear Information System (INIS)

    Bomben, M.; Bagolini, A.; Boscardin, M.; Bosisio, L.; Calderini, G.; Chauveau, J.; Giacomini, G.; La Rosa, A.; Marchiori, G.; Zorzi, N.

    2013-01-01

    In view of the LHC upgrade phases towards HL-LHC, the ATLAS experiment plans to upgrade the inner detector with an all-silicon system. The n-on-p silicon technology is a promising candidate for the pixel upgrade thanks to its radiation hardness and cost effectiveness. The edgeless technology would allow for enlarging the area instrumented with pixel detectors. We report on the development of novel n-on-p edgeless planar pixel sensors fabricated at FBK (Trento, Italy), making use of the active edge concept for the reduction of the dead area at the periphery of the device. After discussing the sensor technology and fabrication process, we present device simulations (pre- and post-irradiation) performed for different sensor configurations. First preliminary results obtained with the test-structures of the production are shown

  19. Electrical activation of phosphorus in silicon

    International Nuclear Information System (INIS)

    Goh, K.E.J.; Oberbeck, L.; Simmons, M.Y.; Clark, R.G.

    2003-01-01

    Full text: We present studies of phosphorus δ-doping in silicon with a view to determining the degree of electrical activation of the dopants. These results have a direct consequence for the use of phosphorus as a qubit in a silicon-based quantum computer such as that proposed by Kane. Room temperature and 4 K Hall effect measurements are presented for phosphorus δ-doped layers grown in n-type silicon using two different methods. In the first method, the δ-layer was deposited by a phosphorus effusion cell in an MBE chamber. In the second method, the Si surface was dosed with phosphine gas and then annealed to 550 deg C to incorporate P into the substrate. In both methods, the P δ-doped layer was subsequently encapsulated by ∼25 nm of Si grown epitaxially. We discuss the implications of our results on the fabrication of the Kane quantum computer

  20. Ultrafast Silicon Photonics with Visible to Mid-Infrared Pumping of Silicon Nanocrystals.

    Science.gov (United States)

    Diroll, Benjamin T; Schramke, Katelyn S; Guo, Peijun; Kortshagen, Uwe R; Schaller, Richard D

    2017-10-11

    Dynamic optical control of infrared (IR) transparency and refractive index is achieved using boron-doped silicon nanocrystals excited with mid-IR optical pulses. Unlike previous silicon-based optical switches, large changes in transmittance are achieved without a fabricated structure by exploiting strong light coupling of the localized surface plasmon resonance (LSPR) produced from free holes of p-type silicon nanocrystals. The choice of optical excitation wavelength allows for selectivity between hole heating and carrier generation through intraband or interband photoexcitation, respectively. Mid-IR optical pumping heats the free holes of p-Si nanocrystals to effective temperatures greater than 3500 K. Increases of the hole effective mass at high effective hole temperatures lead to a subpicosecond change of the dielectric function, resulting in a redshift of the LSPR, modulating mid-IR transmission by as much as 27%, and increasing the index of refraction by more than 0.1 in the mid-IR. Low hole heat capacity dictates subpicosecond hole cooling, substantially faster than carrier recombination, and negligible heating of the Si lattice, permitting mid-IR optical switching at terahertz repetition frequencies. Further, the energetic distribution of holes at high effective temperatures partially reverses the Burstein-Moss effect, permitting the modulation of transmittance at telecommunications wavelengths. The results presented here show that doped silicon, particularly in micro- or nanostructures, is a promising dynamic metamaterial for ultrafast IR photonics.

  1. Oxygen microclusters in Czochralski-grown Si probed by positron annihilation

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Wei Long; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Ikari, Atsushi; Kawakami, Kazuto; Itoh, Hisayoshi

    1994-08-01

    Trapping of positrons by oxygen microclusters in Czochralski-grown Si was studied. Lifetime spectra of positrons were measured for Si specimens annealed in the temperature range between 450degC and 1000degC. Positrons were found to be trapped by oxygen microclusters, and the trapping rate of positrons into such defects increased with increasing annealing temperature. In order to investigate the clustering behaviors of oxygen atoms in more derail, vacancy-oxygen complexes, V{sub n}O{sub m} (n,m=1,2, {center_dot}{center_dot}{center_dot}), were introduced by 3MeV electron irradiation. The concentration of monovacancy-oxygen complexes VO{sub m}(m=2,3, {center_dot}{center_dot}{center_dot}) increased with increasing annealing temperature. These facts were attributed that the oxygen microclusters, O{sub m}, were introduced by annealing above 700degC. (author).

  2. Energy Conversion Properties of ZnSiP2, a Lattice-Matched Material for Silicon-Based Tandem Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, Aaron D.; Warren, Emily L.; Gorai, Prashun; Borup, Kasper A.; Krishna, Lakshmi; Kuciauskas, Darius; Dippo, Patricia C.; Ortiz, Brenden R.; Stradins, Paul; Stevanovic, Vladan; Toberer, Eric S.; Tamboli, Adele C.

    2016-11-21

    ZnSiP2 demonstrates promising potential as an optically active material on silicon. There has been a longstanding need for wide band gap materials that can be integrated with Si for tandem photovoltaics and other optoelectronic applications. ZnSiP2 is an inexpensive, earth abundant, wide band gap material that is stable and lattice matched with silicon. This conference proceeding summarizes our PV-relevant work on bulk single crystal ZnSiP2, highlighting the key findings and laying the ground work for integration into Si-based tandem devices.

  3. Remarks on Prof. Michał Kokowski’s comment about the studies into the life of Prof. Jan Czochralski (in Polish

    Directory of Open Access Journals (Sweden)

    Paweł E. TOMASZEWSKI

    2015-12-01

    Full Text Available Remarks on the critical comments regarding the contents of the paper published after the presentation delivered by the biographer of Prof. Jan Czochralski. Unfortunately, Prof. Kokowski used an incorrect historical approach to such a short paper. The remarks are presented in four main points.

  4. Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    International Nuclear Information System (INIS)

    Hai-Qing, Xiao; Chun-Lan, Zhou; Xiao-Ning, Cao; Wen-Jing, Wang; Lei, Zhao; Hai-Ling, Li; Hong-Wei, Diao

    2009-01-01

    Al 2 O 3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 10 12 cm −2 is detected in the Al 2 O 3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO 2 and plasma enhanced chemical vapor deposition SiN x :H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al 2 O 3 . (cross-disciplinary physics and related areas of science and technology)

  5. End-functional silicone coupling agent modified PEO/P(VDF-HFP)/SiO2 nanocomposite polymer electrolyte DSSC

    International Nuclear Information System (INIS)

    Zhang Jing; Yang Ying; Wu Sujuan; Xu Sheng; Zhou Conghua; Hu Hao; Chen Bolei; Xiong Xiaodong; Sebo, Bobby; Han Hongwei; Zhao Xingzhong

    2008-01-01

    The end-functional silicone coupling agent (dodecyl-trimethoxysilane, DTMS for short) was used to modify the PEO/P(VDF-HFP)/SiO 2 nanocomposite polymer electrolyte (CPE) and the different amounts of DTMS modification effects were studied. The experiments showed the silicone coupling agent with hydrophobic alkyl chains (-C 12 H 25 ) chemically engineered on the SiO 2 nanoparticles, and formed a Si-O-Si cross-linked network in the new nanocomposite polymer electrolyte. Proper content of DTMS modified CPE exhibited improved ionic conductivity and the connection with the photoanode and counter electrode. However, much higher content of the DTMS modification changed the conformation of the polymer network and reduced the ionic movement. Compared with the performance (3.84%) of the original DSSC, the DSSC with functional silicone coupling agent modified CPE (DTMS:SiO 2 = 2:1, mol ratio) exhibited improved J sc (7.94 mA cm -2 ), V oc (0.624 V) and optimal efficiency (5.2%) (measured at AM1.5, light intensity of 58.4 mW cm -2 ). The V oc of the silicone coupling agent modified polymer electrolyte DSSC is obviously improved, which is mainly due to that the hydrophobic alkyl chain end groups formed an insulating layer that retarded the electron recombination at the TiO 2 nanoporous photoanode/polymer electrolyte interface. The DTMS:SiO 2 = 2:1 modified CPE type DSSC exhibited a performance of 6.42% at a light intensity of 32.1 mW cm -2 and 4.94% at 99.2 mW cm -2

  6. Study of the interface in n{sup +}{mu}c-Si/p-type c-Si heterojunctions: role of the fluorine chemistry in the interface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Grimaldi, A.; Sacchetti, A.; Capezzuto, P.; Ambrico, M.; Bruno, G.; Roca, Francesco

    2003-03-03

    Investigation of n-p heterojunction solar cells obtained by depositing a n-type thin silicon films either amorphous or microcrystalline on p-type c-Si is carried out. The study is focused on the improvement of the c-Si surface and emitter layer/c-Si substrate interface. The peculiarity is the use of SiF{sub 4}-based plasmas for the in situ dry cleaning and passivation of the c-Si surface and for the PECVD deposition of the emitter layer that can be either amorphous (a-Si:H,F) or microcrystalline ({mu}c-Si). The use of SiF{sub 4} instead of the conventional SiH{sub 4} results in a lower hydrogen content in the film and in a reduction of the interaction of the c-Si surface with hydrogen atoms. Furthermore, the dependence of the heterojunction solar cell photovoltaic parameters on the insertion of an intrinsic buffer layer between the n-type thin silicon layer and the p-type c-Si substrate is discussed.

  7. Scintillation properties of Zr co-doped Ce:(Gd, La)_2Si_2O_7 grown by the Czochralski process

    International Nuclear Information System (INIS)

    Murakami, Rikito; Kurosawa, Shunsuke; Shoji, Yasuhiro; Jary, Vitezslav; Ohashi, Yuji; Pejchal, Jan; Yokota, Yuui; Kamada, Kei; Nikl, Martin; Yoshikawa, Akira

    2016-01-01

    (Gd_0_._7_5,Ce_0_._0_1_5,La_0_._2_3_5)_2Si_2O_7 (Ce:La-GPS) single crystals co-doped with 0, 100, 200, 500 and 1000 ppm Zr were grown by the Czochralski process, and their scintillation properties were investigated. We investigated the co-doping effect of a stable tetravalent ion in Ce:La-GPS for the first time. The scintillation decay times in the faster component were shortened with increasing the Zr concentration. While the non-co-doped sample showed ∼63 ns day time, the Zr 100, 200, 500 and 1000 ppm co-doped samples showed ∼61, ∼59, ∼57, ∼54 ns, respectively. Additionally, light output, photon nonproportional response (PNR) and other optical properties were investigated. - Highlights: • Czochralski growth of Ce:(Gd,La)_2Si_2O_7 single crystals. • Co-doping effect of a stable tetravalent ion in Ce:(Gd,La)_2Si_2O_7 system. • Photon nonproportional response of Zr co-doped Ce:(Gd,La)_2Si_2O_7.

  8. Al and Cu Implantation into Silicon Substrate for Ohmic Contact in Solar Cell Fabrication

    International Nuclear Information System (INIS)

    Sri Sulamdari; Sudjatmoko; Wirjoadi; Yunanto; Bambang Siswanto

    2002-01-01

    Research on the implantation of Al and Cu ions into silicon substrate for ohmic contact in solar cell fabrication has been carried using ion accelerator machine. Al and Cu ions are from 98% Al and 99.9% Cu powder ionized in ion source system. provided in ion implantor machine. Before implantation process, (0.5 x 1) cm 2 N type and P type silicon were washed in water and then etched in Cp-4A solution. After that, P type silicon were implanted with Al ions and N type silicon were implanted with Cu ions with the ions dose from 10 13 ion/cm 2 - 10 16 ion/cm 2 and energy 20 keV - 80 keV. Implanted samples were then annealed at temperature 400 o C - 850 o C. Implanted and annealed samples were characterized their resistivities using four point probe FPP-5000. It was found that at full electrically active conditions the ρ s for N type was 1.30 x 10 8 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and annealing temperature 500 o C. While for P type, the ρ s was 1.13 x 10 2 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and energy 40 keV, and annealing temperature 500 o C. (author)

  9. Acousto-defect interaction in irradiated and non-irradiated silicon n+-p structures

    Science.gov (United States)

    Olikh, O. Ya.; Gorb, A. M.; Chupryna, R. G.; Pristay-Fenenkov, O. V.

    2018-04-01

    The influence of ultrasound on current-voltage characteristics of non-irradiated silicon n+-p structures as well as silicon structures exposed to reactor neutrons or 60Co gamma radiation has been investigated experimentally. It has been found that the ultrasound loading of the n+-p structure leads to the reversible change of shunt resistance, carrier lifetime, and ideality factor. Specifically, considerable acoustically induced alteration of the ideality factor and the space charge region lifetime was observed in the irradiated samples. The experimental results were described by using the models of coupled defect level recombination, Shockley-Read-Hall recombination, and dislocation-induced impedance. The experimentally observed phenomena are associated with the increase in the distance between coupled defects as well as the extension of the carrier capture coefficient of complex point defects and dislocations. It has been shown that divacancies and vacancy-interstitial oxygen pairs are effectively modified by ultrasound in contrast to interstitial carbon-interstitial oxygen complexes.

  10. Development of real time personal neutron dosimeter with two silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, T.; Tsujimura, N. [Tohoku Univ., Cyclotron and Radioisotope Center, Aoba, Aramaki, Aoba-ku (Japan); Yamano, T. [Tokyo Factory, Fuji Electric Co. Ltd., Tokyo (Japan)

    1992-07-01

    We developed a real time personal neutron dosimeter by using two types of silicon p-n junction detectors, thermal neutron sensor and fast neutron sensor. The thermal neutron sensor which is {sup 10}B doped n-type silicon with a polyethylene radiator mainly counts neutrons of energy front thermal to I MeV, and the fast neutron sensor which is p-type silicon with a polyethylene radiator is sensitive to neutrons above I MeV. The neutron sensitivity measurements revealed that the dosimeter has a rather flat response for dose equivalent from thermal to 15 MeV, excluding a drop from 50 keV to I MeV. In order to get conversion factor from counts to dose equivalent as accurately as possible, we performed the field test of the dosimeter calibration in several neutron-generating fields. By introducing the two-group dose estimation method, this dosimeter can give the neutron dose equivalent within about 50% errors. (author)

  11. The pH dependence of silicon-iron interaction in rats.

    Science.gov (United States)

    Jia, X; Emerick, R J; Kayongo-Male, H

    1997-01-01

    A 2 x 2 x 3 factorial experiment was conducted to study the pH dependence of a silicon-iron interaction in vivo. The dietary treatments used in the factorial design were the following (mg/kg of diet): silicon, 0 and 500; iron, 35 and 187; acid-base, ammonium chloride as 0.5% of total diet (acidic), sodium bicarbonate as 1.0% of total diet (basic), or no supplementation of acid or base (control). The supplementation of 500 mg silicon/kg of diet increased plasma-iron concentration in rats fed the acidic or control diets, but not in rats fed the basic diet. A high dietary-iron level suppressed copper absorption and utilization and subsequently imposed a negative effect on its own utilization. An increase in the plasma total-cholesterol concentration caused by high dietary-iron level was likely a consequence of the antagonistic effect of iron on copper absorption and utilization. The use of cupric sulfate pentahydrate as the dietary-copper source in this study resulted in plasma copper concentrations that were approximately twice those obtained in a related study using cupric carbonate. Also, a 42% coefficient of variation (C.V.) for plasma-copper concentrations of rats fed cupric sulfate in this study was greatly reduced from the C.V. = 108% previously associated with the dietary cupric carbonate.

  12. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    Science.gov (United States)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  13. Influence for high intensity irradiation on characteristics of silicon strip-detectors

    International Nuclear Information System (INIS)

    Anokhin, I.E.; Pugatch, V.M.; Zinets, O.S.

    1995-01-01

    Full text: Silicon strip detectors (SSD) are widely used for the coordinate determination of short-range as well as minimum ionizing particles with high spatial resolution. Submicron position sensitivity of strip-detectors for short-range particles has been studied by means of two dimensional analyses of charges collected by neighboring strips as well as by measurement of charge collection times [1]. Silicon strip detectors was also used for testing high energy electron beam [2]. Under large fluences the radiation defects are stored and such characteristics of strip-detectors as an accuracy of the coordinate determination and the registration efficiency are significantly changed. Radiation defects lead to a decrease of the lifetime and mobility of charge carriers and therefore to changes of conditions for the charge collection in detectors. The inhomogeneity in spatial distribution if defects and electrical field plays an important role in the charge collection. In this report the role of the diffusion and drift in the charge collection in silicon strip-detectors under irradiation up to 10 Mrad has been studied. The electric field distribution and its dependence on the radiation dose in the detector have been calculated. It is shown that for particles incident between adjacent strips the coordinate determination precision depends strongly on the detector geometry and the electric field distribution, particularly in the vicinity of strips. Measuring simultaneously the collected charges and collection times on adjacent strips one can essentially improve reliability of the coordinate determination for short-range particles. Usually SSD are fabricated on n-type wafers. It is well known that under high intensity irradiation n-Si material converts into p-Si as far as p-type silicon is more radiative hard than n-type silicon [3] it is reasonable to fabricate SSD using high resistivity p-Si. Characteristics of SSD in basis n-and P-Si have been compared and higher

  14. Dislocation dynamics of web type silicon ribbon

    Energy Technology Data Exchange (ETDEWEB)

    Dillon, Jr, O W; Tsai, C T; DeAngelis, R J

    1987-03-01

    Silicon ribbon grown by the dendritic web process passes through a rapidly changing thermal profile in the growth direction. This rapidly changing profile induces stresses which produce changes in the dislocation density in the ribbon. A viscoplastic material response function (Haasen-Sumino model) is used herein to calculate the stresses and the dislocation density at each point in the silicon ribbon. The residual stresses are also calculated.

  15. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  16. Integrating carbon nanotubes into silicon by means of vertical carbon nanotube field-effect transistors

    KAUST Repository

    Li, Jingqi; Wang, Qingxiao; Yue, Weisheng; Guo, Zaibing; LI, LIANG; Zhao, Chao; Wang, Xianbin; Abutaha, Anas I.; Alshareef, Husam N.; Zhang, Yafei; Zhang, Xixiang

    2014-01-01

    Single-walled carbon nanotubes have been integrated into silicon for use in vertical carbon nanotube field-effect transistors (CNTFETs). A unique feature of these devices is that a silicon substrate and a metal contact are used as the source and drain for the vertical transistors, respectively. These CNTFETs show very different characteristics from those fabricated with two metal contacts. Surprisingly, the transfer characteristics of the vertical CNTFETs can be either ambipolar or unipolar (p-type or n-type) depending on the sign of the drain voltage. Furthermore, the p-type/n-type character of the devices is defined by the doping type of the silicon substrate used in the fabrication process. A semiclassical model is used to simulate the performance of these CNTFETs by taking the conductance change of the Si contact under the gate voltage into consideration. The calculation results are consistent with the experimental observations. This journal is © the Partner Organisations 2014.

  17. Effect of Sr-P Interaction on the Microstructure and Tensile Properties of A413.0 Type Alloys

    Directory of Open Access Journals (Sweden)

    A. M. Samuel

    2016-01-01

    Full Text Available The present study was performed on low magnesium A413.0 type alloys. The results show that strontium (Sr is mainly concentrated in the silicon particles. Overmodification occurs when Sr precipitates in the form of Al2SrSi2, which takes place over a wide range of temperatures. The first peak occurs following the precipitation of α-Al, the second peak is merged with the precipitation of eutectic silicon (Si, and the third peak is a posteutectic reaction. Introduction of phosphorus (P to Sr-modified alloys leads to the formation of (Al,P,Sr2O5 compound, which reduces the modification effectiveness of Sr. Therefore, in the presence of P, the amount of added Sr should exceed 200 ppm. For the same levels of P, the tensile parameters of well modified alloys (233 ppm Sr are relatively higher than those partially modified with Sr (about 60 ppm Sr containing the same amount of P. During solution heat treatment, coarsening of the eutectic Si particles occurs by the growth of some particles at the expense of the dissolution of the smaller ones, as well as by the collision of nearby particles.

  18. Synthesis and thermal conductivity of type II silicon clathrates

    Science.gov (United States)

    Beekman, M.; Nolas, G. S.

    2006-08-01

    We have synthesized and characterized polycrystalline Na 1Si 136 and Na 8Si 136, compounds possessing the type II clathrate hydrate crystal structure. Resistivity measurements from 10 to 300 K indicate very large resistivities in this temperature range, with activated temperature dependences indicative of relatively large band gap semiconductors. The thermal conductivity is very low; two orders-of-magnitude lower than that of diamond-structure silicon at room temperature. The thermal conductivity of Na 8Si 136 displays a temperature dependence that is atypical of crystalline solids and more indicative of amorphous materials. This work is part of a continuing effort to explore the many different compositions and structure types of clathrates, a class of materials that continues to be of interest for scientific and technological applications.

  19. Study on grown-in defects in CZ-Si by positron annihilation

    International Nuclear Information System (INIS)

    Nakagawa, S.; Hori, F.; Oshima, R.

    2004-01-01

    In order to study the nature of grown-in microdefects of a silicon wafer taken from a czochralski-grown single crystal (CZ-Si) in which ring oxidation-induced stacking faults (ring-OSF) are formed after oxidation heat treatment, positron annihilation coincidence Doppler broadening experiments (CDB) have been performed. Vacancy-type defects were detected in the central region of a wafer of an as-grown crystal, and they were changed with annealing. It was confirmed that different types of defects were formed in the regions of outside and inside of the ring-OSF. (orig.)

  20. Sensitivity of triple-crystal X-ray diffractometers to microdefects in silicon

    International Nuclear Information System (INIS)

    Molodkin, V.B.; Olikhovskii, S.I.; Len, E.G.; Kislovskii, E.N.; Kladko, V.P.; Reshetnyk, O.V.; Vladimirova, T.P.; Sheludchenko, B.V.

    2009-01-01

    The dynamical theory, which describes both diffraction profiles and reciprocal space maps measured from imperfect crystals with account for instrumental factors of triple-crystal diffractometer (TCD), has been developed for adequate quantitative characterization of microdefects. Analytical expressions for coherent and diffuse scattering (DS) intensities measured by TCD in the Bragg diffraction geometry have been derived by using the generalized statistical dynamical theory of X-ray scattering in real single crystals with randomly distributed defects. The DS intensity distributions from single crystals containing clusters and dislocation loops have been described by explicit analytical expressions. Particularly, these expressions take into account anisotropy of displacement fields around defects with discrete orientations. Characteristics of microdefect structures in silicon single crystals grown by Czochralsky- and float-zone methods have been determined by analyzing the measured TCD profiles and reciprocal space maps. The sensitivities of reciprocal space maps and diffraction profiles to defect characteristics have been compared. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  1. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  2. A portable readout system for silicon microstrip sensors

    International Nuclear Information System (INIS)

    Marco-Hernandez, Ricardo

    2010-01-01

    This system can measure the collected charge in one or two microstrip silicon sensors by reading out all the channels of the sensor(s), up to 256. The system is able to operate with different types (p- and n-type) and different sizes (up to 3 cm 2 ) of microstrip silicon sensors, both irradiated and non-irradiated. Heavily irradiated sensors will be used at the Super Large Hadron Collider, so this system can be used to research the performance of microstrip silicon sensors in conditions as similar as possible to the Super Large Hadron Collider operating conditions. The system has two main parts: a hardware part and a software part. The hardware part acquires the sensor signals either from external trigger inputs, in case of a radioactive source setup is used, or from a synchronised trigger output generated by the system, if a laser setup is used. The software controls the system and processes the data acquired from the sensors in order to store it in an adequate format. The main characteristics of the system are described. Results of measurements acquired with n- and p-type detectors using both the laser and the radioactive source setup are also presented and discussed.

  3. Phase-separated CsI-NaCl scintillator grown by the Czochralski method

    Science.gov (United States)

    Yasui, Nobuhiro; Kobayashi, Tamaki; Ohashi, Yoshihiro; Den, Toru

    2014-08-01

    A phase-separated CsI-NaCl scintillator with light-guiding properties was grown by the Czochralski method. The CsI-NaCl eutectic phase usually consists of NaCl cylinders in a CsI matrix and contains grain boundaries. However, we have grown composites without grain boundaries by creating a convex solid/liquid interface, selecting a single grain by Dash's neck method, and increasing the diameter of the composite moderately. The good continuous convection flow required for these conditions was achieved by suppressing the drop in the aspect ratio of the melt height to the crucible radius with a double crucible setup. We successfully obtained a CsI-NaCl:Tl composite that was uniform with no grain boundaries greater than 30 mm in diameter.

  4. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  5. Nanopatterned Silicon Substrate Use in Heterojunction Thin Film Solar Cells Made by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Shao-Ze Tseng

    2014-01-01

    Full Text Available This paper describes a method for fabricating silicon heterojunction thin film solar cells with an ITO/p-type a-Si : H/n-type c-Si structure by radiofrequency magnetron sputtering. A short-circuit current density and efficiency of 28.80 mA/cm2 and 8.67% were achieved. Novel nanopatterned silicon wafers for use in cells are presented. Improved heterojunction cells are formed on a nanopatterned silicon substrate that is prepared with a self-assembled monolayer of SiO2 nanospheres with a diameter of 550 nm used as an etching mask. The efficiency of the nanopattern silicon substrate heterojunction cells was 31.49% greater than that of heterojunction cells on a flat silicon wafer.

  6. Studies of annealing of neutron-produced defects in silicon by transconductance measurements of junction field-effect transistors

    International Nuclear Information System (INIS)

    Tokuda, Y.; Usami, A.

    1978-01-01

    Annealing behavior of neutron-produced defects in silicon was studied by measuring the phase angle theta of the small-signal transconductance of the junction field-effect transistors (JFET's). Three deep levels (N-1, N-2, and N-3 levels) in n-type silicon and two deep levels (P-1 and P-2 levels) in p-type silicon, introduced by irradiation, annealed gradually. Their energy levels and capture cross sections have been already reported by us. Three deep levels (P-3, P-4, and P-5 levels) were observed in annealed p-type silicon in the temperature range 150--300 0 C. For these defects, theta was measured as a function of frequency to obtain the time constant. From the temperature dependence of the time constant, assuming that capture cross sections are independent of temperature, the energy levels of P-3, P-4, and P-5 were estimated to be E/sub v/+0.21, E/sub v/+0.40, and E/sub v/+0.30 eV, respectively. The calculated hole capture cross sections of these levels were 2.2 x 10 -15 , 8.7 x 10 -14 , and 1.2 x 10 -14 cm 2 , respectively. Comparison with other published data was made. It was found that N-3 and P-2 levels corresponded to the divacancy. Furthermore, it seemed that P-3, P-4, and P-5 levels corresponded to the high-order vacancy defects

  7. Complex Boron Redistribution in P+ Doped-polysilicon / Nitrogen Doped Silicon Bi-layers during Activation Annealing

    Science.gov (United States)

    Abadli, S.; Mansour, F.; Perrera, E. Bedel

    We have investigated and modeled the complex phenomenon of boron (B) redistribution process in strongly doped silicon bilayers structure. A one-dimensional two stream transfer model well adapted to the particular structure of bi- layers and to the effects of strong-concentrations has been developed. This model takes into account the instantaneous kinetics of B transfer, trapping, clustering and segregation during the thermal B activation annealing. The used silicon bi-layers have been obtained by low pressure chemical vapor deposition (LPCVD) method, using in-situ nitrogen- doped-silicon (NiDoS) layer and strongly B doped polycrystalline-silicon (P+) layer. To avoid long redistributions, thermal annealing was carried out at relatively lowtemperatures (600 °C and 700 °C) for various times ranging between 30 minutes and 2 hours. The good adjustment of the simulated profiles with the experimental secondary ion mass spectroscopy (SIMS) profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the complex B redistribution profiles-shoulders kinetics.

  8. Process research of non-CZ silicon material

    Science.gov (United States)

    Campbell, R. B.

    1984-01-01

    Advanced processing techniques for non-CZ silicon sheet material that might improve the cost effectiveness of photovoltaic module production were investigated. Specifically, the simultaneous diffusion of liquid boron and liquid phosphorus organometallic precursors into n-type dendritic silicon web was examined. The simultaneous junction formation method for solar cells was compared with the sequential junction formation method. The electrical resistivity of the n-n and p-n junctions was discussed. Further research activities for this program along with a program documentation schedule are given.

  9. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  10. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    OpenAIRE

    Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe

    2015-01-01

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...

  11. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  12. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  13. Optical properties of erbium-doped porous silicon waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Najar, A. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France); Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Charrier, J. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France)]. E-mail: joel.charier@univ-rennes1.fr; Ajlani, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Lorrain, N. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France); Elhouichet, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Oueslati, M. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Haji, L. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France)

    2006-12-15

    Planar and buried channel porous silicon waveguides (WG) were prepared from p{sup +}-type silicon substrate by a two-step anodization process. Erbium ions were incorporated into pores of the porous silicon layers by an electrochemical method using ErCl{sub 3}-saturated solution. Erbium concentration of around 10{sup 20} at/cm{sup 3} was determined by energy-dispersive X-ray analysis performed on SEM cross-section. The luminescence properties of erbium ions in the IR range were determined and a luminescence time decay of 420 {mu}s was measured. Optical losses were studied on these WG. The increased losses after doping were discussed.

  14. Characteristic features of silicon multijunction solar cells with vertical p-n junctions

    International Nuclear Information System (INIS)

    Guk, E.G.; Nalet, T.A.; Shvarts, M.Z.; Shuman, V.B.

    1997-01-01

    A relatively simple technology (without photolithography) based on diffusion welding and ion-plasma deposition of an insulating coating has been developed for fabricating multijunction silicon solar cells with vertical p-n junctions. The effective collection factor for such structures is independent of the wavelength of the incident light in the wavelength range λ=340-1080 nm

  15. Radiation Effects of n-type, Low Resistivity, Spiral Silicon Drift Detector Hybrid Systems

    International Nuclear Information System (INIS)

    Chen, W.; De Geronimo, G.; Carini, G.A.; Gaskin, J.A.; Keister, J.W.; Li, S.; Li, Z.; Ramsey, B.D.; Siddons, D.P.; Smith, G.C.; Verbitskaya, E.

    2011-01-01

    We have developed a new thin-window, n-type, low-resistivity, spiral silicon drift detector (SDD) array - to be used as an extraterrestrial X-ray spectrometer (in varying environments) for NASA. To achieve low-energy response, a thin SDD entrance window was produced using a previously developed method. These thin-window devices were also produced on lower resistivity, thinner, n-type, silicon material, effectively ensuring their radiation hardness in anticipation of operation in potentially harsh radiation environments (such as found around the Jupiter system). Using the Indiana University Cyclotron Facility beam line RERS1, we irradiated a set of suitable diodes up to 5 Mrad and the latest iteration of our ASICs up to 12 Mrad. Then we irradiated two hybrid detectors consisting of newly, such-produced in-house (BNL) SDD chips bonded with ASICs with doses of 0.25 Mrad and 1 Mrad. Also we irradiated another hybrid detector consisting of previously produced (by KETEK) on n-type, high-resistivity SDD chip bonded with BNL's ASICs with a dose of 1 Mrad. The measurement results of radiated diodes (up to 5 Mrad), ASICs (up to 12 Mrad) and hybrid detectors (up to 1 Mrad) are presented here.

  16. A simplified boron diffusion for preparing the silicon single crystal p-n junction as an educational device

    Energy Technology Data Exchange (ETDEWEB)

    Shiota, Koki, E-mail: a14510@sr.kagawa-nct.ac.jp; Kai, Kazuho; Nagaoka, Shiro, E-mail: nagaoka@es.kagawa-nct.ac.jp [National Institute of Technology, Kagawa College, Kagawa, Mitoyo, Takuma, Koda 551 (Japan); Tsuji, Takuto [National Institute of Technology, Suzuka College, Mie, Suzuka, Shiroko (Japan); Wakahara, Akihiro [Toyohashi University of Technology, Aichi, Toyohashi, Tenpaku, Hibarigaoka 1-1 (Japan); Rusop, Mohamad [University Technology Mara, Selangor, Shah Alam, 40450 (Malaysia)

    2016-07-06

    The educational method which is including designing, making, and evaluating actual semiconductor devices with learning the theory is one of the best way to obtain the fundamental understanding of the device physics and to cultivate the ability to make unique ideas using the knowledge in the semiconductor device. In this paper, the simplified Boron thermal diffusion process using Sol-Gel material under normal air environment was proposed based on simple hypothesis and the feasibility of the reproducibility and reliability were investigated to simplify the diffusion process for making the educational devices, such as p-n junction, bipolar and pMOS devices. As the result, this method was successfully achieved making p+ region on the surface of the n-type silicon substrates with good reproducibility. And good rectification property of the p-n junctions was obtained successfully. This result indicates that there is a possibility to apply on the process making pMOS or bipolar transistors. It suggests that there is a variety of the possibility of the applications in the educational field to foster an imagination of new devices.

  17. Characterization and Performance of Silicon n-in-p Pixel Detectors for the ATLAS Upgrades

    CERN Document Server

    Weigell, Philipp; Gallrapp, Christian; La Rosa, Alessandro; Macchiolo, Anna; Nisius, Richard; Pernegger, Heinz; Richter, Rainer

    2011-01-01

    The existing ATLAS Tracker will be at its functional limit for particle fluences of 10^15 neq/cm^2 (LHC). Thus for the upgrades at smaller radii like in the case of the planned Insertable B-Layer (IBL) and for increased LHC luminosities (super LHC) the development of new structures and materials which can cope with the resulting particle fluences is needed. N-in-p silicon devices are a promising candidate for tracking detectors to achieve these goals, since they are radiation hard, cost efficient and are not type inverted after irradiation. A n-in-p pixel production based on a MPP/HLL design and performed by CiS (Erfurt, Germany) on 300 \\mu m thick Float-Zone material is characterised and the electrical properties of sensors and single chip modules (SCM) are presented, including noise, charge collection efficiencies, and measurements with MIPs as well as an 241Am source. The SCMs are built with sensors connected to the current the ATLAS read-out chip FE-I3. The characterisation has been performed with the ATL...

  18. Nonlinear model-based control of the Czochralski process III: Proper choice of manipulated variables and controller parameter scheduling

    Science.gov (United States)

    Neubert, M.; Winkler, J.

    2012-12-01

    This contribution continues an article series [1,2] about the nonlinear model-based control of the Czochralski crystal growth process. The key idea of the presented approach is to use a sophisticated combination of nonlinear model-based and conventional (linear) PI controllers for tracking of both, crystal radius and growth rate. Using heater power and pulling speed as manipulated variables several controller structures are possible. The present part tries to systematize the properties of the materials to be grown in order to get unambiguous decision criteria for a most profitable choice of the controller structure. For this purpose a material specific constant M called interface mobility and a more process specific constant S called system response number are introduced. While the first one summarizes important material properties like thermal conductivity and latent heat the latter one characterizes the process by evaluating the average axial thermal gradients at the phase boundary and the actual growth rate at which the crystal is grown. Furthermore these characteristic numbers are useful for establishing a scheduling strategy for the PI controller parameters in order to improve the controller performance. Finally, both numbers give a better understanding of the general thermal system dynamics of the Czochralski technique.

  19. Silicon-based thin films as bottom electrodes in chalcogenide nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Yun [IT Convergence and Components Laboratory, Electronics and Telecommunications Research Institute (ETRI), Yuseong-gu, Daejeon 305-350 (Korea, Republic of)], E-mail: seungyun@etri.re.kr; Yoon, Sung-Min; Choi, Kyu-Jeong; Lee, Nam-Yeal; Park, Young-Sam; Ryu, Sang-Ouk; Yu, Byoung-Gon; Kim, Sang-Hoon; Lee, Sang-Heung [IT Convergence and Components Laboratory, Electronics and Telecommunications Research Institute (ETRI), Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2007-10-31

    The effect of the electrical resistivity of a silicon-germanium (SiGe) thin film on the phase transition in a GeSbTe (GST) chalcogenide alloy and the manufacturing aspect of the fabrication process of a chalcogenide memory device employing the SiGe film as bottom electrodes were investigated. While p-type SiGe bottom electrodes were formed using in situ doping techniques, n-type ones could be made in a different manner where phosphorus atoms diffused from highly doped silicon underlayers to undoped SiGe films. The p-n heterojunction did not form between the p-type GST and n-type SiGe layers, and the semiconduction type of the SiGe alloys did not influence the memory device switching. It was confirmed that an optimum resistivity value existed for memory operation in spite of proportionality of Joule heating to electrical resistivity. The very high resistivity of the SiGe film had no effect on the reduction of reset current, which might result from the resistance decrease of the SiGe alloy at high temperatures.

  20. Carrier concentration effects on radiation damage in InP

    International Nuclear Information System (INIS)

    Yamaguchi, M.; Ando, K.; Uemura, C.

    1984-01-01

    Minority carrier diffusion length and carrier concentration studies have been made on room-temperature 1-MeV electron irradiated liquid-encapsulated Czochralski grown Zn-doped p-InP. The damage rate for the diffusion length and carrier removal rate due to irradiation have been found to strongly decrease with an increase in the carrier concentration in InP. These phenomena suggest that the induced defects interact with impurities in InP. A preliminary study on the annealing behavior has also been performed

  1. Low-temperature strain gauges based on silicon whiskers

    Directory of Open Access Journals (Sweden)

    Druzhinin A. A.

    2008-08-01

    Full Text Available To create low-temperature strain gauges based on p-type silicon whiskers tensoresistive characteristics of these crystals in 4,2—300 K temperature range were studied. On the basis of p-type Si whiskers with different resistivity the strain gauges for different materials operating at cryogenic temperatures with extremely high gauge factor at 4,2 K were developed, as well as strain gauges operating at liquid helium temperatures in high magnetic fields.

  2. Solar technology assessment project. Volume 6: Photovoltaic technology assessment

    Science.gov (United States)

    Backus, C. E.

    1981-04-01

    Industrial production of photovoltaic systems and volume of sales are reviewed. Low cost silicon production techniques are reviewed, including the Czochralski process, heat exchange method, edge defined film fed growth, dentritic web growth, and silicon on ceramic process. Semicrystalline silicon, amorphous silicon, and low cost poly-silicon are discussed as well as advanced materials and concentrator systems. Balance of system components beyond those needed to manufacture the solar panels are included. Nontechnical factors are assessed. The 1986 system cost goals are briefly reviewed.

  3. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  4. Calculations of shape and stability of menisci in Czochralski growth with tables to determine meniscus heights, maximum heights and capillary constants

    International Nuclear Information System (INIS)

    Uelhoff, W.; Mika, K.

    1975-05-01

    The shape and stability of menisci occurring during Czochralski growth have been studied by means of numerical methods for the case of the free surface. The existence of minimal joining angles is shown, beyond which the growing crystal will separate from the melt. The dependence of the interface height on the joining angle for different crystal diameters was calculated. The maximum stable heights and the corresponding joining angles were determined as a function of crystal diameter. A method for measuring the capillary constant of the melt during Czochralski growth is proposed. The results are compared with known analytical approximations. Limitations of the applications caused by a finite crucible radius or low g values are pointed out. For practical use the following functions have been tabulated: 1) meniscus height in dependence on joining angle and crystal radius, 2) the radius-height-ratio in dependence on radius and angle for the calculation of the capillary constant, 3) the maximum stable height and the corresponding growth angle as a function of crystal radius. (orig.) [de

  5. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  6. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  7. Comparison between rad-hard standard float zone (FZ) and magnetic Czochralski (MCZ) silicon diodes in radiotherapy electron beam dosimetry

    Energy Technology Data Exchange (ETDEWEB)

    Santos, T.C. dos; Goncalves, J.A.C.; Vasques, M.M.; Tobias, C.C.B. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Tecnologia das Radiacoes; Neves-Junior, W.F.P.; Haddad, C.M.K. [Hospital Sirio Libanes, Sao Paulo, SP (Brazil). Sociedade Beneficente de Senhoras; Harkonen, J. [Helsinki University of Technology (Denmark). Helsinki Inst. of Physics

    2010-07-01

    Full text. The use of semiconductor detectors has increased in radiotherapy practice since 1980s due to mainly their fast processing time, small sensitive volume and high relative sensitivity to ionizing radiation. Other major advantages of Si devices are excellent repeatability, good mechanical stability, high spatial resolution and the energy independence of mass collision stopping powers ratios (between silicon and water for electron beams with energy from 4 up to 20 MeV). However, ordinary silicon devices are very prone to radiation damage effects. In the last years, the development of radiation tolerant silicon detectors for High Energy Physics experiments has overcome this drawback. In this work we present the preliminary results obtained with a rad-hard epitaxial silicon diode as on-line clinical electron beam dosimeter. The diodes with 25 mm{sup 2} active area, were housed in a PMMA probe and connected, in a photovoltaic mode, to a Keithley 6517B electrometer. During all measurements, the diodes were held between PMMA plates, placed at Zref and centered in a radiation field of 10 cm x 10 cm, with the SSD kept at 100 cm. The devices dosimetric response was evaluated for 6, 9, 12, 15, 18 e 21 MeV electron beams from a Siemens KD 2 Radiotherapy Linear Accelerator, located at Sirio-Libanes Hospital. The radiation induced current in the diodes was registered as a function of the exposure time during 60 s for a fixed 300 MU. To study the short term repeatability, current signals were registered for the same radiation dose, for all energies. The dose-response of the diodes was achieved through the integration of the current signals as a function of the exposure time. The results obtained in the energy range of 6 up to 21 MeV evidenced that, for the same average dose rate of 5.0 cGy/s, the current signals are very stable and repeatable in both cases. For all energies, data shows good instantaneous repeatability with a percentage variation coefficient better than 2

  8. Porosity dependence of positive magnetoconductance in n-type porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chouaibi, Bassem; Radaoui, Moufid; Benfredj, Amel; Bouchriha, Habib [Laboratoire Materiaux Avances et Phenomenes Quantiques, Faculte des Sciences de Tunis, Universite El Manar, 2092 Campus universitaire, Tunis (Tunisia); Romdhane, Samir [Laboratoire Materiaux Avances et Phenomenes Quantiques, Faculte des Sciences de Tunis, Universite El Manar, 2092 Campus universitaire, Tunis (Tunisia); Faculte des Sciences de Bizerte, 7021 Zarzouna, Bizerte, Universite de Carthage (Tunisia); Bouaicha, Mongi [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    Positive magnetoconductance (MC) on n-type porous silicon (PS) based devices was observed at room temperature for low static magnetic field (under 6000 G). We found that the measured MC decreases when the film porosity is increased. Obtained results were analyzed by means of the quasi-1D weak localization (WL) theory. From the dependence of the MC vs. applied magnetic field, we determine the phase coherence length L{sup {phi}}. Good agreement between theoretical and experimental results was found (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Porous silicon photonic devices using pulsed anodic etching of lightly doped silicon

    International Nuclear Information System (INIS)

    Escorcia-Garcia, J; Sarracino MartInez, O; Agarwal, V; Gracia-Jimenez, J M

    2009-01-01

    The fabrication of porous silicon photonic structures using lightly doped, p-type, silicon wafers (resistivity: 14-22 Ω cm) by pulsed anodic etching is reported. The optical properties have been found to be strongly dependent on the duty cycle and frequency of the applied current. All the interfaces of the single layered samples were digitally analysed by calculating the mean interface roughness (R m ). The interface roughness was found to be maximum for the sample with direct current. The use of a duty cycle above 50%, in a certain range of frequencies, is found to reduce the interface roughness. The optical properties of some microcavities and rugate filters are investigated from the optimized parameters of the duty cycle and frequency, using the current densities of 10, 90 and 150 mA cm -2 .

  10. Effect of deep dislocation levels in silicon on the properties of p-n junctions

    Energy Technology Data Exchange (ETDEWEB)

    Zakharov, A.G.; Dudko, V.G.; Nabokov, G.M.; Sechenov, D.A.

    1988-07-01

    We present the results of studies on the influence of deep levels, due to dislocations in electronic-grade silicon, on the lifetime of minority carriers and on the current-voltage and capacitance-voltage characteristics of p-n junctions. The parameters of the deep levels were determined by means of dynamic spectroscopy. The carrier lifetime in the high-resistance region of the p-n junction correlates well with the dislocation density and varies from 10/sup /minus/7/ sec to 3 /centered dot/10/sup /minus/6/ sec when the dislocation density N/sub d/ varies from 10/sup 7/ cm/sup /minus/2/ to 5 /centered dot/10/sup 3/ cm/sup /minus/2/. The voltage across the p-n junction at a high level of injection varies 1.6 to 6.2 v as a function of N/sub d/. The ionization energy of deep levels associated with dislocation in silicon is 0.44 and 0.57 eV, measured from the bottom of the conduction band.

  11. Electrical behavior of free-standing porous silicon layers

    International Nuclear Information System (INIS)

    Bazrafkan, I.; Dariani, R.S.

    2009-01-01

    The electrical behavior of porous silicon (PS) layers has been investigated on one side of p-type silicon with various anodization currents and electrolytes. The two contact I-V characteristic is assigned by the metal/porous silicon rectifying interface, whereas, by using the van der Pauw technique, a nonlinear dependence of the current vs voltage was found. By using Dimethylformamide (DMF) in electrolyte, regular structures and columns were formed and porosity increased. Our results showed that by using DMF, surface resistivity of PS samples increased and became double for free-standing porous silicon (FPS). The reason could be due to increasing surface area and adsorbing some more gas molecules. Activation energy of PS samples was also increased from 0.31 to 0.34 eV and became 0.35 eV for FPS. The changes induced by storage are attributed to the oxidation process of the internal surface of free-standing porous silicon layers.

  12. ATLAS irradiation studies of n-in-n and p-in-n silicon microstrip detectors

    CERN Document Server

    Allport, P P; Buttar, C M; Carter, J; Drage, L M; Ferrère, D; Morgan, D; Riedler, P; Robinson, D

    1999-01-01

    Prior to the module production of the ATLAS silicon microstrip tracker for the barrel and the forward wheels, the characterisation of full-size prototype silicon detectors after radiation to fluences corresponding to 10 years of ATLAS operation is required. The behaviour of p-in-n and n-in-n detectors produced by several manufacturers before and after irradiation to a fluence of 3*10/sup 14/ protons/cm/sup 2/ at the CERN PS facility is discussed. This article summarises some recent results from the ATLAS SCT collaboration. The measurements of leakage current, full depletion voltage, signal-to-noise ratio and charge collection efficiency are presented. Despite the better efficiency performance of n-in-n detectors below depletion, the collaboration chose the p-in-n technology due to its simpler and less costly production since good charge collection efficiencies were achieved at the desired maximum bias voltage. (14 refs).

  13. Comparison of electrical performances of two n-in-p detectors with different implant type of guard ring by TCAD simulation

    Directory of Open Access Journals (Sweden)

    Mohammed Mekheldi

    Full Text Available This paper presents a preliminary comparative study for two different guard rings structures in the purpose of evaluating their electrical performances. The two structures are based on the n-in-p technology with different implant type of guard rings. I–V characteristics have been simulated using Silvaco/ATLAS software for both structures and compared for various parameters of substrate, guard ring and oxide. Simulation results show that the shape of leakage current is almost the same in all simulations but in terms of breakdown voltage, n-in-p structure with n-type guard rings ensures high voltage stability. Keywords: Breakdown voltage, Guard ring, n-in-p silicon detector, TCAD simulation

  14. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  15. Silicon heterojunction solar cell with passivated hole selective MoOx contact

    Science.gov (United States)

    Battaglia, Corsin; de Nicolás, Silvia Martín; De Wolf, Stefaan; Yin, Xingtian; Zheng, Maxwell; Ballif, Christophe; Javey, Ali

    2014-03-01

    We explore substoichiometric molybdenum trioxide (MoOx, x MoOx, we observe a substantial gain in photocurrent of 1.9 mA/cm2 in the ultraviolet and visible part of the solar spectrum, when compared to a p-type amorphous silicon emitter of a traditional silicon heterojunction cell. Our results emphasize the strong potential for oxides as carrier selective heterojunction partners to inorganic semiconductors.

  16. Enhancement of microelectronic device performances by photothermal annealing under SiCl4 ambient

    International Nuclear Information System (INIS)

    Hassen, M.; Ben Jaballah, A.; Hajji, M.; Ezzaouia, H.

    2006-01-01

    The use of low cost silicon wafers seems to be very attractive for photovoltaic and microelectronic devices. However, this material is widely contaminated by different impurities particularly transitions metals, which deteriorate the lifetimes and the bulk diffusion lengths of the minority charge carriers. One possible way to overcome this undesirable behavior is to include an efficient purification technique in the process of device fabrication. In this work, we present the effect of photothermal treatments of monocrystalline Czochralski silicon substrates under SiCl 4 /N 2 atmosphere using a thin sacrificial porous silicon layer. The main results show a decrease of the resistivity over 40 μm depth. The Hall mobility of the majority charge carriers is improved from 300 to 1417 cm 2 V -1 s -1 . The capacitance-voltage (C-V) characteristics of metal/SiO 2 /Si (MIS) structures indicate a decrease of carrier concentration which confirms the results obtained by Hall Effect and Van Der Pauw method. The reduction of boron concentration in Czochralski silicon may reduce boron- and oxygen related metastable defect centers

  17. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  18. Porosity and thickness effect of porous silicon layer on photoluminescence spectra

    Science.gov (United States)

    Husairi, F. S.; Eswar, K. A.; Guliling, Muliyadi; Khusaimi, Z.; Rusop, M.; Abdullah, S.

    2018-05-01

    The porous silicon nanostructures was prepared by electrochemical etching of p-type silicon wafer. Porous silicon prepared by using different current density and fix etching time with assistance of halogen lamp. The physical structure of porous silicon measured by the parameters used which know as experimental factor. In this work, we select one of those factors to correlate which optical properties of porous silicon. We investigated the surface morphology by using Surface Profiler (SP) and photoluminescence using Photoluminescence (PL) spectrometer. Different physical characteristics of porous silicon produced when current density varied. Surface profiler used to measure the thickness of porous and the porosity calculated using mass different of silicon. Photoluminescence characteristics of porous silicon depend on their morphology because the size and distribution of pore its self will effect to their exciton energy level. At J=30 mA/cm2 the shorter wavelength produced and it followed the trend of porosity with current density applied.

  19. Growth mechanisms and morphology of NaCl monocrystals obtained by the Czochralski method

    International Nuclear Information System (INIS)

    Goujon, Gilles G.

    1969-01-01

    In its first part, this research thesis describes the various aspects of the theory of crystal growth in melt bath by drawing with growth being limited either by heat transfer phenomena or by mechanisms of molecule transport through the interface. The second part addresses the quality of the obtained monocrystals (dislocations, dislocation density) while discussing the impact of external growth parameters (germ choice and orientation, drawing speed, rotating speed, atmosphere, impurities, crystal diameter). Then, the author presents an experimental study (equipment, experimental conditions) and discusses its results (influence of temperature on crystal geometry, morphology of side surface, study of crystal plane faces by chemical attack). The next part proposes an interpretation of the morphology change of a crystal drawn by the Czochralski method

  20. Reduced Moment-Based Models for Oxygen Precipitates and Dislocation Loops in Silicon

    Science.gov (United States)

    Trzynadlowski, Bart

    The demand for ever smaller, higher-performance integrated circuits and more efficient, cost-effective solar cells continues to push the frontiers of process technology. Fabrication of silicon devices requires extremely precise control of impurities and crystallographic defects. Failure to do so not only reduces performance, efficiency, and yield, it threatens the very survival of commercial enterprises in today's fiercely competitive and price-sensitive global market. The presence of oxygen in silicon is an unavoidable consequence of the Czochralski process, which remains the most popular method for large-scale production of single-crystal silicon. Oxygen precipitates that form during thermal processing cause distortion of the surrounding silicon lattice and can lead to the formation of dislocation loops. Localized deformation caused by both of these defects introduces potential wells that trap diffusing impurities such as metal atoms, which is highly desirable if done far away from sensitive device regions. Unfortunately, dislocations also reduce the mechanical strength of silicon, which can cause wafer warpage and breakage. Engineers must negotiate this and other complex tradeoffs when designing fabrication processes. Accomplishing this in a complex, modern process involving a large number of thermal steps is impossible without the aid of computational models. In this dissertation, new models for oxygen precipitation and dislocation loop evolution are described. An oxygen model using kinetic rate equations to evolve the complete precipitate size distribution was developed first. This was then used to create a reduced model tracking only the moments of the size distribution. The moment-based model was found to run significantly faster than its full counterpart while accurately capturing the evolution of oxygen precipitates. The reduced model was fitted to experimental data and a sensitivity analysis was performed to assess the robustness of the results. Source

  1. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    Science.gov (United States)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  2. Microwave conductivity and spin resonance of Si- nK centers at dislocation dipoles in silicon

    Science.gov (United States)

    Konchits, A. A.; Shanina, B. D.

    1995-11-01

    Non-resonance microwave absorption (NRMA) due to microwave conductivity (MC) of Czochralski-grown silicon crystal has been studied. The temperature dependence of the MC was measured in the temperature range from 1.7 to 40 K in darkness as well as under the interband light. Exponential growth of the MC in a low temperature range is described within the extended one-dimensional Hubbard model for the case of an arbitrary filled band. The activation energy of electron hopping motion in darkness is found to be similar to that in amorphous silicon (0.4 meV), although, under light its value is significantly larger (12 meV). The logarithmic law is revealed for the MC decay. The value of its time constant τ0 at T = 4.2 K changes with the light intensity I from 4 to 57 s, so τ0 is proportional to I-1. The exponential recovery process at T = 4.2 K goes rather slowly, with τ1 in interval from 0.4 to 3.11 min depending on the location of the donor levels in a band gap. It is shown that the linear law connects the dependence of the TD-2 EPR intensity increase and the Si- nK EDSR intensity decrease versus the MC decay under continuous illumination.

  3. STM-excited luminescence of porous and spark-processed silicon

    International Nuclear Information System (INIS)

    Andrienko, I.; Kuznetsov, V.; Yuan, J.; Haneman, D.

    1998-01-01

    Full text: Scanning tunneling microscopy (STM) permits highly local electronic excitation of light emission (LE) from the surface of silicon. Measuring STM LE, one can study simultaneously both the topography and the luminescence properties of areas down to nm dimensions and thus make conclusions about the luminescence mechanism of the material. We have built an STM spectroscopy system which allows measurement of spectra of visible light emitted from areas as small as 13 x 13 nm 2 (porous silicon) and 10 x 10 nm 2 (spark-processed silicon). Porous silicon shows a broad emission band centered at 630 nm, and spark-processed silicon, one at 690 nm. The STM LE spectra of spark-processed silicon obtained for the first time. We have found that visible light is emitted only from areas containing nanometer-scale structures down to around 2 nm in diameter. STM LE occurs under negative bias voltage applied to the tip, i.e. when electrons are injected into the sample. Other workers used p-type silicon for the sample preparations, but it has been found that STM LE can be induced also from n-type silicon. Furthermore, we have shown that STM LE spectra can be resolved using much lover voltages and tunneling currents: -(7-9) V and 25 - 50 nA vs -(25-50) V and 100 nA. To consider different excitation mechanisms, the STM LE measurements are compared with photoluminescence and electroluminescence spectra of similar samples. We suggest that excitation of individual quantum confinement structures has been observed

  4. Drawing the geometry of 3d transition metal-boron pairs in silicon from electron emission channeling experiments

    CERN Document Server

    Silva, Daniel; Wahl, Ulrich; Martins Correia, Joao; Augustyns, Valerie; De Lemos Lima, Tiago Abel; Granadeiro Costa, Angelo Rafael; David Bosne, Eric; Castro Ribeiro Da Silva, Manuel; Esteves De Araujo, Araujo Joao Pedro; Da Costa Pereira, Lino Miguel

    2016-01-01

    Although the formation of transition metal-boron pairs is currently well established in silicon processing, the geometry of these complexes is still not completely understood. We investigated the lattice location of the transition metals manganese, iron, cobalt and nickel in n- and p+-type silicon by means of electron emission channeling. For manganese, iron and cobalt, we observed an increase of sites near the ideal tetrahedral interstitial position by changing the doping from n- to p+-type Si. Such increase was not observed for Ni. We ascribe this increase to the formation of pairs with boron, driven by Coulomb interactions, since the majority of iron, manganese and cobalt is positively charged in p+-type silicon while Ni is neutral. We propose that breathing mode relaxation around the boron ion within the pair causes the observed displacement from the ideal tetrahedral interstitial site. We discuss the application of the emission channeling technique in this system and, in particular, how it provides insi...

  5. Fundamental Research and Development for Improved Crystalline Silicon Solar Cells: Final Subcontract Report, March 2002 - July 2006

    Energy Technology Data Exchange (ETDEWEB)

    Rohatgi, A.

    2007-11-01

    This report summarizes the progress made by Georgia Tech in the 2002-2006 period toward high-efficiency, low-cost crystalline silicon solar cells. This program emphasize fundamental and applied research on commercial substrates and manufacturable technologies. A combination of material characterization, device modeling, technology development, and complete cell fabrication were used to accomplish the goals of this program. This report is divided into five sections that summarize our work on i) PECVD SiN-induced defect passivation (Sections 1 and 2); ii) the effect of material inhomogeneity on the performance of mc-Si solar cells (Section 3); iii) a comparison of light-induced degradation in commercially grown Ga- and B-doped Czochralski Si ingots (Section 4); and iv) the understanding of the formation of high-quality thick-film Ag contacts on high sheet-resistance emitters (Section 5).

  6. Amorphous silicon based particle detectors

    OpenAIRE

    Wyrsch, N.; Franco, A.; Riesen, Y.; Despeisse, M.; Dunand, S.; Powolny, F.; Jarron, P.; Ballif, C.

    2012-01-01

    Radiation hard monolithic particle sensors can be fabricated by a vertical integration of amorphous silicon particle sensors on top of CMOS readout chip. Two types of such particle sensors are presented here using either thick diodes or microchannel plates. The first type based on amorphous silicon diodes exhibits high spatial resolution due to the short lateral carrier collection. Combination of an amorphous silicon thick diode with microstrip detector geometries permits to achieve micromete...

  7. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  8. Investigation of silicon width (p, p') resonance scattering in left angle 110 right angle channeling direction

    International Nuclear Information System (INIS)

    Ditroi, F.; Meyer, J.D.; Michelmann, R.; Kislat, D.; Bethge, K.

    1994-01-01

    Crystalline silicon samples were investigated both in channeling and random directions by using the (p, p') resonance scattering at 2.3 MeV bombarding energy. The samples were positioned in the scattering chamber of a VdG accelerator after 2 m collimating path. The peaks due to the resonance at 2.1 MeV were measured at different angles in the vicinity of the channeling and random directions. A peak shift and broadening was seen at the channeling and near channeling directions compared with the random one. The spectra were also simulated using our modified Monte Carlo calculation method for stopping, range and energy distribution in highly ordered materials. The energy shift and the broadening between the random and the channeling spectra were compared and explained. (orig.)

  9. Top-gate microcrystalline silicon TFTs processed at low temperature (<200 deg. C)

    International Nuclear Information System (INIS)

    Saboundji, A.; Coulon, N.; Gorin, A.; Lhermite, H.; Mohammed-Brahim, T.; Fonrodona, M.; Bertomeu, J.; Andreu, J.

    2005-01-01

    N-type as well P-type top-gate microcrystalline silicon thin film transistors (TFTs) are fabricated on glass substrates at a maximum temperature of 200 deg. C. The active layer is an undoped μc-Si film, 200 nm thick, deposited by Hot-Wire Chemical Vapor. The drain and source regions are highly phosphorus (N-type TFTs) or boron (P-type TFTs)-doped μc-films deposited by HW-CVD. The gate insulator is a silicon dioxide film deposited by RF sputtering. Al-SiO 2 -N type c-Si structures using this insulator present low flat-band voltage,-0.2 V, and low density of states at the interface D it =6.4x10 10 eV -1 cm -2 . High field effect mobility, 25 cm 2 /V s for electrons and 1.1 cm 2 /V s for holes, is obtained. These values are very high, particularly the hole mobility that was never reached previously

  10. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    Energy Technology Data Exchange (ETDEWEB)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  11. Vertically etched silicon nano-rods as a sensitive electron detector

    International Nuclear Information System (INIS)

    Hajmirzaheydarali, M; Akbari, M; Soleimani-Amiri, S; Sadeghipari, M; Shahsafi, A; Akhavan Farahani, A; Mohajerzadeh, S

    2015-01-01

    We have used vertically etched silicon nano-rods to realize electron detectors suitable for scanning electron microscopes. The results of deep etching of silicon nano-structures are presented to achieve highly ordered arrays of nano-rods. The response of the electron detector to energy of the primary electron beam and the effects of various sizes and materials has been investigated, indicating its high sensitivity to secondary and back-scattered electrons. The miniaturized structure of this electron detector allows it to be placed in the vicinity of the specimen to improve the resolution and contrast. This detector collects electrons and converts the electron current to voltage directly by means of n-doped silicon nano-rods on a p-type silicon substrate. Silicon nano-rods enhance the surface-to-volume ratio of the detector as well as improving the yield of electron detection. The use of nano-structures and silicon nanowires as an electron detector has led to higher sensitivities than with micro-structures. (paper)

  12. Optimization of Silicon parameters as a betavoltaic battery: Comparison of Si p-n and Ni/Si Schottky barrier

    International Nuclear Information System (INIS)

    Rahmani, Faezeh; Khosravinia, Hossein

    2016-01-01

    Theoretical studies on the optimization of Silicon (Si) parameters as the base of betavoltaic battery have been presented using Monte Carlo simulations and the state equations in semiconductor to obtain maximum power. Si with active area of 1 cm 2 has been considered in p-n junction and Schottky barrier structure to collect the radiation induced-charge from 10 mCi cm −2 of Nickle-63 ( 63 Ni) Source. The results show that the betavoltaic conversion efficiency in the Si p-n structure is about 2.7 times higher than that in the Ni/Si Schottky barrier structure. - Highlights: • Silicon parameters were studied in betavoltaic batteries. • Studied betavoltaic batteries include p-n and Schottky barrier structures. • The p-n structure has higher conversion efficiency.

  13. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  14. Relationship between silicon concentration and creatinine clearance

    International Nuclear Information System (INIS)

    Miura, Y.; Nakai, K.; Itoh, C.; Horikiri, J.; Sera, K.; Sato, M.

    1998-01-01

    Silicon levels in dialysis patients are markedly increasing. Using PIXE we determined the relationship between silicon concentration and creatinine clearance in 30 samples. Urine silicon concentration were significantly correlated to creatinine clearance (p<0.001). And also serum silicon concentration were significantly correlated to creatinine clearance (p<0.0001). (author)

  15. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-01-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  16. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-06-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  17. Assessment on thermoelectric power factor in silicon nanowire networks

    Energy Technology Data Exchange (ETDEWEB)

    Lohn, Andrew J.; Kobayashi, Nobuhiko P. [Baskin School of Engineering, University of California Santa Cruz, CA (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California Santa Cruz, NASA Ames Research Center, Moffett Field, CA (United States); Coleman, Elane; Tompa, Gary S. [Structured Materials Industries, Inc., Piscataway, NJ (United States)

    2012-01-15

    Thermoelectric devices based on three-dimensional networks of highly interconnected silicon nanowires were fabricated and the parameters that contribute to the power factor, namely the Seebeck coefficient and electrical conductivity were assessed. The large area (2 cm x 2 cm) devices were fabricated at low cost utilizing a highly scalable process involving silicon nanowires grown on steel substrates. Temperature dependence of the Seebeck coefficient was found to be weak over the range of 20-80 C at approximately -400 {mu}V/K for unintentionally doped devices and {+-}50 {mu}V/K for p-type and n-type devices, respectively. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  19. In-line high-rate evaporation of aluminum for the metallization of silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Mader, Christoph Paul

    2012-07-11

    This work focuses on the in-line high-rate evaporation of aluminum for contacting rear sides of silicon solar cells. The substrate temperature during the deposition process, the wafer bow after deposition, and the electrical properties of evaporated contacts are investigated. Furthermore, this work demonstrates for the first time the formation of aluminum-doped silicon regions by the in-line high-rate evaporation of aluminum without any further temperature treatment. The temperature of silicon wafers during in-line high-rate evaporation of aluminum is investigated in this work. The temperatures are found to depend on the wafer thickness W, the aluminum layer thickness d, and on the wafer emissivity {epsilon}. Two-dimensional finite-element simulations reproduce the measured peak temperatures with an accuracy of 97%. This work also investigates the wafer bow after in-line high-rate evaporation and shows that the elastic theory overestimates the wafer bow of planar Si wafers. The lower bow is explained with plastic deformation in the Al layer. Due to the plastic deformation only the first 79 K in temperature decrease result in a bow formation. Furthermore the electrical properties of evaporated point contacts are examined in this work. Parameterizations for the measured saturation currents of contacted p-type Si wafers and of contacted boron-diffused p{sup +}-type layers are presented. The contact resistivity of the deposited Al layers to silicon for various deposition processes and silicon surface concentrations are presented and the activation energy of the contact formation is determined. The measured saturation current densities and contact resistivities of the evaporated contacts are used in one-dimensional numerical Simulations and the impact on energy conversion efficiency of replacing a screen-printed rear side by an evaporated rear side is presented. For the first time the formation of aluminum-doped p{sup +}-type (Al-p{sup +}) silicon regions by the in

  20. The design and investigation of hybrid ferromagnetic/silicon spin electronic devices

    International Nuclear Information System (INIS)

    Pugh, D.I.

    2001-01-01

    The focus of this study concerns the design and investigation of ferromagnetic/silicon hybrid spin electronic devices as part of a wider project to design a novel spin valve transistor. The key issue to obtain a room temperature spin electronic device is the electrical injection of a spin polarised current from a ferromagnetic contact into a semiconductor. Despite many attempts concentrating on GaAs and InAs only small (< 1%) effects have been observed, making it difficult to confirm spin injection. Lateral devices were designed and fabricated using standard device fabrication procedures to produce arrays of Co/Si/So junctions. Subsequent designs aimed to reduce the number of junctions and improve device isolation. Evidence for spin dependent MR of up to 0.56% was observed in Co/p-Si/Co junctions with silicon gaps up to 16 μm in length. The maximum MR was observed when the first Co/Si Schottky barrier was reverse biased forming a high resistance interface. Vertical devices were designed in an attempt to eliminate any alternative current paths by using a well defined, 1 μm thick silicon membrane. Despite attempts to include oxide barriers, no spin dependent MR was observed in these devices. However, a novel vertical silicon based design has been made which should facilitate further advanced studies of spin injection and transport. The spin diffusion length in n-type silicon has been calculated as a function of doping concentration and temperature by considering the spin relaxation mechanisms in the semiconductor. Discussion has been made concerning p-type silicon and comparisons made with GaAs, indicating that n-Si should show longer spin diffusion lengths. The key design criteria for designing room temperature spin electronic devices have been highlighted. These include the use of a high leakage Schottky barrier or tunnel barrier between the ferromagnet and p-Si and a contact to the silicon to enable appropriate biasing to each FM/Si interface. (author)

  1. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  2. Computational analysis of heat transfer, thermal stress and dislocation density during resistively Czochralski growth of germanium single crystal

    Science.gov (United States)

    Tavakoli, Mohammad Hossein; Renani, Elahe Kabiri; Honarmandnia, Mohtaram; Ezheiyan, Mahdi

    2018-02-01

    In this paper, a set of numerical simulations of fluid flow, temperature gradient, thermal stress and dislocation density for a Czochralski setup used to grow IR optical-grade Ge single crystal have been done for different stages of the growth process. A two-dimensional steady state finite element method has been applied for all calculations. The obtained numerical results reveal that the thermal field, thermal stress and dislocation structure are mainly dependent on the crystal height, heat radiation and gas flow in the growth system.

  3. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  4. Investigation of neutron-produced defects in silicon by transconductance measurements of junction field-effect transistors

    International Nuclear Information System (INIS)

    Tokuda, Y.; Usami, A.

    1976-01-01

    Defects introduced in silicon by neutron irradiation were investigated by measuring the phase angle theta of the small-signal transconductance of the junction field-effect transistors (JFET). Measurements of theta as a function of frequency allowed the determination of the time constant for each defect. From the temperature dependence of the time constant, assuming that capture cross sections are independent of temperature, the energy levels of E/sub v/+0.19 and E/sub v/+0.35 eV in p-type silicon and E/sub c/-0.16, E/sub c/-0.19, and E/sub c/-0.44 eV in n-type silicon were obtained. For these defects, calculations gave majority-carrier capture cross-section values of 2.8 x 10 -15 and 1.1 x 10 -14 cm 2 in p-type silicon, and 3.9 x 10 -14 , 1.6 x 10 -16 , and 2.3 x 10 -14 cm 2 in n-type silicon, respectively. Comparing with other published data, it was found that the energy level of E/sub c/-0.44 eV showed the value between the previously reported energy levels of E/sub c/-0.4 and E/sub c/-0.5 eV correlated with the doubly negative charge state and singly negative charge state of the divacancy, respectively. Thus, it is believed that a total of six energy levels are introduced in silicon by neutron irradiation. The energy levels of E/sub c/-0.16 and E/sub v/+0.35 eV were found to be correlated with the A center and the divacancy, respectively

  5. Changes in myopia with low-Dk hydrogel and high-Dk silicone hydrogel extended wear.

    Science.gov (United States)

    Jalbert, Isabelle; Stretton, Serina; Naduvilath, Thomas; Holden, Brien; Keay, Lisa; Sweeney, Deborah

    2004-08-01

    This study compared changes in myopia between wearers of high-oxygen permeability (Dk) silicone hydrogel lenses and low-Dk hydrogel lenses after 1 year of extended wear (EW). Ninety-two adult subjects were randomly assigned to a lens type. Subjective refraction and autokeratometry were performed at baseline and at 6 and 12 months. After 6 months of EW, myopia (spherical equivalent) regressed by 0.18 +/- 0.33 D (p Dk silicone hydrogel group and progressed by -0.23 +/- 0.36 D (p Dk hydrogel group. There were no further changes after 12 months. Previous lens wear history, baseline refractive error, and age and gender did not have an impact on the change in myopia, and only 35% of the variation could be accounted for by changes in corneal curvature and lens type. Soft contact lens type significantly affects the direction of change in myopia during EW. We hypothesize that these changes are driven by pressure-related redistribution of corneal tissue in high-Dk silicone hydrogel lens wearers and by hypoxia-associated corneal thinning in low-Dk hydrogel wearers. More long-term studies are required to confirm whether the effects of high-Dk silicone hydrogel lens wear on myopia are permanent.

  6. Numerical investigation of flows in Czochralski crystal growth by an axisymmetric lattice Boltzmann method

    CERN Document Server

    Peng, Y; Chew, Y T; Qiu, J

    2003-01-01

    An alternative new method called lattice Boltzmann method (LBM) is applied in this work to simulate the flows in Czochralski crystal growth, which is one of the widely used prototypical systems for melt-crystal growth. The standard LBM can only be used in Cartesian coordinate system and we extend it to be applicable to this axisymmetric thermal flow problem, avoiding the use of three-dimensional LBM on Cartesian coordinate system. The extension is based on the following idea. By inserting position and time dependent source terms into the evolution equation of standard LBM, the continuity and NS equations on the cylindrical coordinate system can be recovered. Our extension is validated by its application to the benchmark problem suggested by Wheeler .

  7. Numerical investigation of flows in Czochralski crystal growth by an axisymmetric lattice Boltzmann method

    Science.gov (United States)

    Peng, Y.; Shu, C.; Chew, Y. T.; Qiu, J.

    2003-03-01

    An alternative new method called lattice Boltzmann method (LBM) is applied in this work to simulate the flows in Czochralski crystal growth, which is one of the widely used prototypical systems for melt-crystal growth. The standard LBM can only be used in Cartesian coordinate system and we extend it to be applicable to this axisymmetric thermal flow problem, avoiding the use of three-dimensional LBM on Cartesian coordinate system. The extension is based on the following idea. By inserting position and time dependent source terms into the evolution equation of standard LBM, the continuity and NS equations on the cylindrical coordinate system [1] can be recovered. Our extension is validated by its application to the benchmark problem suggested by Wheeler [2].

  8. Numerical investigation of flows in Czochralski crystal growth by an axisymmetric lattice Boltzmann method

    International Nuclear Information System (INIS)

    Peng, Y.; Shu, C.; Chew, Y.T.; Qiu, J.

    2003-01-01

    An alternative new method called lattice Boltzmann method (LBM) is applied in this work to simulate the flows in Czochralski crystal growth, which is one of the widely used prototypical systems for melt-crystal growth. The standard LBM can only be used in Cartesian coordinate system and we extend it to be applicable to this axisymmetric thermal flow problem, avoiding the use of three-dimensional LBM on Cartesian coordinate system. The extension is based on the following idea. By inserting position and time dependent source terms into the evolution equation of standard LBM, the continuity and NS equations on the cylindrical coordinate system can be recovered. Our extension is validated by its application to the benchmark problem suggested by Wheeler

  9. A p-silicon nanowire/n-ZnO thin film heterojunction diode prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Hazra, Purnima; Jit, S.

    2014-01-01

    This paper represents the electrical and optical characteristics of a SiNW/ZnO heterojunction diode and subsequent studies on the photodetection properties of the diode in the ultraviolet (UV) wavelength region. In this work, silicon nanowire arrays were prepared on p-type (100)-oriented Si substrate by an electroless metal deposition and etching method with the help of ultrasonication. After that, catalyst-free deposition of zinc oxide (ZnO) nanowires on a silicon nanowire (SiNW) array substrate was done by utilizing a simple and cost-effective thermal evaporation technique without using a buffer layer. The SEM and XRD techniques are used to show the quality of the as-grown ZnO nanowire film. The junction properties of the diode are evaluated by measuring current—voltage and capacitance—voltage characteristics. The diode has a well-defined rectifying behavior with a rectification ratio of 190 at ±2 V, turn-on voltage of 0.5 V, and barrier height is 0.727 eV at room temperature under dark conditions. The photodetection parameters of the diode are investigated in the bias voltage range of ±2 V. The diode shows responsivity of 0.8 A/W at a bias voltage of 2 V under UV illumination (wavelength = 365 nm). The characteristics of the device indicate that it can be used for UV detection applications in nano-optoelectronic and photonic devices. (semiconductor devices)

  10. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  11. High brightness InP micropillars grown on silicon with Fermi level splitting larger than 1 eV.

    Science.gov (United States)

    Tran, Thai-Truong D; Sun, Hao; Ng, Kar Wei; Ren, Fan; Li, Kun; Lu, Fanglu; Yablonovitch, Eli; Chang-Hasnain, Constance J

    2014-06-11

    The growth of III-V nanowires on silicon is a promising approach for low-cost, large-scale III-V photovoltaics. However, performances of III-V nanowire solar cells have not yet been as good as their bulk counterparts, as nanostructured light absorbers are fundamentally challenged by enhanced minority carriers surface recombination rates. The resulting nonradiative losses lead to significant reductions in the external spontaneous emission quantum yield, which, in turn, manifest as penalties in the open-circuit voltage. In this work, calibrated photoluminescence measurements are utilized to construct equivalent voltage-current characteristics relating illumination intensities to Fermi level splitting ΔF inside InP microillars. Under 1 sun, we show that splitting can exceed ΔF ∼ 0.90 eV in undoped pillars. This value can be increased to values of ΔF ∼ 0.95 eV by cleaning pillar surfaces in acidic etchants. Pillars with nanotextured surfaces can yield splitting of ΔF ∼ 0.90 eV, even though they exhibit high densities of stacking faults. Finally, by introducing n-dopants, ΔF of 1.07 eV can be achieved due to a wider bandgap energy in n-doped wurzite InP, the higher brightness of doped materials, and the extraordinarily low surface recombination velocity of InP. This is the highest reported value for InP materials grown on a silicon substrate. These results provide further evidence that InP micropillars on silicon could be a promising material for low-cost, large-scale solar cells with high efficiency.

  12. High power n-type metal-wrap-through cells and modules using industrial processes

    Energy Technology Data Exchange (ETDEWEB)

    Guillevin, N.; Heurtault, B.J.B.; Geerligs, L.J.; Van Aken, B.B.; Bennett, I.J.; Jansen, M.J.; Weeber, A.W.; Bultman, J.H. [ECN Solar Energy, P.O. Box 1, NL-1755 ZG Petten (Netherlands); Jianming, Wang; Ziqian, Wang; Jinye, Zhai; Zhiliang, Wan; Shuquan, Tian; Wenchao, Zhao; Zhiyan, Hu; Gaofei, Li; Bo, Yu; Jingfeng, Xiong [Yingli Green Energy Holding Co.,Ltd. 3399 North Chaoyang Avenue, Baoding (China)

    2013-10-15

    This paper reviews our recent progress in the development of metal wrap through (MWT) cells and modules, produced from n-type Czochralski silicon wafers. The use of n-type silicon as base material allows for high efficiencies: for front emitter-contacted industrial cells, efficiencies above 20% have been reported. N-type MWT (nMWT) cells produced by industrial process technologies allow even higher efficiency due to reduced front metal coverage. Based on the same industrial technology, the efficiency of the bifacial n-MWT cells exceeds the efficiency of the n-type front-and-rear contact and bifacial 'Pasha' technology (n-Pasha) by 0.1-0.2% absolute, with a maximum nMWT efficiency of 20.1% so far. Additionally, full back-contacting of the MWT cells in a module results in reduced cell to module (CTM) fill factor losses. In a direct 60-cell module performance comparison, the n-MWT module, based on integrated backfoil, produced 3% higher power output than the comparable tabbed front emitter-contacted n-Pasha module. Thanks to reduced resistive losses in copper circuitry on the backfoil compared to traditional tabs, the CTM FF loss of the MWT module was reduced by about 2.2%abs. compared to the tabbed front emitter contact module. A full-size module made using MWT cells of 19.6% average efficiency resulted in a power output close to 280W. Latest results of the development of the n-MWT technology at cell and module level are discussed in this paper, including a recent direct comparison run between n-MWT and n-Pasha cells and results of n-MWT cells from 140{mu}m thin mono-crystalline wafers, with only very slight loss (1% of Isc) for the thin cells. Also reverse characteristics and effects of reverse bias for extended time at cell and module level are reported, where we find a higher tolerance of MWT modules than tabbed front contact modules for hotspots.

  13. Development of new type of silicon detector with internal amplification

    International Nuclear Information System (INIS)

    Schuster, K.F.

    1988-11-01

    The first test version of a new type of silicon detector made of extremely pure material was designed and manufactured. Numerical simulation provided great assistance in selecting the process parameters. The principle of operation aimed at of a radiation deflector consisting of an MOS transistor with more than fully depleted base area was confirmed. The energy resolution of the detectors was determined at 300 0 K and 6 keV (Mn K α ) to be 250 eV half width and is therefore considerably better than the conventional uncooled detectors. The detector principle permits the realisation of a two-dimensional detector matrix which can be addressed, with non-destructive triggering. With a measured signal/noise ratio of the individual detectors of better than 400 for minimum ionised particles, new types of fast triggering processes can be achieved in high energy physics with good local resolution (≅ 50 μm). (orig.) [de

  14. Fabrication and characterization of Zn O:Zn(n{sup +})/porous-silicon/Si(p) heterojunctions for white light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Vasquez A, M. A. [INAOE, Department of Electronics, 72840 Puebla, Pue. (Mexico); Romero P, G.; Pena S, R. [IPN, Centro de Investigacion y de Estudios Avanzados, Departamento de Ingenieria Electrica, SEES, Av. Intituto Politecnico Nacional No. 2508, Col. San Pedro Zacatenco, 07360 Ciudad de Mexico (Mexico); Andraca A, J. A. [IPN, Centro de Nanociencias y Micro y Nanotecnologias, Av. Luis Enrique Erro s/n, Col. San Pedro Zacatenco, 07738 Ciudad de Mexico (Mexico)

    2016-11-01

    The fabrication and characterization of electro luminescent Zn O:Zn(n{sup +})/porous silicon/Si(p) heterojunctions is presented. Highly conductive Zn O films (Zn O:Zn(n{sup +})) were produced by applying a temperature annealing at 400 degrees Celsius by 5 min to the Zn O/Zn/Zn O arrange formed by DC sputtering, and the porous silicon (PS) films were prepared on p-type (100) Si wafers by anodic etching. The Zn O: Zn(n{sup +})/PS/Si(p) heterojunction is accomplished by applying a brief temperature annealing stage to the entire Zn O/Zn/Zn O/PS/Si structure to preserve the PS luminescent characteristics. The Zn O:Zn(n{sup +}) films were characterized by X-ray diffraction and Hall-van der Pauw measurements. The PS and Zn O:Zn(n{sup +}) films were also studied by photoluminescence (Pl) measurements. The current-voltage characteristics of the heterojunctions showed well defined rectifying behavior with a turn-on voltage of 1.5 V and ideality factor of 5.4. The high ideality factor is explained by the presence of electron tunneling transport aided by energy levels related to the defects at the heterojunction interface and into the PS film. The saturation current and the series resistance of the heterostructure were 4 x 10{sup -7} A/cm{sup 2} and 16 Ω-cm{sup 2}, respectively. White color electroluminescence is easily observed at the naked eye when excited with square wave pulses of 8 V and 1 Khz. (Author)

  15. Porous Silicon Hydrogen Sensor at Room Temperature: The Effect of Surface Modification and Noble Metal Contacts

    Directory of Open Access Journals (Sweden)

    Jayita KANUNGO

    2009-04-01

    Full Text Available Porous silicon (PS was fabricated by anodization of p-type crystalline silicon of resistivity 2-5 Ω cm. After formation, the PS surface was modified by the solution containing noble metal like Pd. Pd-Ag catalytic contact electrodes were deposited on porous silicon and on p-Silicon to fabricate Pd-Ag/PS/p-Si/Pd-Ag sensor structure to carry out the hydrogen sensing experiments. The Sensor was exposed to 1% hydrogen in nitrogen as carrier gas at room temperature (270C. Pd modified sensor showed minimum fluctuations and consistent performance with 86% response, response time and recovery time of 24 sec and 264 sec respectively. The stability experiments were studied for both unmodified and Pd modified sensor structures for a period of about 24 hours and the modified sensors showed excellent durability with no drift in response behavior.

  16. Infrared induced visible emission from porous silicon: the mechanism of anodic oxidatio

    NARCIS (Netherlands)

    Kooij, Ernst S.; Rama, A.R.; Kelly, J.J.

    1997-01-01

    The visible luminescence caused by anodic oxidation of p-type porous silicon has been studied. It is shown that similar luminescence can be observed in n-type material by illumination with near-infrared light. Addition of a suitable reducing agent to the electrolyte solution can both suppress the

  17. Photovoltaic investigation of minority carrier lifetime in the heavily-doped emitter layer of silicon junction solar cell

    Science.gov (United States)

    Ho, C.-T.

    1982-01-01

    The results of experiments on the recombination lifetime in a phosphorus diffused N(+) layer of a silicon solar cell are reported. The cells studied comprised three groups of Czochralski grown crystals: boron doped to one ohm-cm, boron doped to 6 ohm-cm, and aluminum doped to one ohm-cm, all with a shunt resistance exceeding 500 kilo-ohms. The characteristic bulk diffusion length of a cell sample was determined from the short circuit current response to light at a wavelength of one micron. The recombination rates were obtained by measurement of the open circuit voltage as a function of the photogeneration rate. The recombination rate was found to be dependent on the photoinjection level, and is positive-field controlled at low photoinjection, positive-field influence Auger recombination at a medium photoinjection level, and negative-field controlled Auger recombination at a high photoinjection level.

  18. Fabrication and characterization of porous silicon for photonic applications

    Directory of Open Access Journals (Sweden)

    Arvin I. Mabilangan

    2013-06-01

    Full Text Available Porous silicon (PSi thin films from p-type silicon (100 substrates were fabricated using a simple table top electrochemical etching setup with a 1:1 HF:EtOh electrolyte solution. Porous silicon f ilms with different morphologies and optical properties were achieved by varying the etching parameters, such as HF concentration, etching time andanodization current. It was observed that the f ilm thickness of the fabricated PSi increased with etch time and HF concentration. The etch rate increased with the applied anodization current. Reflection spectroscopy at normal incidence was used to determine the refractive indices of the fabricated f ilms. Using the Sellmeier equation, the chromatic dispersion of the f ilms was obtained for different HF concentrations and anodization currents.

  19. A time-based front-end ASIC for the silicon micro strip sensors of the P-bar ANDA Micro Vertex Detector

    International Nuclear Information System (INIS)

    Pietro, V. Di; Brinkmann, K.-Th.; Riccardi, A.; Ritman, J.; Stockmanns, T.; Zambanini, A.; Rivetti, A.; Rolo, M.D.

    2016-01-01

    The P-bar ANDA (Antiproton Annihilation at Darmstadt) experiment foresees many detectors for tracking, particle identification and calorimetry. Among them, the innermost is the MVD (Micro Vertex Detector) responsible for a precise tracking and the reconstruction of secondary vertices. This detector will be built from both hybrid pixel (two inner barrels and six forward disks) and double-sided micro strip (two outer barrels and outer rim of the last two disks) silicon sensors. A time-based approach has been chosen for the readout ASIC of the strip sensors. The PASTA ( P-bar ANDA Strip ASIC) chip aims at high resolution time-stamping and charge information through the Time over Threshold (ToT) technique. It benefits from a Time to Digital Converter (TDC) allowing a time bin width down to 50 ps. The analog front-end was designed to serve both n-type and p-type strips and the performed simulations show remarkable performances in terms of linearity and electronic noise. The TDC consists of an analog interpolator, a digital local controller, and a digital global controller as the common back-end for all of the 64 channels

  20. Ocular silicon distribution and clearance following intravitreal injection of porous silicon microparticles.

    Science.gov (United States)

    Nieto, Alejandra; Hou, Huiyuan; Sailor, Michael J; Freeman, William R; Cheng, Lingyun

    2013-11-01

    Porous silicon (pSi) microparticles have been investigated for intravitreal drug delivery and demonstrated good biocompatibility. With the appropriate surface chemistry, pSi can reside in vitreous for months or longer. However, ocular distribution and clearance pathway of its degradation product, silicic acid, are not well understood. In the current study, rabbit ocular tissue was collected at different time point following fresh pSi (day 1, 5, 9, 16, and 21) or oxidized pSi (day 3, 7, 14, 21, and 35) intravitreal injection. In addition, dual-probe simultaneous microdialysis of aqueous and vitreous humor was performed following a bolus intravitreal injection of 0.25 mL silicic acid (150 μg/mL) and six consecutive microdialysates were collected every 20 min. Silicon was quantified from the samples using inductively coupled plasma-optical emission spectroscopy. The study showed that following the intravitreal injection of oxidized pSi, free silicon was consistently higher in the aqueous than in the retina (8.1 ± 6.5 vs. 3.4 ± 3.9 μg/mL, p = 0.0031). The area under the concentration-time curve (AUC) of the retina was only about 24% that of the aqueous. The mean residence time was 16 days for aqueous, 13 days for vitreous, 6 days for retina, and 18 days for plasma. Similarly, following intravitreal fresh pSi, free silicon was also found higher in aqueous than in retina (7 ± 4.7 vs. 3.4 ± 4.1 μg/mL, p = 0.014). The AUC for the retina was about 50% of the AUC for the aqueous. The microdialysis revealed the terminal half-life of free silicon in the aqueous was 30 min and 92 min in the vitreous; the AUC for aqueous accounted for 38% of the AUC for vitreous. Our studies indicate that aqueous humor is a significant pathway for silicon egress from the eye following intravitreal injection of pSi crystals. Copyright © 2013 Elsevier Ltd. All rights reserved.