C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures
International Nuclear Information System (INIS)
Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki
2008-01-01
Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel
C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures
Energy Technology Data Exchange (ETDEWEB)
Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)
2008-07-30
Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.
Characterization of a SiC MIS Schottky diode as RBS particle detector
Kaufmann, I. R.; Pick, A. C.; Pereira, M. B.; Boudinov, H. I.
2018-02-01
A 4H-SiC Schottky diode was investigated as a particle detector for Rutherford Backscattering Spectroscopy (RBS) experiment. The device was fabricated on a commercial 4H-SiC epitaxial n-type layer grown onto a 4H-SiC n+ type substrate wafer doped with nitrogen. Hafnium oxide with thickness of 1 nm was deposited by Atomic Layer Deposition and 10 nm of Ni were deposited by sputtering to form the Ni/HfO2/4H-SiC MIS Schottky structure. Current-Voltage curves with variable temperature were measured to extract the real Schottky Barrier Height (0.32 V) and ideality factor values (1.15). Reverse current and Capacitance-Voltage measurements were performed on the 4H-SiC detector and compared to a commercial Si barrier detector acquired from ORTEC. RBS data for four alpha energies (1, 1.5, 2 and 2.5 MeV) were collected from an Au/Si sample using the fabricated SiC and the commercial Si detectors simultaneously. The energy resolution for the fabricated detector was estimated to be between 75 and 80 keV.
Electronic properties of Al/DNA/p-Si MIS diode: Application as temperature sensor
International Nuclear Information System (INIS)
Guellue, O.; Tueruet, A.
2011-01-01
Research highlights: → This work proposes that DNA molecules should be considered, among other candidates, as a potential organic thin film for metal-interface layer-semiconductor devices. → We successfully fabricated Al/DNA/p-Si device with interlayer by a simple cast method. → The temperature is found to significantly effect the electrical properties of the Al/DNA/p-Si device. → The facts: (i) that the technology of the fabrication of a Al/DNA/p-Si Schottky diode much simpler and economical than that for the Si p-n junction and (ii) the sensibility of the Al/DNA/p-Si Schottky diode as temperature sensor is 42% higher than that of a Si p-n junction, indicate that the Al/DNA/p-Si Schottky diode is a good alternative as temperature sensor. - Abstract: The current-voltage (I-V) measurements were performed in the temperature range (200-300 K) on Al/DNA/p-Si Schottky barrier type diodes. The Schottky diode shows non-ideal I-V behaviour with ideality factors n equal to 1.34 ± 0.02 and 1.70 ± 0.02 at 300 K and 200 K, respectively, and is thought to have a metal-interface layer-semiconductor (MIS) configuration. The zero-bias barrier height Φ b determined from the I-V measurements was 0.75 ± 0.01 eV at 300 K and decreases to 0.61 ± 0.01 eV at 200 K. The forward voltage-temperature (V F -T) characteristics were obtained from the I-V measurements in the temperature range 200-300 K at different activation currents (I F ) in the range 20 nA-6 μA. The V F -T characteristics were linear for three activation currents in the diode. From the V F -T characteristics at 20 nA, 100 nA and 6 μA, the values of the temperature coefficients of the forward bias voltage (dV F /dT) for the diode were determined as -2.30 mV K -1 , -2.60 mV K -1 and -3.26 mV K -1 with a standard error of 0.05 mV K -1 , respectively.
Electronic properties of Al/DNA/p-Si MIS diode: Application as temperature sensor
Energy Technology Data Exchange (ETDEWEB)
Guellue, O., E-mail: omergullu@gmail.com [Batman University, Science and Art Faculty, Department of Physics, 72060 Batman (Turkey); Osmaniye Korkut Ata University, Science and Art Faculty, Department of Physics, 80000 Osmaniye (Turkey); Tueruet, A. [Atatuerk University, Science Faculty, Department of Physics, 25240 Erzurum (Turkey)
2011-01-21
Research highlights: > This work proposes that DNA molecules should be considered, among other candidates, as a potential organic thin film for metal-interface layer-semiconductor devices. > We successfully fabricated Al/DNA/p-Si device with interlayer by a simple cast method. > The temperature is found to significantly effect the electrical properties of the Al/DNA/p-Si device. > The facts: (i) that the technology of the fabrication of a Al/DNA/p-Si Schottky diode much simpler and economical than that for the Si p-n junction and (ii) the sensibility of the Al/DNA/p-Si Schottky diode as temperature sensor is 42% higher than that of a Si p-n junction, indicate that the Al/DNA/p-Si Schottky diode is a good alternative as temperature sensor. - Abstract: The current-voltage (I-V) measurements were performed in the temperature range (200-300 K) on Al/DNA/p-Si Schottky barrier type diodes. The Schottky diode shows non-ideal I-V behaviour with ideality factors n equal to 1.34 {+-} 0.02 and 1.70 {+-} 0.02 at 300 K and 200 K, respectively, and is thought to have a metal-interface layer-semiconductor (MIS) configuration. The zero-bias barrier height {Phi}{sub b} determined from the I-V measurements was 0.75 {+-} 0.01 eV at 300 K and decreases to 0.61 {+-} 0.01 eV at 200 K. The forward voltage-temperature (V{sub F}-T) characteristics were obtained from the I-V measurements in the temperature range 200-300 K at different activation currents (I{sub F}) in the range 20 nA-6 {mu}A. The V{sub F}-T characteristics were linear for three activation currents in the diode. From the V{sub F}-T characteristics at 20 nA, 100 nA and 6 {mu}A, the values of the temperature coefficients of the forward bias voltage (dV{sub F}/dT) for the diode were determined as -2.30 mV K{sup -1}, -2.60 mV K{sup -1} and -3.26 mV K{sup -1} with a standard error of 0.05 mV K{sup -1}, respectively.
Energy Technology Data Exchange (ETDEWEB)
Yueksel, O.F. [Department of Physics, Faculty of Arts and Science, Selcuk University, Kampus, Konya 42075 (Turkey)], E-mail: fyuksel@selcuk.edu.tr; Selcuk, A.B.; Ocak, S.B. [PK, 14 Etlik, Ankara (Turkey)
2008-08-01
A study on interface states density distribution and characteristic parameters of the In/SiO{sub 2}/p-Si (MIS) capacitor has been made. The thickness of the SiO{sub 2} film obtained from the measurement of the corrected capacitance in the strong accumulation region for MIS Schottky diodes was 220 A. The diode parameters from the forward bias I-V characteristics such as ideality factor, series resistance and barrier heights were found to be 1.75, 106-112 {omega} and 0.592 eV, respectively. The energy distribution of the interface state density D{sub it} was determined from the forward bias I-V characteristics by taking into account the bias dependence of the effective barrier height. The interface state density obtained using the I-V characteristics had an exponential growth, with bias towards the top of the valance band, from 9.44x10{sup 13} eV{sup -1} cm{sup -2} in 0.329-E{sub v} eV to 1.11x10{sup 13} eV{sup -1} cm{sup -2} in 0.527-E{sub v} eV at room temperature. Furthermore, the values of interface state density D{sub it} obtained by the Hill-Coleman method from the C-V characteristics range from 52.9x10{sup 13} to 1.11x10{sup 13} eV{sup -1} cm{sup -2} at a frequency range of 30kHz-1 MHz. These values of D{sub it} and R{sub s} were responsible for the non-ideal behaviour of I-V and C-V characteristics.
Electrical characteristics of {sup 60}Co {gamma}-ray irradiated MIS Schottky diodes
Energy Technology Data Exchange (ETDEWEB)
Tataroglu, A. [Department of Physics, Faculty of Arts and Sciences, Gazi University, 06500 Ankara (Turkey)]. E-mail: ademt@gazi.edu.tr; Altindal, S. [Department of Physics, Faculty of Arts and Sciences, Gazi University, 06500 Ankara (Turkey)
2006-11-15
In order to interpret the effect of {sup 60}Co {gamma}-ray irradiation dose on the electrical characteristics of MIS Schottky diodes, they were stressed with a zero bias at 1 MHz in dark and room temperature during {gamma}-ray irradiation and the total dose range was 0-450 kGy. The effect of {gamma}-ray exposure on the electrical characteristics of MIS Schottky diodes has been investigated using C-V and G/{omega}-V measurements at room temperature. Experimental results show that {gamma}-ray irradiation induces a decrease in the barrier height {phi} {sub B} and series resistance R {sub s}, decreasing with increasing dose rate. Also, the acceptor concentration N {sub A} increases with increasing radiation dose. The C-V characteristics prove that there is a reaction for extra recombination centers in case of MIS Schottky diodes exposed to {gamma}-ray radiation. Furthermore, the density of interface states N {sub ss} by Hill-Coleman method increases with increasing radiation dose. Experimental results indicate that the interface-trap formation at high irradiation dose is reduced due to positive charge build-up in the Si/SiO{sub 2} interface (due to the trapping of holes) that reduces the flow rate of subsequent holes and protons from the bulk of the insulator to the Si/SiO{sub 2} interface.
Energy Technology Data Exchange (ETDEWEB)
Tataroglu, A. [Department of Physics, Faculty of Arts and Sciences, Teknikokullar, Gazi University, 06500 Ankara (Turkey)]. E-mail: ademt@gazi.edu.tr; Altindal, S. [Department of Physics, Faculty of Arts and Sciences, Teknikokullar, Gazi University, 06500 Ankara (Turkey)
2007-01-15
The effects of {gamma}-irradiation on the dielectric properties of Al/SiO{sub 2}/p-Si (MIS) Schottky diodes were investigated using capacitance-voltage (C-V) and conductance-voltage (G/{omega}-V) characteristics. Before irradiation, the C-V and G/{omega}-V characteristics were measured by applying a small ac signal of 50 mV amplitude and 100 Hz-1 MHz frequencies, while the dc voltage was swept from positive bias to negative bias for MIS Schottky diodes. Afterwards, the C-V and G/{omega}-V measurements carried out at various radiation doses and 1 MHz. The MIS Schottky diodes were exposed to a {sup 60}Co {gamma}-radiation source at a dose of 2.12 kGy/h and the total dose range was from zero to 450 kGy. The dielectric constant ({epsilon}'), dielectric loss ({epsilon}''), loss tangent (tan {delta}) and ac electrical conductivity ({sigma} {sub ac}) were calculated from the C-V and G/{omega}-V measurements and plotted as a function of frequency and radiation dose. Experimental results show that the {epsilon}' and {epsilon}'' were found to decrease with increasing frequency while increase with increasing radiation dose. In addition, tan {delta} versus log f show a peak, which was not present in the tan {delta} versus radiation dose. Also, the {sigma} {sub ac} is found to increase with increasing radiation dose. These changes were attributed to mobile charge carriers or dipolar molecules generated by structural changes in the irradiated samples.
Electrical properties of Au/perylene-monoimide/p-Si Schottky diode
International Nuclear Information System (INIS)
Yüksel, Ö.F.; Tuğluoğlu, N.; Gülveren, B.; Şafak, H.; Kuş, M.
2013-01-01
Graphical abstract: In this work, we have fabricated an Au/perylene-monoimide (PMI)/p-Si Schottky barrier diode. An emphasis is placed on how electrical and interface characteristics like current–voltage (I–V) variation, ideality factor (n), barrier height (Φ B ) and series resistance (R s ) of Au/PMI/p-Si diode structure change with the temperatures between 100 and 300 K. The temperature dependence of barrier height shows that the Schottky barrier height is inhomogeneous in nature at the interface. Such inhomogeneous behavior was explained on the basis of thermionic emission mechanism by assuming the existence of a Gaussian distribution of barrier heights. -- Highlights: •An Au/perylene-monoimide (PMI)/p-Si Schottky diode having an organic interlayer has been fabricated. •I–V characteristics have been investigated over a wide temperature range 100–300 K. •C–V measurements have been analyzed at room temperature. -- Abstract: In this work, we have fabricated an Au/perylene-monoimide (PMI)/p-Si Schottky barrier diode. We have investigated how electrical and interface characteristics like current–voltage characteristics (I–V), ideality factor (n), barrier height (Φ B ) and series resistance (R s ) of diode change with temperature over a wide range of 100–300 K. Detailed analysis on the electrical properties of structure is performed by assuming the standard thermionic emission (TE) model. Possible mechanisms such as image force lowering, generation–recombination processes and interface states which cause deviations of n values from the unity have been discussed. Cheung–Cheung method is also employed to analysis the current–voltage characteristics and a good agreement is observed between the results. It is shown that the electronic properties of Schottky diode are very sensitive to the modification of perylene-monoimide (PMI) interlayer organic material and also to the temperature. The ideality factor was found to decrease and the barrier
International Nuclear Information System (INIS)
Rahmani, Faezeh; Khosravinia, Hossein
2016-01-01
Theoretical studies on the optimization of Silicon (Si) parameters as the base of betavoltaic battery have been presented using Monte Carlo simulations and the state equations in semiconductor to obtain maximum power. Si with active area of 1 cm 2 has been considered in p-n junction and Schottky barrier structure to collect the radiation induced-charge from 10 mCi cm −2 of Nickle-63 ( 63 Ni) Source. The results show that the betavoltaic conversion efficiency in the Si p-n structure is about 2.7 times higher than that in the Ni/Si Schottky barrier structure. - Highlights: • Silicon parameters were studied in betavoltaic batteries. • Studied betavoltaic batteries include p-n and Schottky barrier structures. • The p-n structure has higher conversion efficiency.
1.0 MeV irradiation of OHMIC, MS, MIS contacts to InP
International Nuclear Information System (INIS)
Warren, C.E.; Wagner, B.F.; Anderson, W.A.
1986-01-01
The radiation effects of 1.0 MeV electrons with a dose of 10/sup 15/cm/sup -2/ to MS and MIS Schottky diodes on InP have been compared to the radiation effects of MIS diodes on GaAs and Si. The radiation effects to ohmic contacts were also investigated. The metal for the diodes on the InP was gold. Au/Ti/Al was used for the GaAs diodes and Cr for the silicon diodes. Oxide layers on InP were grown by anodization in 0.1 N KOH. Oxides to GaAs and Si were grown thermally. Ohmic contacts to InP were formed using AuGe/Ni and AuSn alloys, followed by annealing in N/sub 2//H/sub 2/ (85%/15%). Metal Semiconductor diodes on InP were found to be at least sensitive to the irradiation. The InP MS and MIS diodes showed only small changes in the current voltage (I-V) characteristic, whereas the GaAs and Si devices showed a decrease in reverse current after irradiation. The ohmic contact resistance was increased by a factor of 2 to 5 after irradiation
Energy Technology Data Exchange (ETDEWEB)
Padma, R.; Balaram, N.; Reddy, I. Neelakanta; Reddy, V. Rajagopal, E-mail: reddy_vrg@rediffmail.com
2016-07-01
The Au/Fe-doped ZnO/n-InP metal/interlayer/semiconductor (MIS) Schottky structure is fabricated with Fe-doped ZnO nanostructure (NS) as an interlayer. The field emission scanning electron microscopy and atomic force microscopy results demonstrated that the surface morphology of the Fe−ZnO NS on n-InP is fairly smooth. The x-ray diffraction results reveal that the average grain size of the Fe−ZnO film is 12.35 nm. The electrical properties of the Au/n-InP metal-semiconductor (MS) and Au/Fe−ZnO NS/n-InP MIS Schottky structures are investigated by current-voltage and capacitance-voltage measurements at room temperature. The Au/Fe−ZnO NS/n-InP MIS Schottky structure has good rectifying ratio with low-leakage current compared to the Au/n-InP MS structure. The barrier height obtained for the MIS structure is higher than those of MS Schottky structure because of the modification of the effective barrier height by the Fe−ZnO NS interlayer. Further, the barrier height, ideality factor and series resistance are determined for the MS and MIS Schottky structures using Norde and Cheung's functions and compared to each other. The estimated interface state density of MIS Schottky structure is lower than that of MS Schottky structure. Experimental results revealed that the Poole-Frenkel emission is the dominant conduction mechanism in the lower bias region whereas Schottky emission is the dominant in the higher bias region for both the Au/n-InP MS and Au/Fe−ZnO NS/n-InP MIS Schottky structures. - Highlights: • Barrier height of Au/n-InP Schottky diode was modified by Fe−ZnO nanostructure interlayer. • MIS structure has a good rectification ratio compared to the MS structure. • The interface state density of MIS structure is lower than that of MS structure. • Poole-Frenkel mechanism is found to dominate in both MS and MIS structure.
Mahala, Pramila; Patel, Malkeshkumar; Gupta, Navneet; Kim, Joondong; Lee, Byung Ha
2018-05-01
Studying the performance limiting parameters of the Schottky device is an urgent issue, which are addressed herein by thermally stable silver nanowire (AgNW) embedded metal oxide/p-Si Schottky device. Temperature and bias dependent junction interfacial properties of AgNW-ITO/Si Schottky photoelectric device are reported. The current-voltage-temperature (I-V-T), capacitance-voltage-temperature (C-V-T) and impedance analysis have been carried out in the high-temperature region. The ideality factor and barrier height of Schottky junction are assessed using I-V-T characteristics and thermionic emission, to reveal the decrease of ideality factor and increase of barrier height by the increasing of temperature. The extracted values of laterally homogeneous Schottky (ϕb) and ideality factor (n) are approximately 0.73 eV and 1.58, respectively. Series resistance (Rs) assessed using Cheung's method and found that it decreases with the increase of temperature. A linear response of Rs of AgNW-ITO/Si Schottky junction is observed with respect to change in forward bias, i.e. dRS/dV from 0 to 0.7 V is in the range of 36.12-36.43 Ω with a rate of 1.44 Ω/V. Impedance spectroscopy is used to study the effect of bias voltage and temperature on intrinsic Schottky properties which are responsible for photoconversion efficiency. These systematic analyses are useful for the AgNWs-embedding Si solar cells or photoelectrochemical cells.
Energy Technology Data Exchange (ETDEWEB)
Birkan Selcuk, A. [Department of Nuclear Electronics and Instrumentation, Saraykoey Nuclear Research and Training Center, 06983 Saray, Ankara (Turkey); Tugluoglu, N. [Department of Nuclear Electronics and Instrumentation, Saraykoey Nuclear Research and Training Center, 06983 Saray, Ankara (Turkey)], E-mail: ntuglu@taek.gov.tr; Karadeniz, S.; Bilge Ocak, S. [Department of Nuclear Electronics and Instrumentation, Saraykoey Nuclear Research and Training Center, 06983 Saray, Ankara (Turkey)
2007-11-15
In this work, the investigation of the interface state density and series resistance from capacitance-voltage (C-V) and conductance-voltage (G/{omega}-V) characteristics in In/SiO{sub 2}/p-Si metal-insulator-semiconductor (MIS) structures with thin interfacial insulator layer have been reported. The thickness of SiO{sub 2} film obtained from the measurement of the oxide capacitance corrected for series resistance in the strong accumulation region is 220 A. The forward and reverse bias C-V and G/{omega}-V characteristics of MIS structures have been studied at the frequency range 30 kHz-1 MHz at room temperature. The frequency dispersion in capacitance and conductance can be interpreted in terms of the series resistance (R{sub s}) and interface state density (D{sub it}) values. Both the series resistance R{sub s} and density of interface states D{sub it} are strongly frequency-dependent and decrease with increasing frequency. The distribution profile of R{sub s}-V gives a peak at low frequencies in the depletion region and disappears with increasing frequency. Experimental results show that the interfacial polarization contributes to the improvement of the dielectric properties of In/SiO{sub 2}/p-Si MIS structures. The interface state density value of In/SiO{sub 2}/p-Si MIS diode calculated at strong accumulation region is 1.11x10{sup 12} eV{sup -1} cm{sup -2} at 1 MHz. It is found that the calculated value of D{sub it} ({approx}10{sup 12} eV{sup -1} cm{sup -2}) is not high enough to pin the Fermi level of the Si substrate disrupting the device operation.
Annealing effect on Schottky barrier inhomogeneity of graphene/n-type Si Schottky diodes
International Nuclear Information System (INIS)
Lin, Yow-Jon; Lin, Jian-Huang
2014-01-01
Highlights: • The current–voltage characteristics of graphene/n-type Si devices were measured. • The ideality factor increases with the decrease measurement temperatures. • Such behavior is attributed to Schottky barrier inhomogeneities. • Both Schottky barrier inhomogeneity and the T 0 effect are affected by annealing. • Stoichiometry of SiO x has a noticeable effect on the inhomogeneous barriers. - Abstract: The current–voltage characteristics of graphene/n-type Si (n-Si) Schottky diodes with and without annealing were measured in the temperature range of −120 to 30 °C and analyzed on the basis of thermionic emission theory. It is found that the barrier height decreases and the ideality factor increases with the decrease measurement temperatures. Such behavior is attributed to Schottky barrier inhomogeneities. It is shown that both the barrier height and the ideality factor can be tuned by changing the annealing temperature. Through the analysis, it can be suspected that a SiO x layer at the graphene/n-Si interfaces influences the electronic conduction through the device and stoichiometry of SiO x is affected by annealing treatment. In addition, both Schottky barrier inhomogeneity and the T 0 effect are affected by annealing treatment, implying that stoichiometry of SiO x has a noticeable effect on the inhomogeneous barriers of graphene/n-Si Schottky diodes
ALD TiO2 thin film as dielectric for Al/p-Si Schottky diode
Indian Academy of Sciences (India)
Abstract. Electrical analysis of Al/p-Si Schottky diode with titanium dioxide (TiO2) thin film was performed at ..... This work was partially supported by The Management Unit of Scientific Research Project of Bozok University and Hitit. University.
International Nuclear Information System (INIS)
Altindal, S.; Tekeli, Z.; Karadeniz, S.; Sahingoez, R.
2002-01-01
Temperature dependency and the series resistance effect on I-V, C-V and G-V characteristics of Al/SnO 2 /p-Si MIS diode were investigated in the temperature range 150-350 K. The current-voltage (I-V) analysis in this temperature range gives the saturation current (10''-''9 - 10''-''5 A), the ideality factor (6-1.8), the barrier height Φ B (I-V) (0.3-0.65 eV) the density of interface states D it (8x10''1''3 - 1x10''1''3 eV''-''1cm''-''2) and the series resistance R s (500-100 Ω). The decreases with increasing temperature of density of interface states is the result of molecular restructuring and reordering at the metal-semiconductor interface. The value of series resistance 520 Ω was calculated from the admittance measurement at room temperature and enough high frequency (500 khz) when the diode is biased in strong accumulation region. The admittance frequency (C-V and G-V) measurement confirmed that the measured capacitance (C m ) and conductance (G m ) varies with applied voltage and frequency due to the presence of density of interface states in the MIS diode, interfacial insulator layer and enough high series resistance. Similar results have been observed on MIS type Schottky diodes
Energy Technology Data Exchange (ETDEWEB)
Tataroglu, A. [Department of Physics, Faculty of Arts and Sciences, Gazi University 06500, Ankara (Turkey)]. E-mail: ademt@gazi.edu.tr; Altindal, S. [Department of Physics, Faculty of Arts and Sciences, Gazi University 06500, Ankara (Turkey); Buelbuel, M.M. [Department of Physics, Faculty of Arts and Sciences, Gazi University 06500, Ankara (Turkey)
2006-12-01
It is well known that the exposure of any semiconductor surfaces to the {sup 60}Co {gamma}-ray irradiation causes electrically active defects. To investigate the effect of {gamma}-ray irradiation dose on the electrical characteristics of metal-insulator-semiconductor (MIS) Schottky diodes, the fabricated devices were exposed to {gamma} radiation at a dose of 2.12 kGy/h. The total dose range was from 0 to 450 kGy at room temperature. The density of interface states N {sub ss} as a function of E {sub ss}-E {sub v}, the values of series resistance R {sub s} and the bias dependence of the effective barrier height {phi} {sub e} for each dose were obtained from the forward bias I-V characteristics. Experimental results show that the {gamma}-irradiation gives rise to an increase in the zero bias barrier height {phi} {sub BO}, as the ideality factor n, R {sub s} and N {sub ss} decreases with increasing radiation dose.
International Nuclear Information System (INIS)
U-Sudjadi; T-Ohshima, N. Iwamoto; S-Hishiki; N-Iwamoto, K. Kawano
2007-01-01
Effects of gamma-ray irradiation on electrical characteristics of new material p type 6H-SiC Ni-Schottky diodes were investigated. Ni Schottky diodes fabricated on p type 6H-SiC epi-layer were irradiated with gamma-rays at RT. The electrical characteristics of the diodes were evaluated before and after irradiation. The value of the on-resistance does not change up to 1 MGy, and the value increases with increasing absorbed dose above 1 MGy. For n factor, no significant increase is observed below 500 kGy, however, the value increases above 500 kGy. Schottky Barrier Height (SBH) decreases with increasing absorbed dose. Leakage current tends to increase due to irradiation. (author)
Investigation of the Electrical Characteristics of Al/p-Si/Al Schottky Diode
International Nuclear Information System (INIS)
Şenarslan, Elvan; Güzeldir, Betül; Sağlam, Mustafa
2016-01-01
In this study, p-type Si semiconductor wafer with (100) orientation, 400 μm thickness and 1-10 Ω cm resistivity was used. The Si wafer before making contacts were chemically cleaned with the Si cleaning procedure which for remove organic contaminations were ultrasonically cleaned at acetone and methanol for 10 min respectively and then rinsed in deionized water of 18 MΩ and dried with high purity N 2 . Then respectively RCA1(i.e., boiling in NH 3 +H 2 O 2 +6H 2 O for 10 min at 60°C ), RCA2 (i.e., boiling in HCl+H 2 O 2 +6H 2 O for 10 min at 60°C ) cleaning procedures were applied and rinsed in deionized water followed by drying with a stream of N 2 . After the cleaning process, the wafer is immediately inserted in to the coating unit. Ohmic contact was made by evaporating of Al on the non-polished side of the p-Si wafer pieces under ∼ 4,2 10 -6 Torr pressure. After process evaporation, p-Si with omic contac thermally annealed 580°C for 3 min in a quartz tube furnace in N 2 . Then, the rectifier contact is made by evaporation Al metal diameter of about 1.0 mm on the polished surface of p-Si in turbo molecular pump at about ∼ 1 10 -6 Torr. Consequently, Al/p-Si/Al Schottky diode was obtained. The I–V measurements of this diode performed by the use of a KEITLEY 487 Picoammeter/Voltage Source and the C–V measurements were performed with HP 4192A (50–13 MHz) LF Impedance Analyzer at room temperature and in dark. (paper)
Investigation of the Electrical Characteristics of Al/p-Si/Al Schottky Diode
Şenarslan, Elvan; Güzeldir, Betül; Sağlam, Mustafa
2016-04-01
In this study, p-type Si semiconductor wafer with (100) orientation, 400 μm thickness and 1-10 Ω cm resistivity was used. The Si wafer before making contacts were chemically cleaned with the Si cleaning procedure which for remove organic contaminations were ultrasonically cleaned at acetone and methanol for 10 min respectively and then rinsed in deionized water of 18 MΩ and dried with high purity N2. Then respectively RCA1(i.e., boiling in NH3+H2O2+6H2O for 10 min at 60°C ), RCA2 (i.e., boiling in HCl+H2O2+6H2O for 10 min at 60°C ) cleaning procedures were applied and rinsed in deionized water followed by drying with a stream of N2. After the cleaning process, the wafer is immediately inserted in to the coating unit. Ohmic contact was made by evaporating of Al on the non-polished side of the p-Si wafer pieces under ~ 4,2 10-6 Torr pressure. After process evaporation, p-Si with omic contac thermally annealed 580°C for 3 min in a quartz tube furnace in N2. Then, the rectifier contact is made by evaporation Al metal diameter of about 1.0 mm on the polished surface of p-Si in turbo molecular pump at about ~ 1 10-6 Torr. Consequently, Al/p-Si/Al Schottky diode was obtained. The I-V measurements of this diode performed by the use of a KEITLEY 487 Picoammeter/Voltage Source and the C-V measurements were performed with HP 4192A (50-13 MHz) LF Impedance Analyzer at room temperature and in dark.
Current Transport Properties of Monolayer Graphene/n-Si Schottky Diodes
Pathak, C. S.; Garg, Manjari; Singh, J. P.; Singh, R.
2018-05-01
The present work reports on the fabrication and the detailed macroscopic and nanoscale electrical characteristics of monolayer graphene/n-Si Schottky diodes. The temperature dependent electrical transport properties of monolayer graphene/n-Si Schottky diodes were investigated. Nanoscale electrical characterizations were carried out using Kelvin probe force microscopy and conducting atomic force microscopy. Most the values of ideality factor and barrier height are found to be in the range of 2.0–4.4 and 0.50–0.70 eV for monolayer graphene/n-Si nanoscale Schottky contacts. The tunneling of electrons is found to be responsible for the high value of ideality factor for nanoscale Schottky contacts.
International Nuclear Information System (INIS)
Aydin, M.E.; Akkilic, K.; Kilicoglu, T.
2004-01-01
We have fabricated H-terminated Pb/p-type Si Schottky contacts with and without the native oxide layer to explain the importance of the fact that the neutral region resistance value is considered in calculating the interface state density distribution from the nonideal forward bias current-voltage (I-V) characteristics. The diodes with the native oxide layer (metal-insulating layer-semiconductor (MIS)) showed nonideal I-V behavior with an ideality factor value of 1.310 and the barrier height value of 0.746eV. An ideality factor value of 1.065 and a barrier height value of 0.743eV were obtained for the diodes without the native oxide layer (MS). At the same energy position near the top of the valance band, the calculated interface states density (Nss) values, obtained without taking into account the series resistance of the devices (i.e. without subtracting the voltage drop across the series resistance from the applied voltage values V) is almost one order of magnitude larger than Nss values obtained by taking into account the series resistance
International Nuclear Information System (INIS)
Balsano, Robert; Matsubayashi, Akitomo; LaBella, Vincent P.
2013-01-01
The Schottky barrier heights of both n and p doped Cu/Si(001), Ag/Si(001), and Au/Si(001) diodes were measured using ballistic electron emission microscopy and ballistic hole emission microscopy (BHEM), respectively. Measurements using both forward and reverse ballistic electron emission microscopy (BEEM) and (BHEM) injection conditions were performed. The Schottky barrier heights were found by fitting to a linearization of the power law form of the Bell-Kaiser BEEM model. The sum of the n-type and p-type barrier heights are in good agreement with the band gap of silicon and independent of the metal utilized. The Schottky barrier heights are found to be below the region of best fit for the power law form of the BK model, demonstrating its region of validity
The characteristics of photo-CVD SiO{sub 2} and its application on SiC MIS UV photodetectors
Energy Technology Data Exchange (ETDEWEB)
Liu, C.H.; Chang, C.S.; Chang, S.J.; Su, Y.K.; Chiou, Y.Z.; Liu, S.H.; Huang, B.R
2003-07-15
SiO{sub 2} layers were deposited onto SiC by photo-chemical vapor deposition (photo-CVD) using deuterium (D{sub 2}) lamp as the excitation source. For the photo-SiO{sub 2} deposited 500 deg. C, interface state density (D{sub it}) was estimated to be 5.66x10{sup 11} cm{sup -2} eV{sup -1}. With an applied electric field of 4 MV cm{sup -1}, it was found that the leakage current was only 3.15x10{sup -8} A cm{sup -2} for the photo-CVD SiO{sub 2} layer prepared at 500 deg. C. It was also found that photo-SiO{sub 2} could effectively suppress dark current of SiC-based photodetectors (PDs). It was found that we could reduce dark current of SiC-based PDs by about three orders of magnitude by the insertion of a 5 nm-thick photo-CVD SiO{sub 2} film in between Indium-tin-oxide (ITO) contact and the underneath SiC. Photocurrent to dark current ratio of ITO/SiO{sub 2}/SiC MIS PDs was also found to be much larger than that of conventional ITO/SiC Schottky barrier PDs.
Energy Technology Data Exchange (ETDEWEB)
Doekme, Ilbilge [Science Education Department, Faculty of Education, Ahi Evran University, Kirsehir (Turkey)], E-mail: ilbilgedokme@gazi.edu.tr; Durmus, Perihan; Altindal, Semsettin [Physics Department, Faculty of Arts and Sciences, Gazi University, 06500 Teknikokullar, Ankara (Turkey)
2008-03-15
The effect of the {sup 60}C{sub o} ({gamma}-ray) exposure on the electrical characteristics of Al/SiO{sub 2}/p-Si (MIS) structures has been investigated using capacitance-voltage (C-V) and conductance-voltage (G/{omega}-V) measurements. The MIS structures were stressed with a bias of 0 V during {sup 60}C{sub o}{gamma}-sources irradiation with the total dose range from 0 to 25 kGy. The C-V and G/{omega}-V characteristics were measured at 500 kHz and room temperature before and after {sup 60}C{sub o}{gamma}-ray irradiation. The results indicated that {gamma}-irradiation caused an increase in the barrier height {phi}{sub B}, interface states N{sub ss} and depletion layer width W{sub D} obtained from reverse bias C-V measurements. The series resistance R{sub s} profile for various radiation doses was obtained from forward and reverse bias C-V and G/{omega}-V measurements. Both C-V and G/{omega}-V characteristics indicate that the total dose radiation hardness of MIS structures may be limited by the decisive properties of the SiO{sub 2}/Si interface to radiation-induced damage. After {gamma}-irradiation, the decrease in capacitance of MIS structure results in the increase in the semiconductor depletion width.
Compact modeling of SiC Schottky barrier diode and its extension to junction barrier Schottky diode
Navarro, Dondee; Herrera, Fernando; Zenitani, Hiroshi; Miura-Mattausch, Mitiko; Yorino, Naoto; Jürgen Mattausch, Hans; Takusagawa, Mamoru; Kobayashi, Jun; Hara, Masafumi
2018-04-01
A compact model applicable for both Schottky barrier diode (SBD) and junction barrier Schottky diode (JBS) structures is developed. The SBD model considers the current due to thermionic emission in the metal/semiconductor junction together with the resistance of the lightly doped drift layer. Extension of the SBD model to JBS is accomplished by modeling the distributed resistance induced by the p+ implant developed for minimizing the leakage current at reverse bias. Only the geometrical features of the p+ implant are necessary to model the distributed resistance. Reproduction of 4H-SiC SBD and JBS current-voltage characteristics with the developed compact model are validated against two-dimensional (2D) device-simulation results as well as measurements at different temperatures.
P3HT-graphene bilayer electrode for Schottky junction photodetectors
Aydın, H.; Kalkan, S. B.; Varlikli, C.; Çelebi, C.
2018-04-01
We have investigated the effect of a poly (3-hexylthiophene-2.5-diyl)(P3HT)-graphene bilayer electrode on the photoresponsivity characteristics of Si-based Schottky photodetectors. P3HT, which is known to be an electron donor and absorb light in the visible spectrum, was placed on CVD grown graphene by dip-coating method. The results of the UV-vis and Raman spectroscopy measurements have been evaluated to confirm the optical and electronic modification of graphene by the P3HT thin film. Current-voltage measurements of graphene/Si and P3HT-graphene/Si revealed rectification behavior confirming a Schottky junction formation at the graphene/Si interface. Time-resolved photocurrent spectroscopy measurements showed the devices had excellent durability and a fast response speed. We found that the maximum spectral photoresponsivity of the P3HT-graphene/Si photodetector increased more than three orders of magnitude compared to that of the bare graphene/Si photodetector. The observed increment in the photoresponsivity of the P3HT-graphene/Si samples was attributed to the charge transfer doping from P3HT to graphene within the spectral range between near-ultraviolet and near-infrared. Furthermore, the P3HT-graphene electrode was found to improve the specific detectivity and noise equivalent power of graphene/Si photodetectors. The obtained results showed that the P3HT-graphene bilayer electrodes significantly improved the photoresponsivity characteristics of our samples and thus can be used as a functional component in Si-based optoelectronic device applications.
Fabrication and characteristics of a 4H-SiC junction barrier Schottky diode
International Nuclear Information System (INIS)
Chen Fengping; Zhang Yuming; Lue Hongliang; Zhang Yimen; Guo Hui; Guo Xin
2011-01-01
4H-SiC junction barrier Schottky (JBS) diodes with four kinds of design have been fabricated and characterized using two different processes in which one is fabricated by making the P-type ohmic contact of the anode independently, and the other is processed by depositing a Schottky metal multi-layer on the whole anode. The reverse performances are compared to find the influences of these factors. The results show that JBS diodes with field guard rings have a lower reverse current density and a higher breakdown voltage, and with independent P-type ohmic contact manufacturing, the reverse performance of 4H-SiC JBS diodes can be improved effectively. Furthermore, the P-type ohmic contact is studied in this work. (semiconductor devices)
Experimental and computational investigation of graphene/SAMs/n-Si Schottky diodes
Aydin, H.; Bacaksiz, C.; Yagmurcukardes, N.; Karakaya, C.; Mermer, O.; Can, M.; Senger, R. T.; Sahin, H.; Selamet, Y.
2018-01-01
We have investigated the effect of two different self-assembled monolayers (SAMs) on electrical characteristics of bilayer graphene (BLG)/n-Si Schottky diodes. Novel 4″bis(diphenylamino)-1, 1‧:3″-terphenyl-5‧ carboxylic acids (TPA) and 4,4-di-9H-carbazol-9-yl-1,1‧:3‧1‧-terphenyl-5‧ carboxylic acid (CAR) aromatic SAMs have been used to modify n-Si surfaces. Cyclic voltammetry (CV) and Kelvin probe force microscopy (KPFM) results have been evaluated to verify the modification of n-Si surface. The current-voltage (I-V) characteristics of bare and SAMs modified devices show rectification behaviour verifying a Schottky junction at the interface. The ideality factors (n) from ln(I)-V dependences were determined as 2.13, 1.96 and 2.07 for BLG/n-Si, BLG/TPA/n-Si and BLG/CAR/n-Si Schottky diodes, respectively. In addition, Schottky barrier height (SBH) and series resistance (Rs) of SAMs modified diodes were decreased compared to bare diode due to the formation of a compatible interface between graphene and Si as well as π-π interaction between aromatic SAMs and graphene. The CAR-based device exhibits better diode characteristic compared to the TPA-based device. Computational simulations show that the BLG/CAR system exhibits smaller energy-level-differences than the BLG/TPA, which supports the experimental findings of a lower Schottky barrier and series resistance in BLG/CAR diode.
Energy Technology Data Exchange (ETDEWEB)
Shetty, Arjun, E-mail: arjun@ece.iisc.ernet.in; Vinoy, K. J. [Electrical Communication Engineering, Indian Institute of Science, Bangalore, India 560012 (India); Roul, Basanta; Mukundan, Shruti; Mohan, Lokesh; Chandan, Greeshma; Krupanidhi, S. B. [Materials Research Centre, Indian Institute of Science, Bangalore, India 560012 (India)
2015-09-15
This paper reports an improvement in Pt/n-GaN metal-semiconductor (MS) Schottky diode characteristics by the introduction of a layer of HfO{sub 2} (5 nm) between the metal and semiconductor interface. The resulting Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diode showed an increase in rectification ratio from 35.9 to 98.9(@ 2V), increase in barrier height (0.52 eV to 0.63eV) and a reduction in ideality factor (2.1 to 1.3) as compared to the MS Schottky. Epitaxial n-type GaN films of thickness 300nm were grown using plasma assisted molecular beam epitaxy (PAMBE). The crystalline and optical qualities of the films were confirmed using high resolution X-ray diffraction and photoluminescence measurements. Metal-semiconductor (Pt/n-GaN) and metal-insulator-semiconductor (Pt/HfO{sub 2}/n-GaN) Schottky diodes were fabricated. To gain further understanding of the Pt/HfO{sub 2}/GaN interface, I-V characterisation was carried out on the MIS Schottky diode over a temperature range of 150 K to 370 K. The barrier height was found to increase (0.3 eV to 0.79 eV) and the ideality factor decreased (3.6 to 1.2) with increase in temperature from 150 K to 370 K. This temperature dependence was attributed to the inhomogeneous nature of the contact and the explanation was validated by fitting the experimental data into a Gaussian distribution of barrier heights.
A low knee voltage and high breakdown voltage of 4H-SiC TSBS employing poly-Si/Ni Schottky scheme
Kim, Dong Young; Seok, Ogyun; Park, Himchan; Bahng, Wook; Kim, Hyoung Woo; Park, Ki Cheol
2018-02-01
We report a low knee voltage and high breakdown voltage 4H-SiC TSBS employing poly-Si/Ni dual Schottky contacts. A knee voltage was significantly improved from 0.75 to 0.48 V by utilizing an alternative low work-function material of poly-Si as an anode electrode. Also, reverse breakdown voltage was successfully improved from 901 to 1154 V due to a shrunk low-work-function Schottky region by a proposed self-align etching process between poly-Si and SiC. SiC TSBS with poly-Si/Ni dual Schottky scheme is a suitable structure for high-efficiency rectification and high-voltage blocking operation.
Tuning of Schottky barrier height of Al/n-Si by electron beam irradiation
Energy Technology Data Exchange (ETDEWEB)
Vali, Indudhar Panduranga [Manipal Institute of Technology, Manipal University, Manipal 576104 (India); Shetty, Pramoda Kumara, E-mail: pramod.shetty@manipal.edu [Manipal Institute of Technology, Manipal University, Manipal 576104 (India); Mahesha, M.G. [Manipal Institute of Technology, Manipal University, Manipal 576104 (India); Petwal, V.C.; Dwivedi, Jishnu [Raja Ramanna Centre for Advanced Technology, Department of Atomic Energy, Government of India, Indore 452012 (India); Choudhary, R.J. [UGC-DAE Consortium for Scientific Research, University Campus, Khandwa Road, Indore 452017 (India)
2017-06-15
Highlights: • Tuning of Schottky barrier height has been achieved by electron beam irradiation at different doses on n-Si wafer prior to the fabrication of Schottky contact. • The XPS analyses have shown irradiation induced defects and the formation of several localized chemical states in Si/SiOx interface that influences the Schottky barrier height. • High ideality factor indicates metal-insulator-semiconductor configuration of the Schottky diode and the inhomogeneous nature of the Schottky barrier height. • The modifications in I–V characteristics have been observed as a function of electron dose. This is caused due to changes in the Schottky diode parameters and different transport mechanisms. - Abstract: The effect of electron beam irradiation (EBI) on Al/n-Si Schottky diode has been studied by I–V characterization at room temperature. The behavior of the metal-semiconductor (MS) interface is analyzed by means of variations in the MS contact parameters such as, Schottky barrier height (Φ{sub B}), ideality factor (n) and series resistance (R{sub s}). These parameters were found to depend on the EBI dose having a fixed incident beam of energy 7.5 MeV. At different doses (500, 1000, 1500 kGy) of EBI, the Schottky contacts were prepared and extracted their contact parameters by applying thermionic emission and Cheung models. Remarkably, the tuning of Φ{sub B} was observed as a function of EBI dose. The improved n with increased Φ{sub B} is seen for all the EBI doses. As a consequence of which the thermionic emission is more favored. However, the competing transport mechanisms such as space charge limited emission, tunneling and tunneling through the trap states were ascribed due to n > 1. The analysis of XPS spectra have shown the presence of native oxide and increased radiation induced defect states. The thickness variation in the MS interface contributing to Schottky contact behavior is discussed. This study explains a new technique to tune
Electrical transport measurements and degradation of graphene/n-Si Schottky junction diodes
International Nuclear Information System (INIS)
Park, No-Won; Lee, Won-Yong; Lee, Sang-Kwon; Koh, Jung-Hyuk; Kim, Dong-Joo; Kim, Gil-Sung; Hyung, Jung-Hwan; Hong, Chang-Hee; Kim, Keun-Soo
2015-01-01
We report on the electrical properties, such as the ideality factors and Schottky barrier heights, that were obtained by using current density - voltage (J - V ) and capacitance - voltage (C - V ) characteristics. To fabricate circularly- and locally-contacted Au/Gr/n-Si Schottky diode, we deposited graphene through the chemical vapor deposition (CVD) growth technique, and we employed reactive ion etching to reduce the leakage current of the Schottky diodes. The average values of the barrier heights and the ideality factors from the J .V characteristics were determined to be ∼0.79 ± 0.01 eV and ∼1.80 ± 0.01, respectively. The Schottky barrier height and the doping concentration from the C - V measurements were ∼0.85 eV and ∼1.76 x 10 15 cm -3 , respectively. From the J - V characteristics, we obtained a relatively low reverse leakage current of ∼2.56 x 10 -6 mA/cm -2 at -2 V, which implies a well-defined rectifying behavior. Finally, we found that the Gr/n-Si Schottky diodes that were exposed to ambient conditions for 7 days exhibited a ∼3.2-fold higher sheet resistance compared with the as-fabricated Gr/n-Si diodes, implying a considerable electrical degradation of the Gr/n-Si Schottky diodes.
International Nuclear Information System (INIS)
Wu Jihhuah; Chang Rongsen; Horng Gwoji
2004-01-01
The effects of the microstructure and the electrical and optical properties on the formation at highly efficient infrared PtSi Schottky barrier detectors (SBD) have been studied in detail. Two- to twelve-nanometer-thick PtSi films were grown by evaporation at temperature ranging from 350 to 550 deg. C. The electron diffraction patterns indicate the existence of both the (11-bar0) and (12-bar1) orientations when PtSi films formed at 350 deg. C. However, the diffraction patterns show only the (12-bar1) orientation when the PtSi films are formed at 450 deg. C or above. The electrical barrier height of the Schottky barrier detector that formed at 350 deg. C was about 20 meV higher than that formed at 450 deg. C or above. The grain size and the film thickness had a negligible effect on the electrical barrier height. However, the optical performance was strongly dependent on the film thickness and the growth conditions. The 350 deg. C PtSi film showed increased quantum efficiency as the film thickness decreased. The optimal thickness that provided the highest responsivity was 2 nm. On the other hand, the optimal thickness shifted to 8 nm for PtSi film formed at 450 deg. C or above. These results indicate that the quantum efficiency of a detector can be improved if the PtSi film has an orientation at (12-bar1), a larger grain size, and an optimal film thickness
63Ni schottky barrier nuclear battery of 4H-SiC
International Nuclear Information System (INIS)
Xiao-Ying Li; Yong Ren; Xue-Jiao Chen; Da-Yong Qiao; Wei-Zheng Yuan
2011-01-01
The design, fabrication, and testing of a 4H-SiC Schottky betavoltaic nuclear battery based on MEMS fabrication technology are presented in this paper. It uses a Schottky diode with an active area of 3.14 mm 2 to collect the charge from a 4 mCi/cm 2 63 Ni source. Some of the critical steps in process integration for fabricating silicon carbide-based Schottky diode were addressed. A prototype of this battery was fabricated and tested under the illumination of the 63 Ni source with an activity of 0.12 mCi. An open circuit voltage (V OC ) of 0.27 V and a short circuit current density (J SC ) of 25.57 nA/cm 2 are measured. The maximum output power density (P max ) of 4.08 nW/cm 2 and power conversion efficiency (η) of 1.01% is obtained. The performance of this battery is expected to be significantly improved by using larger activity and optimizing the design and processing technology of the battery. By achieving comparable performance with previously constructed p-n or p-i-n junction energy conversion structures, the Schottky barrier diode proves to be a feasible approach to achieve practical betavoltaics. (author)
Energy Technology Data Exchange (ETDEWEB)
Hadzi-Vukovic, J [Infineon Technologies, Siemensstrasse 2, 9500 Villach (Austria); Jevtic, M [Institute for Physics, Pregrevica 118, 11080 Zemun (Serbia and Montenegro); Rothleitner, H [Infineon Technologies, Siemensstrasse 2, 9500 Villach (Austria); Croce, P Del [Infineon Technologies, Siemensstrasse 2, 9500 Villach (Austria)
2005-01-01
In this paper we analyze a possibility of manufacturing and implementation of Schottky diodes in the smart power circuits. Three different Schottky diodes, in three different technologies, are realized in Si and SiC processes. The electrical characterizations with I-V-T and C-V measurements are done for all structures. It is shown that Si based Schottky diodes also are suitable to be integrated in the typical smart power circuits.
International Nuclear Information System (INIS)
Hadzi-Vukovic, J; Jevtic, M; Rothleitner, H; Croce, P Del
2005-01-01
In this paper we analyze a possibility of manufacturing and implementation of Schottky diodes in the smart power circuits. Three different Schottky diodes, in three different technologies, are realized in Si and SiC processes. The electrical characterizations with I-V-T and C-V measurements are done for all structures. It is shown that Si based Schottky diodes also are suitable to be integrated in the typical smart power circuits
Sreenu, K.; Venkata Prasad, C.; Rajagopal Reddy, V.
2017-10-01
A Ti/Orange G/ p-InP metal/interlayer/semiconductor (MIS) junction has been prepared with Orange G (OG) organic layer by electron beam evaporation and spin coating processes. The electrical properties of Ti/ p-InP metal/semiconductor (MS) and Ti/OG/ p-InP MIS junctions have been analyzed based on current-voltage ( I- V) and capacitance-voltage ( C- V) characteristics. The MIS junction exhibited higher rectifying behavior than the MS junction. The higher barrier height (BH) of the MIS junction compared with the MS junction indicates effective modification by the OG layer. Also, the BH, ideality factor, shunt resistance, and series resistance were extracted based on the I- V characteristic, Cheung's and Norde's methods, and the ΨS- V plot. The BH evaluated by Cheung's and Norde's methods and the ΨS- V plot was shown to be similar, confirming the reliability and validity of the methods applied. The extracted interface state density ( N SS) of the MIS junction was less than for the MS junction, revealing that the OG organic layer reduced the N SS value. Analysis demonstrated that, in the lower bias region, the reverse current conduction mechanism was dominated by Poole-Frenkel emission for both the MS and MIS junction. Meanwhile, in the higher bias region, Schottky emission governed the reverse current conduction mechanism. The results suggest that such OG layers have potential for use in high-quality electronic devices.
Evaluation of SiN films for AlGaN/GaN MIS-HEMTs on Si(111)
Energy Technology Data Exchange (ETDEWEB)
Cordier, Y.; Lecotonnec, A.; Chenot, S. [CRHEA-CNRS, Valbonne (France); Baron, N. [CRHEA-CNRS, Valbonne (France); PICOGIGA International, Courtaboeuf (France); Nacer, F.; Goullet, A.; Besland, M.P. [Institut des Materiaux Jean Rouxel IMN, Universite de Nantes (France); Lhermite, H. [Institut d' Electronique et de Telecommunications de Rennes (IETR), Universite de Rennes 1 (France); El Kazzi, M.; Regreny, P.; Hollinger, G. [Institut des Nanotechnologies de Lyon, Ecole Centrale de Lyon, UMR CNRS, Ecully (France)
2009-06-15
In this work, AlGaN/GaN HEMT structures grown on Si(111) substrates were covered with SiN{sub x} dielectric films, in order to realize MIS-HEMT devices. The dielectric films have been deposited by plasma enhanced chemical vapor deposition using deposition conditions previously optimized for InP based devices. X-ray photoelectron spectroscopy was used to control the interface formation and characterize the deposited films. Capacitance-voltage, Hall effect and current-voltage measurements were carried out on the MIS-HEMTs and HEMT reference devices and correlated with the dielectric layer quality. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Conduction mechanism in electron beam irradiated Al/n-Si Schottky diode
International Nuclear Information System (INIS)
Vali, Indudhar Panduranga; Shetty, Pramoda Kumara; Mahesha, M.G.; Petwal, V.C.
2016-01-01
In the high energy physics experiments, silicon based diodes are used to fabricate radiation detector to detect the charged particles. The Schottky barrier diodes have been studied extensively to understand the behavior of metal semiconductor interface, since such interfaces have been utilized as typical contacts in silicon devices. Because of surface states, interfacial layer, microscopic clusters of metal-semiconductor phases and other effects, it is difficult to fabricate junctions with barriers near the ideal values predicted from the work functions of the two isolated materials, therefore measured barrier heights are used in the device design. In this work, the Al/n-Si Schottky contacts are employed to study the diode parameters (Schottky barrier height and ideality factor), where the Schottky contacts were fabricated on electron beam irradiated silicon wafers. The interface behavior between electron irradiated Si wafer and post metal deposition is so far not reported. This method could be an alternative way to tailor the Schottky barrier height (SBH) without subjecting semiconductor sample to pre chemical and/or post heat treatments during fabrication
Fabrication and characterization of Pd/Cu doped ZnO/Si and Ni/Cu doped ZnO/Si Schottky diodes
Energy Technology Data Exchange (ETDEWEB)
Agarwal, Lucky; Singh, Brijesh Kumar; Tripathi, Shweta [Department of Electronics & Communication Engineering, Motilal Nehru National Institute of Technology, Allahabad 211004 (India); Chakrabarti, P., E-mail: pchakrabarti.ece@iitbhu.ac.in [Department of Electronics & Communication Engineering, Motilal Nehru National Institute of Technology, Allahabad 211004 (India); Department of Electronics Engineering, Indian Institute of Technology (Banaras Hindu University), Varanasi 221005 (India)
2016-08-01
In this paper, fabrication and characterization of copper doped ZnO (Cu doped ZnO) based Schottky devices have been reported. Cu doped ZnO thin films have been deposited on p-Si (100) samples by the sol-gel spin coating method. X-Ray diffraction (XRD) and atomic force microscopy (AFM) studies have been done in order to evaluate the structural and morphological properties of the film. The optical properties of the film have been determined by using variable angle ellipsometry. Further, Seebeck measurement of the deposited Cu doped ZnO film leads to positive Seebeck coefficient confirming the p-type conductivity of the sample. The resistivity and acceptor concentration of the film has also been evaluated using four probe measurement system. Pd and Ni metals have been deposited on separate Cu doped ZnO thin film samples using low cost thermal evaporation method to form Schottky contacts. The electrical characterization of the Schottky diode has been performed by semiconductor device analyzer (SDA). Electrical parameters such as barrier height, ideality factor, reverse saturation current and rectification ratio have also been determined for the as-prepared Schottky diode using conventional thermionic emission model and Cheung's method. - Highlights: • Fabrication of sol-gel derived Cu doped ZnO (p-type) Schottky contact proposed. • The p-type Conductivity of the sample confirmed by Seebeck Measurement. • Pd and Ni deposited on Cu doped ZnO film to form Schottky contacts. • Cu doped ZnO expected to emerge as a potential material for thin film solar cells.
Fabrication and characterization of Pd/Cu doped ZnO/Si and Ni/Cu doped ZnO/Si Schottky diodes
International Nuclear Information System (INIS)
Agarwal, Lucky; Singh, Brijesh Kumar; Tripathi, Shweta; Chakrabarti, P.
2016-01-01
In this paper, fabrication and characterization of copper doped ZnO (Cu doped ZnO) based Schottky devices have been reported. Cu doped ZnO thin films have been deposited on p-Si (100) samples by the sol-gel spin coating method. X-Ray diffraction (XRD) and atomic force microscopy (AFM) studies have been done in order to evaluate the structural and morphological properties of the film. The optical properties of the film have been determined by using variable angle ellipsometry. Further, Seebeck measurement of the deposited Cu doped ZnO film leads to positive Seebeck coefficient confirming the p-type conductivity of the sample. The resistivity and acceptor concentration of the film has also been evaluated using four probe measurement system. Pd and Ni metals have been deposited on separate Cu doped ZnO thin film samples using low cost thermal evaporation method to form Schottky contacts. The electrical characterization of the Schottky diode has been performed by semiconductor device analyzer (SDA). Electrical parameters such as barrier height, ideality factor, reverse saturation current and rectification ratio have also been determined for the as-prepared Schottky diode using conventional thermionic emission model and Cheung's method. - Highlights: • Fabrication of sol-gel derived Cu doped ZnO (p-type) Schottky contact proposed. • The p-type Conductivity of the sample confirmed by Seebeck Measurement. • Pd and Ni deposited on Cu doped ZnO film to form Schottky contacts. • Cu doped ZnO expected to emerge as a potential material for thin film solar cells.
Inhomogeneity in barrier height at graphene/Si (GaAs) Schottky junctions
Tomer, D.; Rajput, S.; Hudy, L. J.; Li, C. H.; Li, L.
2015-01-01
Graphene interfaced with a semiconductor forms a Schottky junction with rectifying properties, however, fluctuations in the Schottky barrier height are often observed. In this work, Schottky junctions are fabricated by transferring chemical vapor deposited monolayer graphene onto n-type Si and GaAs substrates. Temperature dependence of the barrier height and ideality factor are obtained by current-voltage measurements between 215 and 350 K. An increase in the zero bias barrier height and decr...
Inhomogeneity in barrier height at graphene/Si (GaAs) Schottky junctions.
Tomer, D; Rajput, S; Hudy, L J; Li, C H; Li, L
2015-05-29
Graphene (Gr) interfaced with a semiconductor forms a Schottky junction with rectifying properties, however, fluctuations in the Schottky barrier height are often observed. In this work, Schottky junctions are fabricated by transferring chemical vapor deposited monolayer Gr onto n-type Si and GaAs substrates. Temperature dependence of the barrier height and ideality factor are obtained by current-voltage measurements between 215 and 350 K. An increase in the zero bias barrier height and decrease in the ideality factor are observed with increasing temperature for both junctions. Such behavior is attributed to barrier inhomogeneities that arise from interfacial disorders as revealed by scanning tunneling microscopy/spectroscopy. Assuming a Gaussian distribution of the barrier heights, mean values of 1.14 ± 0.14 eV and 0.76 ± 0.10 eV are found for Gr/Si and Gr/GaAs junctions, respectively. These findings resolve the origin of barrier height inhomogeneities in these Schottky junctions.
Inhomogeneity in barrier height at graphene/Si (GaAs) Schottky junctions
International Nuclear Information System (INIS)
Tomer, D; Rajput, S; Hudy, L J; Li, L; Li, C H
2015-01-01
Graphene (Gr) interfaced with a semiconductor forms a Schottky junction with rectifying properties, however, fluctuations in the Schottky barrier height are often observed. In this work, Schottky junctions are fabricated by transferring chemical vapor deposited monolayer Gr onto n-type Si and GaAs substrates. Temperature dependence of the barrier height and ideality factor are obtained by current–voltage measurements between 215 and 350 K. An increase in the zero bias barrier height and decrease in the ideality factor are observed with increasing temperature for both junctions. Such behavior is attributed to barrier inhomogeneities that arise from interfacial disorders as revealed by scanning tunneling microscopy/spectroscopy. Assuming a Gaussian distribution of the barrier heights, mean values of 1.14 ± 0.14 eV and 0.76 ± 0.10 eV are found for Gr/Si and Gr/GaAs junctions, respectively. These findings resolve the origin of barrier height inhomogeneities in these Schottky junctions. (paper)
Energy Technology Data Exchange (ETDEWEB)
Purches, W. E. [School of Physics, UNSW, Sydney 2052 (Australia); Rossi, A.; Zhao, R. [School of Electrical Engineering and Telecommunications, UNSW, Sydney 2052 (Australia); Kafanov, S.; Duty, T. L. [School of Physics, UNSW, Sydney 2052 (Australia); Centre for Engineered Quantum Systems (EQuS), School of Physics, UNSW, Sydney 2052 (Australia); Dzurak, A. S. [School of Electrical Engineering and Telecommunications, UNSW, Sydney 2052 (Australia); Australian Centre of Excellence for Quantum Computation and Communication Technology (CQC2T), UNSW, Sydney 2052 (Australia); Rogge, S.; Tettamanzi, G. C., E-mail: g.tettamanzi@unsw.edu.au [School of Physics, UNSW, Sydney 2052 (Australia); Australian Centre of Excellence for Quantum Computation and Communication Technology (CQC2T), UNSW, Sydney 2052 (Australia)
2015-08-10
Schottky Barrier-MOSFET technology offers intriguing possibilities for cryogenic nano-scale devices, such as Si quantum devices and superconducting devices. We present experimental results on a device architecture where the gate electrode is self-aligned with the device channel and overlaps the source and drain electrodes. This facilitates a sub-5 nm gap between the source/drain and channel, and no spacers are required. At cryogenic temperatures, such devices function as p-MOS Tunnel FETs, as determined by the Schottky barrier at the Al-Si interface, and as a further advantage, fabrication processes are compatible with both CMOS and superconducting logic technology.
The controlled growth of graphene nanowalls on Si for Schottky photodetector
Directory of Open Access Journals (Sweden)
Quan Zhou
2017-12-01
Full Text Available Schottky diode with directly-grown graphene on silicon substrate has advantage of clean junction interface, promising for photodetectors with high-speed and low noise. In this report, we carefully studied the influence of growth parameters on the junction quality and photoresponse of graphene nanowalls (GNWs-based Schottky photodetectors. We found that shorter growth time is critical for lower dark current, but at the same time higher photocurrent. The influence of growth parameters was attributed to the defect density of various growth time, which results in different degrees of surface absorption for H2O/O2 molecules and P-type doping level. Raman characterization and vacuum annealing treatment were carried out to confirm the regulation mechanism. Meanwhile, the release of thermal stress also makes the ideality factor η of thinner sample better than the thicker. Our results are important for the response improvement of photodetectors with graphene-Si schottky junction.
Energy Technology Data Exchange (ETDEWEB)
Tahir, Muhammad [Ghulam Ishaq Khan Institute of Engineering Sciences and Technology, Topi 23640 (Pakistan); Department of Physics, Abdul Wali Khan University Mardan, 23200 (Pakistan); Sayyad, Muhammad Hassan; Wahab, Fazal; Khan, Dil Nawaz [Ghulam Ishaq Khan Institute of Engineering Sciences and Technology, Topi 23640 (Pakistan); Aziz, Fakhra, E-mail: fakhra69@yahoo.com [Department of Electronics, Jinnah College for Women, University of Peshawar, Peshawar 25120 (Pakistan)
2013-04-15
The Ag/PTCDA/PEDOT:PSS/p-Si Schottky diode has been fabricated by adding a layer of organic compound 3,4,9,10-perylene tetracarboxylic dianhydride (PTCDA) on top of the p-Si for which the junction characteristics have been investigated. The electronic properties of the device have been studied by the conventional I–V and the Norde's methods. For conventional I–V measurements the rectifying behavior has been observed with a rectification ratio of 236. The barrier height and ideality factor values of 0.81 eV and 3.5, respectively, for the structure have been obtained from the forward bias I–V characteristics. Various electrical parameters such as reverse saturation current, series resistance and shunt resistance have been calculated from the analysis of experimental I–V results and discussed in detail. The barrier height and the series resistance determined by the Norde's function are found in good agreement with the values calculated from conventional I–V measurements. The charge conduction mechanism has also been discussed.
Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates
Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.
2015-07-01
The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.
Effects of sulfide treatment on electronic transport of graphene/n-type Si Schottky diodes
Energy Technology Data Exchange (ETDEWEB)
Zeng, Jian-Jhou; Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw
2014-05-01
The present work reports the fabrication and detailed electrical properties of graphene/n-type Si Schottky diodes with and without sulfide treatment. The graphene/n-type Si Schottky diode without sulfide treatment shows a poor rectifying behavior with an ideality factor (η) of 4.2 and high leakage. η > 2 implies that the interfacial defects influence the electronic conduction through the device. However, the graphene/n-type Si Schottky diode with sulfide treatment for 5 min shows a good rectifying behavior with η of 1.8 and low leakage. Such an improvement indicates that a good passivation is formed at the interface as a result of the reduction of the defect density. These experimental demonstrations suggest that it may be possible to minimize the adverse effects of the interface states to obtain functional devices using sulfide treatment. In addition, the graphene/n-type Si Schottky diode with sulfide treatment for 10 min shows a poor rectifying behavior with η of 2.5 and high leakage. Note, a suitable sulfide treatment time is an important issue for improving the device performance. - Highlights: • Graphene/Si diodes with sulfide treatment for 5 min show a good rectifying behavior. • Graphene/Si diodes without sulfide treatment show a poor rectifying behavior. • The interfacial defects of Schottky diodes were controlled by sulfide treatment. • Such an improvement indicates that a good passivation is formed at the interface. • A suitable sulfide treatment time is an important issue for improving performances.
Effects of sulfide treatment on electronic transport of graphene/n-type Si Schottky diodes
International Nuclear Information System (INIS)
Zeng, Jian-Jhou; Lin, Yow-Jon
2014-01-01
The present work reports the fabrication and detailed electrical properties of graphene/n-type Si Schottky diodes with and without sulfide treatment. The graphene/n-type Si Schottky diode without sulfide treatment shows a poor rectifying behavior with an ideality factor (η) of 4.2 and high leakage. η > 2 implies that the interfacial defects influence the electronic conduction through the device. However, the graphene/n-type Si Schottky diode with sulfide treatment for 5 min shows a good rectifying behavior with η of 1.8 and low leakage. Such an improvement indicates that a good passivation is formed at the interface as a result of the reduction of the defect density. These experimental demonstrations suggest that it may be possible to minimize the adverse effects of the interface states to obtain functional devices using sulfide treatment. In addition, the graphene/n-type Si Schottky diode with sulfide treatment for 10 min shows a poor rectifying behavior with η of 2.5 and high leakage. Note, a suitable sulfide treatment time is an important issue for improving the device performance. - Highlights: • Graphene/Si diodes with sulfide treatment for 5 min show a good rectifying behavior. • Graphene/Si diodes without sulfide treatment show a poor rectifying behavior. • The interfacial defects of Schottky diodes were controlled by sulfide treatment. • Such an improvement indicates that a good passivation is formed at the interface. • A suitable sulfide treatment time is an important issue for improving performances
International Nuclear Information System (INIS)
Pakma, O.; Serin, N.; Serin, T.; Altindal, S.
2011-01-01
The energy distribution profile of the interface states (N ss ) of Al/TiO 2 /p-Si (MIS) structures prepared using the sol-gel method was obtained from the forward bias current-voltage (I-V) characteristics by taking into account both the bias dependence of the effective barrier height (φ e ) and series resistance (R s ) at room temperature. The main electrical parameters of the MIS structure such as ideality factor (n), zero-bias barrier height (φ b0 ) and average series resistance values were found to be 1.69, 0.519 eV and 659 Ω, respectively. This high value of n was attributed to the presence of an interfacial insulator layer at the Al/p-Si interface and the density of interface states (N ss ) localized at the Si/TiO 2 interface. The values of N ss localized at the Si/TiO 2 interface were found with and without the R s at 0.25-E v in the range between 8.4x10 13 and 4.9x10 13 eV -1 cm -2 . In addition, the frequency dependence of capacitance-voltage (C-V) and conductance-voltage (G/ω-V) characteristics of the structures have been investigated by taking into account the effect of N ss and R s at room temperature. It can be found out that the measured C and G/ω are strongly dependent on bias voltage and frequency. -- Research highlights: →We successfully fabricated Al/TiO 2 /p-Si device with interlayer by a sol-gel method. The facts: (i) that the technology of the fabrication of a Al/TiO 2 /p-Si MIS structure much simpler and economical than that for the Si p-n junction and (b) the main advantages of TiO 2 films are low densities of the surface states when compared to SiO 2 .
Barker, Bobby G., Jr.; Chava, Venkata Surya N.; Daniels, Kevin M.; Chandrashekhar, M. V. S.; Greytak, Andrew B.
2018-01-01
Graphene layers grown epitaxially on SiC substrates are attractive for a variety of sensing and optoelectronic applications because the graphene acts as a transparent, conductive, and chemically responsive layer that is mated to a wide-bandgap semiconductor with large breakdown voltage. Recent advances in control of epitaxial growth and doping of SiC epilayers have increased the range of electronic device architectures that are accessible with this system. In particular, a recently-introduced Schottky-emitter bipolar phototransistor (SEPT) based on an epitaxial graphene (EG) emitter grown on a p-SiC base epilayer has been found to exhibit a maximum common emitter current gain of 113 and a UV responsivity of 7.1 A W-1. The behavior of this device, formed on an n +-SiC substrate that serves as the collector, was attributed to a very large minority carrier injection efficiency at the EG/p-SiC Schottky contact. This large minority carrier injection efficiency is in turn related to the large built-in potential found at a EG/p-SiC Schottky junction. The high performance of this device makes it critically important to analyze the sub bandgap visible response of the device, which provides information on impurity states and polytype inclusions in the crystal. Here, we employ scanning photocurrent microscopy (SPCM) with sub-bandgap light as well as a variety of other techniques to clearly demonstrate a localized response based on the graphene transparent electrode and an approximately 1000-fold difference in responsivity between 365 nm and 444 nm excitation. A stacking fault propagating from the substrate/epilayer interface, assigned as a single layer of the 8H-SiC polytype within the 4H-SiC matrix, is found to locally increase the photocurrent substantially. The discovery of this polytype heterojunction opens the potential for further development of heteropolytype devices based on the SEPT architecture.
Electrical properties of MBE grown Si{sub 3}N{sub 4}-cubic GaN MIS structures
Energy Technology Data Exchange (ETDEWEB)
Zado, A.; Lischka, K.; As, D.J. [University of Paderborn, Faculty of Science, Department of Physics, Warburger Str. 100, 33098 Paderborn (Germany)
2012-03-15
In this work we report on the electrical characterization of non-polar cubic GaN metal-insulator-semiconductor (MIS) structures. Si{sub 3}N{sub 4} layers were deposited in-situ on top of cubic GaN grown on 3C-SiC (001) substrates. The electric characteristics of the MIS structures are measured by capacitance and admittance spectroscopy techniques. From the hysteresis in the capacitance-voltage curves and the peak height of the conductance G{sub p} -{omega} frequency curves the interface state densities are calculated. We find interface traps about 0.3 eV below the conduction band. The density of these traps is D{sub it} = 2.5x10{sup 11} cm{sup -2}eV{sup -1}. This is one order of magnitude lower than in MIS structures with a Si{sub 3}N{sub 4} insulator produced by plasma enhanced vapour deposition and two orders of magnitude lower than in MIS structures on c-GaN with SiO{sub 2} as insulator (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
International Nuclear Information System (INIS)
Nakayama, T.; Kobinata, K.
2012-01-01
Schottky-barrier changes by the segregation and structural disorder are studied using the first-principles calculations and adopting Au/Si interface. The Schottky barrier for electrons simply decreases as increasing the valency of segregated atoms from II to VI families, which variation is shown closely related to how the Si atoms are terminated at the interface. On the other hand, the structural disorders (defects) prefer to locate near the interface and the Schottky barrier for hole carriers does not change in cases of Si vacancy and Au substitution, while it increases in cases of Si and Au interstitials reflecting the appearance of Si dangling bonds.
Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.
2017-12-01
Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.
Energy Technology Data Exchange (ETDEWEB)
Pakma, O., E-mail: osman@pakma.co [Department of Physics, Faculty of Sciences and Arts, Batman University, Batman (Turkey); Serin, N.; Serin, T. [Department of Engineering Physics, Faculty of Engineering, Ankara University, 06100 Tandogan, Ankara (Turkey); Altindal, S. [Physics Department, Faculty of Arts and Sciences, Gazi University, Teknikokullar, 06500 Ankara (Turkey)
2011-02-15
The energy distribution profile of the interface states (N{sub ss}) of Al/TiO{sub 2}/p-Si (MIS) structures prepared using the sol-gel method was obtained from the forward bias current-voltage (I-V) characteristics by taking into account both the bias dependence of the effective barrier height ({phi}{sub e}) and series resistance (R{sub s}) at room temperature. The main electrical parameters of the MIS structure such as ideality factor (n), zero-bias barrier height ({phi}{sub b0}) and average series resistance values were found to be 1.69, 0.519 eV and 659 {Omega}, respectively. This high value of n was attributed to the presence of an interfacial insulator layer at the Al/p-Si interface and the density of interface states (N{sub ss}) localized at the Si/TiO{sub 2} interface. The values of N{sub ss} localized at the Si/TiO{sub 2} interface were found with and without the R{sub s} at 0.25-E{sub v} in the range between 8.4x10{sup 13} and 4.9x10{sup 13} eV{sup -1} cm{sup -2}. In addition, the frequency dependence of capacitance-voltage (C-V) and conductance-voltage (G/{omega}-V) characteristics of the structures have been investigated by taking into account the effect of N{sub ss} and R{sub s} at room temperature. It can be found out that the measured C and G/{omega} are strongly dependent on bias voltage and frequency. -- Research highlights: {yields}We successfully fabricated Al/TiO{sub 2}/p-Si device with interlayer by a sol-gel method. The facts: (i) that the technology of the fabrication of a Al/TiO{sub 2}/p-Si MIS structure much simpler and economical than that for the Si p-n junction and (b) the main advantages of TiO{sub 2} films are low densities of the surface states when compared to SiO{sub 2}.
Planar edge Schottky barrier-tunneling transistors using epitaxial graphene/SiC junctions.
Kunc, Jan; Hu, Yike; Palmer, James; Guo, Zelei; Hankinson, John; Gamal, Salah H; Berger, Claire; de Heer, Walt A
2014-09-10
A purely planar graphene/SiC field effect transistor is presented here. The horizontal current flow over one-dimensional tunneling barrier between planar graphene contact and coplanar two-dimensional SiC channel exhibits superior on/off ratio compared to conventional transistors employing vertical electron transport. Multilayer epitaxial graphene (MEG) grown on SiC(0001̅) was adopted as the transistor source and drain. The channel is formed by the accumulation layer at the interface of semi-insulating SiC and a surface silicate that forms after high vacuum high temperature annealing. Electronic bands between the graphene edge and SiC accumulation layer form a thin Schottky barrier, which is dominated by tunneling at low temperatures. A thermionic emission prevails over tunneling at high temperatures. We show that neglecting tunneling effectively causes the temperature dependence of the Schottky barrier height. The channel can support current densities up to 35 A/m.
Tunable Schottky barrier and high responsivity in graphene/Si-nanotip optoelectronic device
Di Bartolomeo, Antonio; Giubileo, Filippo; Luongo, Giuseppe; Iemmo, Laura; Martucciello, Nadia; Niu, Gang; Fraschke, Mirko; Skibitzki, Oliver; Schroeder, Thomas; Lupina, Grzegorz
2017-03-01
We demonstrate tunable Schottky barrier height and record photo-responsivity in a new-concept device made of a single-layer CVD graphene transferred onto a matrix of nanotips patterned on n-type Si wafer. The original layout, where nano-sized graphene/Si heterojunctions alternate to graphene areas exposed to the electric field of the Si substrate, which acts both as diode cathode and transistor gate, results in a two-terminal barristor with single-bias control of the Schottky barrier. The nanotip patterning favors light absorption, and the enhancement of the electric field at the tip apex improves photo-charge separation and enables internal gain by impact ionization. These features render the device a photodetector with responsivity (3 {{A}} {{{W}}}-1 for white LED light at 3 {{mW}} {{{cm}}}-2 intensity) almost an order of magnitude higher than commercial photodiodes. We extensively characterize the voltage and the temperature dependence of the device parameters, and prove that the multi-junction approach does not add extra-inhomogeneity to the Schottky barrier height distribution. We also introduce a new phenomenological graphene/semiconductor diode equation, which well describes the experimental I-V characteristics both in forward and reverse bias.
Effects of electron-irradiation on electrical properties of AgCa/Si Schottky diodes
International Nuclear Information System (INIS)
Harmatha, L.; Zizka, M.; Sagatova, A.; Nemec, M.; Hybler, P.
2013-01-01
This contribution presents the results of the current-voltage I-V and the capacitance-voltage C-V measurement on the Schottky diodes with the AgCa gate on the silicon n-type substrate. The Si substrate was irradiated by 5 MeV electrons with a different dose value before the Schottky diode preparation. (authors)
Fabrication of 4H-SiC Schottky barrier diodes with high breakdown voltages
Kum, B H; Shin, M W; Park, J D
1999-01-01
This paper discusses the fabrication and the breakdown characteristics of 4H-SiC Schottky barrier diodes (SBDs). Optimal processing conditions for the ohmic contacts were extracted using the transmission-line method (TLM) and were applied to the device fabrication. The Ti/4H-SiC SBDs with Si sub x B sub y passivation showed a maximum reverse breakdown voltage of 268 V with a forward current density as high as 70 mA/cm sup 2 at a forward voltage of 2 V. The breakdown of the Pt. 4H-SiC SBDs without any passivation occurred at near 110 V. It is concluded that the breakdown enhancement in the Ti/4H-SiC SBDs can be attributed to the passivation; otherwise, excess surface charge near the edge of the Schottky contact would lead to electric fields of sufficient magnitude to cause field emission.
A new type photodiode: p-Si/GaN pn junction in series with GaN/Ag Schottky diode
Energy Technology Data Exchange (ETDEWEB)
Yakuphanoglu, F., E-mail: fyhanoglu@firat.edu.tr [Department of Physics, Faculty of Science, Firat University, Elazig (Turkey); Department of Physics, Faculty of Science, King Abdulaziz University, Jeddah (Saudi Arabia); Shokr, F.S. [Physics Department, Faculty of Science & Arts, King Abdulaziz University, Rabigh (Saudi Arabia); Gupta, R.K., E-mail: ramguptamsu@gmail.com [Department of Chemistry and Kansas Polymer Research Center, Pittsburg State University, Pittsburg (United States); Al-Ghamdi, Ahmed A. [Department of Physics, Faculty of Science, King Abdulaziz University, Jeddah (Saudi Arabia); Bin-Omran, S. [Department of Physics and Astronomy, College of Science, King Saud University, Riyadh (Saudi Arabia); Al-Turki, Yusuf [Department of Electrical and Computer Engineering, King Abdulaziz University, Jeddah (Saudi Arabia); El-Tantawy, Farid [Department of Physics, Faculty of Science, Suez Canal University, Ismailia (Egypt)
2015-11-25
Large quantities of gallium nitride (GaN) nanoparticles were successfully synthesized via a facile sol-gel approach. X-ray diffraction analysis confirms the polycrystalline nature of the GaN with hexagonal wurtzite structure and lattice constants a = 0.3189 nm and c = 0.5185 nm. The morphology of the GaN film was investigated by field emission scanning electron microscopy. The obtained results indicate that the synthesized GaN nanorods have an average length of around 60 nm and an average diameter of 23 nm. The optical band gap of the GaN film was obtained to be 3.4 eV. The gallium nitride/p-Si Schottky diode was fabricated by thermal evaporation technique on p-silicon. The current–voltage (I–V) characteristics of the fabricated diode was tested under dark and various light intensities. T The diode ideality factor and barrier height were computed using forward bias I–V characteristics of the diode and are found to be 1.66 and 0.53 eV, respectively. The obtained results suggest that the film preparation by sol gel method is fast and simple to prepare GaN based photodiode by according to metal organic deposition methods. - Highlights: • Facile method was used to synthesize GaN powder. • The Al/p-Si/GaN/Ag diode was fabricated using thermal evaporator technique. • Al/p-Si/GaN/Ag diode can be used as a photosensor for optoelectronic applications.
Modeling and fabrication of 4H-SiC Schottky junction
Martychowiec, A.; Pedryc, A.; Kociubiński, A.
2017-08-01
The rapidly growing demand for electronic devices requires using of alternative semiconductor materials, which could replace conventional silicon. Silicon carbide has been proposed for these harsh environment applications (high temperature, high voltage, high power conditions) because of its wide bandgap, its high temperature operation ability, its excellent thermal and chemical stability, and its high breakdown electric field strength. The Schottky barrier diode (SBD) is known as one of the best refined SiC devices. This paper presents prepared model, simulations and description of technology of 4H-SiC Schottky junction as well as characterization of fabricated structures. The future aim of the application of the structures is an optical detection of an ultraviolet radiation. The model section contains a comparison of two different solutions of SBD's construction. Simulations - as a crucial process of designing electronic devices - have been performed using the ATLAS device of Silvaco TCAD software. As a final result the paper shows I-V characteristics of fabricated diodes.
Brezeanu, G.; Pristavu, G.; Draghici, F.; Badila, M.; Pascu, R.
2017-08-01
In this paper, a characterization technique for 4H-SiC Schottky diodes with varying levels of metal-semiconductor contact inhomogeneity is proposed. A macro-model, suitable for high-temperature evaluation of SiC Schottky contacts, with discrete barrier height non-uniformity, is introduced in order to determine the temperature interval and bias domain where electrical behavior of the devices can be described by the thermionic emission theory (has a quasi-ideal performance). A minimal set of parameters, the effective barrier height and peff, the non-uniformity factor, is associated. Model-extracted parameters are discussed in comparison with literature-reported results based on existing inhomogeneity approaches, in terms of complexity and physical relevance. Special consideration was given to models based on a Gaussian distribution of barrier heights on the contact surface. The proposed methodology is validated by electrical characterization of nickel silicide Schottky contacts on silicon carbide (4H-SiC), where a discrete barrier distribution can be considered. The same method is applied to inhomogeneous Pt/4H-SiC contacts. The forward characteristics measured at different temperatures are accurately reproduced using this inhomogeneous barrier model. A quasi-ideal behavior is identified for intervals spanning 200 °C for all measured Schottky samples, with Ni and Pt contact metals. A predictable exponential current-voltage variation over at least 2 orders of magnitude is also proven, with a stable barrier height and effective area for temperatures up to 400 °C. This application-oriented characterization technique is confirmed by using model parameters to fit a SiC-Schottky high temperature sensor's response.
Ibrahim Elmi, Omar; Cristini-Robbe, Odile; Chen, Minyu; Wei, Bin; Bernard, Rémy; Okada, Etienne; Yarekha, Dmitri A; Ouendi, Saliha; Portier, Xavier; Gourbilleau, Fabrice; Xu, Tao; Stievenard, Didier
2018-04-26
This paper describes an original design leading to the field effect passivation of Si n+-p junctions. Ordered Ag nanoparticle (Ag-NP) arrays with optimal size and coverage fabricated by means of nanosphere lithography and thermal evaporation, were embedded in ultrathin-Al2O3/SiNx:H stacks on the top of implanted Si n+-p junctions, to achieve effective surface passivation. One way to characterize surface passivation is to use photocurrent, sensitive to recombination centers. We evidenced an improvement of photocurrent by a factor of 5 with the presence of Ag nanoparticles. Finite-difference time-domain (FDTD) simulations combining with semi-quantitative calculations demonstrated that such gain was mainly due to the enhanced field effect passivation through the depleted region associated with the Ag-NPs/Si Schottky contacts. © 2018 IOP Publishing Ltd.
Improved designs of Si-based quantum wells and Schottky diodes for IR detection
Energy Technology Data Exchange (ETDEWEB)
Moeen, M., E-mail: moeen@kth.se [School of Information and Communication Technology, KTH Royal Institute of Technology, Stockholm, 16640, Kista (Sweden); Kolahdouz, M. [School of Electrical and Computer Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Salemi, A.; Abedin, A.; Östling, M. [School of Information and Communication Technology, KTH Royal Institute of Technology, Stockholm, 16640, Kista (Sweden); Radamson, H.H., E-mail: rad@kth.se [School of Information and Communication Technology, KTH Royal Institute of Technology, Stockholm, 16640, Kista (Sweden)
2016-08-31
Novel structures of intrinsic or carbon-doped multi quantum wells (MQWs) and intrinsic or carbon-doped Si Schottky diodes (SD), individually or in combination, have been manufactured to detect the infrared (IR) radiation. The carbon concentration in the structures was 5 × 10{sup 20} cm{sup −3} and the MQWs are located in the active part of the IR detector. A Schottky diode was designed and formed as one of the contacts (based on NiSi(C)/TiW) to MQWs where on the other side the structure had an Ohmic contact. The thermal response of the detectors is expressed in terms of temperature coefficient of resistance (TCR) and the quality of the electrical signal is quantified by the signal-to-noise ratio. The noise measurements provide the K{sub 1/f} parameter which is obtained from the power spectrum density. An excellent value of TCR = − 6%/K and K{sub 1/f} = 4.7 × 10{sup −14} was measured for the detectors which consist of the MQWs in series with the SD. These outstanding electrical results indicate a good opportunity to manufacture low cost Si-based IR detectors in the near future. - Highlights: • SiGe (C)/Si(C) multi quantum wells (MQWs) are evaluated to detect IR radiation. • Schottky diodes (SDs), individually or in series with MQWs are also fabricated. • Detectors consisted of MQWs in series with SD show excellent thermal sensing. • The noise values are also extremely low for MQWs in series with SD.
Improved designs of Si-based quantum wells and Schottky diodes for IR detection
International Nuclear Information System (INIS)
Moeen, M.; Kolahdouz, M.; Salemi, A.; Abedin, A.; Östling, M.; Radamson, H.H.
2016-01-01
Novel structures of intrinsic or carbon-doped multi quantum wells (MQWs) and intrinsic or carbon-doped Si Schottky diodes (SD), individually or in combination, have been manufactured to detect the infrared (IR) radiation. The carbon concentration in the structures was 5 × 10 20 cm −3 and the MQWs are located in the active part of the IR detector. A Schottky diode was designed and formed as one of the contacts (based on NiSi(C)/TiW) to MQWs where on the other side the structure had an Ohmic contact. The thermal response of the detectors is expressed in terms of temperature coefficient of resistance (TCR) and the quality of the electrical signal is quantified by the signal-to-noise ratio. The noise measurements provide the K 1/f parameter which is obtained from the power spectrum density. An excellent value of TCR = − 6%/K and K 1/f = 4.7 × 10 −14 was measured for the detectors which consist of the MQWs in series with the SD. These outstanding electrical results indicate a good opportunity to manufacture low cost Si-based IR detectors in the near future. - Highlights: • SiGe (C)/Si(C) multi quantum wells (MQWs) are evaluated to detect IR radiation. • Schottky diodes (SDs), individually or in series with MQWs are also fabricated. • Detectors consisted of MQWs in series with SD show excellent thermal sensing. • The noise values are also extremely low for MQWs in series with SD.
Directory of Open Access Journals (Sweden)
Feng Sun
2018-03-01
Full Text Available Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET require further hole Schottky barrier height (SBH regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B and aluminum (Al in combination with microwave annealing (MWA. By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.
Study of 4H-SiC junction barrier Schottky diode using field guard ring termination
International Nuclear Information System (INIS)
Feng-Ping, Chen; Yu-Ming, Zhang; Hong-Liang, Lü; Yi-Men, Zhang; Jian-Hua, Huang
2010-01-01
This paper reports that the 4H-SiC Schottky barrier diode, PiN diode and junction barrier Schottky diode terminated by field guard rings are designed, fabricated and characterised. The measurements for forward and reverse characteristics have been done, and by comparison with each other, it shows that junction barrier Schottky diode has a lower reverse current density than that of the Schottky barrier diode and a higher forward drop than that of the PiN diode. High-temperature annealing is presented in this paper as well to figure out an optimised processing. The barrier height of 0.79 eV is formed with Ti in this work, the forward drop for the Schottky diode is 2.1 V, with an ideality factor of 3.2, and junction barrier Schottky diode with blocking voltage higher than 400 V was achieved by using field guard ring termination. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
The interface modification for GNWs/Si Schottky junction with PEI/PEIE interlayers
Zhou, Quan; Liu, Xiangzhi; Luo, Wei; Shen, Jun; Wang, Yuefeng; Wei, Dapeng
2018-03-01
Polyethylenimine ethoxylated (PEIE) and polyethyl-enimine (PEI), the two kinds of interface buffer layer, are widely used in the organic light-emitting diodes and solar cells for band alignment adjustment. In this report, we carefully studied the influence of the inserting organic layer on the graphene nanowalls(GNWS)/Si junction quality and the photoresponse of the Schottky devices. We found that thinner layers of PEI could decrease the dark current and improve the photo-to-dark ratio to 105 for n-Si devices. The s-kink effect and degradation of open circuit voltage could be observed for thicker thickness and excessive doping. Relatively, PEIE with stable thin layer not only improve the rectifying characteristics of p-Si devices but also the incident photon conversion efficiency. The maximus IPCE could reach 44% and be adjusted to zero by the reverse bias. The tunneling inhibition for electrons can be alleviated by increasing the barrier height. Our results provide an attractive method to improve the efficiency of pristine GNWs/Si junction with interface doping and passivation.
International Nuclear Information System (INIS)
Altindal, S.; Doekme, I.; Tataroglu, A.; Sahingoez, R.
2002-01-01
The current-voltage (I-V) characteristics of Metal-Insulator-Semiconductor (MIS) Schottky barrier diodes which is consider distribution of interface states in equilibrium with semiconductor were determined at two (low and high) temperature. The interface states were responsible for non-ideal behavior of the forward I-V characteristic of diodes. Both diodes (n and p type Si) showed non-ideal behavior with an ideality factor 1.6 and 1.85 respectively at room temperature. The higher values of n-type Si were attributed to an order of magnitude higher density of interface states in the both diodes. The effect of an interfacial insulator layer between the metal and semiconductor are also studied. The high density of interface states also caused a reduction in the barrier height of the MIS diode. It is shown that by using Norde function at low and high temperature, barrier height □ b , series resistance R s and ideality factor n can be determined even in the case 1 s obtained from Norde function strongly depend on temperature, and decrease with increasing temperature. In addition, the potential barrier height increases with increasing temperature. The mean density of interface states N ss decreases with increasing temperature. Particularly at low temperature the I-V characteristics are controlled by interface states density
Heavy Ion Induced Degradation in SiC Schottky Diodes: Bias and Energy Deposition Dependence
Javanainen, Arto; Galloway, Kenneth F.; Nicklaw, Christopher; Bosser, Alexandre L.; Ferlet-Cavrois, Veronique; Lauenstein, Jean-Marie; Pintacuda, Francesco; Reed, Robert A.; Schrimpf, Ronald D.; Weller, Robert A.;
2016-01-01
Experimental results on ion-induced leakage current increase in 4H-SiC Schottky power diodes are presented. Monte Carlo and TCAD simulations show that degradation is due to the synergy between applied bias and ion energy deposition. This degradation is possibly related to thermal spot annealing at the metal semiconductor interface. This thermal annealing leads to an inhomogeneity of the Schottky barrier that could be responsible for the increase leakage current as a function of fluence.
Wang, Han; Silva, Eduardo; West, Damien; Sun, Yiyang; Restrepo, Oscar; Zhang, Shengbai; Kota, Murali
As scaling of semiconductor devices is pursued in order to improve power efficiency, quantum effects due to the reduced dimensions on devices have become dominant factors in power, performance, and area scaling. In particular, source/drain contact resistance has become a limiting factor in the overall device power efficiency and performance. As a consequence, techniques such as heavy doping of source and drain have been explored to reduce the contact resistance, thereby shrinking the width of depletion region and lowering the Schottky barrier height. In this work, we study the relation between doping in Silicon and the Schottky barrier of a TiSi2/Si interface with first-principles calculation. Virtual Crystal Approximation (VCA) is used to calculate the average potential of the interface with varying doping concentration, while the I-V curve for the corresponding interface is calculated with a generalized one-dimensional transfer matrix method. The relation between substitutional and interstitial Boron and Phosphorus dopant near the interface, and their effect on tuning the Schottky barrier is studied. These studies provide insight to the type of doping and the effect of dopant segregation to optimize metal-semiconductor interface resistance.
Energy Technology Data Exchange (ETDEWEB)
Anantathanasarn, Sanguan; Hasegawa, Hideki
2003-06-30
(0 0 1)-Oriented GaAs metal-insulator-semiconductor (MIS) structures having a silicon interface control layer (Si ICL) were fabricated on surfaces having Ga-rich (4x6) reconstructions. Si ICL was grown by molecular beam epitaxy. MIS structures were fabricated by partially converting Si ICL to SiN{sub x} by direct nitridation, and further depositing a thick SiO{sub 2} layer on top as the main passivation dielectric by plasma-assisted chemical vapor deposition. Reflection high-energy electron diffraction, in situ X-ray photoelectron spectroscopy and MIS capacitance-voltage (C-V) techniques were used for characterization. The initial surface reconstruction was found to have a surprisingly strong effect on the degree of Fermi level pinning at the MIS interface. In contrast to the standard As-rich (2x4) surface, which results in strongly pinned MIS interfaces, the novel SiO{sub 2}/SiN{sub x}/Si ICL/GaAs MIS structures formed on ''genuine'' (4x6) surface realized complete unpinning of Fermi level over the entire band gap with a minimum interface state density of 4x10{sup 10} cm{sup -2} eV{sup -1} range.
Pt silicide/poly-Si Schottky diodes as temperature sensors for bolometers
Energy Technology Data Exchange (ETDEWEB)
Yuryev, V. A., E-mail: vyuryev@kapella.gpi.ru; Chizh, K. V.; Chapnin, V. A.; Mironov, S. A.; Dubkov, V. P.; Uvarov, O. V.; Kalinushkin, V. P. [A. M. Prokhorov General Physics Institute of the Russian Academy of Sciences, 38 Vavilov Street, Moscow 119991 (Russian Federation); Senkov, V. M. [P. N. Lebedev Physical Institute of the Russian Academy of Sciences, 53 Leninskiy Avenue, Moscow 119991 (Russian Federation); Nalivaiko, O. Y. [JSC “Integral” – “Integral” Holding Management Company, 121A, Kazintsa I. P. Street, Minsk 220108 (Belarus); Novikau, A. G.; Gaiduk, P. I. [Belarusian State University, 4 Nezavisimosti Avenue, 220030 Minsk (Belarus)
2015-05-28
Platinum silicide Schottky diodes formed on films of polycrystalline Si doped by phosphorus are demonstrated to be efficient and manufacturable CMOS-compatible temperature sensors for microbolometer detectors of radiation. Thin-film platinum silicide/poly-Si diodes have been produced by a CMOS-compatible process on artificial Si{sub 3}N{sub 4}/SiO{sub 2}/Si(001) substrates simulating the bolometer cells. Layer structure and phase composition of the original Pt/poly-Si films and the Pt silicide/poly-Si films synthesized by a low-temperature process have been studied by means of the scanning transmission electron microscopy; they have also been explored by means of the two-wavelength X-ray structural phase analysis and the X-ray photoelectron spectroscopy. Temperature coefficient of voltage for the forward current of a single diode is shown to reach the value of about −2%/ °C in the temperature interval from 25 to 50 °C.
Taşçıoğlu, İ.; Tüzün Özmen, Ö.; Şağban, H. M.; Yağlıoğlu, E.; Altındal, Ş.
2017-04-01
In this study, poly(3-hexylthiophene):[6,6]-phenyl-C61-butyric acid methyl ester: 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (P3HT:PCBM:F4-TCNQ) organic film was deposited on n-type silicon (n-Si) substrate by spin coating method. The electrical and dielectric analysis of Au/P3HT:PCBM:F4-TCNQ/n-Si Schottky barrier diode was conducted by means of capacitance-voltage ( C- V) and conductance-voltage ( G/ ω- V) measurements in the frequency range of 10 kHz-2 MHz. The C- V- f plots exhibit fairly large frequency dispersion due to excess capacitance caused by the presence of interface states ( N ss). The values of N ss located in semiconductor bandgap at the organic film/semiconductor interface were calculated by Hill-Coleman method. Experimental results show that dielectric constant ( ɛ') and dielectric loss ( ɛ″) decrease with increasing frequency, whereas loss tangent (tan δ) remains nearly the same. The decrease in ɛ' and ɛ″ was interpreted by the theory of dielectric relaxation due to interfacial polarization. It is also observed that ac electrical conductivity ( σ ac) and electric modulus ( M' and M″) increase with increasing frequency.
International Nuclear Information System (INIS)
Zheng Liu; Zhang Feng; Liu Sheng-Bei; Dong Lin; Liu Xing-Fang; Liu Bin; Yan Guo-Guo; Wang Lei; Zhao Wan-Shun; Sun Guo-Sheng; He Zhi; Fan Zhong-Chao; Yang Fu-Hua
2013-01-01
4H-SiC junction barrier Schottky (JBS) diodes with a high-temperature annealed resistive termination extension (HARTE) are designed, fabricated and characterized in this work. The differential specific on-state resistance of the device is as low as 3.64 mΩ·cm 2 with a total active area of 2.46 × 10 −3 cm 2 . Ti is the Schottky contact metal with a Schottky barrier height of 1.08 V and a low onset voltage of 0.7 V. The ideality factor is calculated to be 1.06. Al implantation annealing is performed at 1250°C in Ar, while good reverse characteristics are achieved. The maximum breakdown voltage is 1000 V with a leakage current of 9 × 10 −5 A on chip level. These experimental results show good consistence with the simulation results and demonstrate that high-performance 4H-SiC JBS diodes can be obtained based on the double HARTE structure. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Investigation of temperature dependent barrier height of Au/ZnO/Si schottky diodes
International Nuclear Information System (INIS)
Asghar, M.; Mahmood, K.; Rabia, S.; BM, S.; Shahid, M. Y.; Hasan, M. A.
2013-01-01
In this study, temperature dependent current-voltage (I-V) measurements have been performed to investigate the inhomogeneity in the temperature dependent barrier heights of Au/ZnO/Si Schottky barrier diode in the temperature range 150 - 400K. The room temperature values for ideality factor and barrier height were found to be 2.9 and 0.60 eV respectively indicating the inhomogenity in the barrier heights of grown samples. The Richardson plot and ideality factor verses barrier height graph were also drawn to verified the discontinuity between Au and ZnO. This barrier height inhomogenity was explained by applying Gaussian distribution model. The extrapolation of the linear Fap (n) plot to n= 1 has given a homogeneous barrier height of approximately 1.1 eV. Fap versus 1/T plot was drawn to obtain the values of mean barrier height for Au/ZnO/Si Schottky diode (1.1 eV) and standard deviation(ds) (0.02 V) at zero bais. (author)
Investigation of temperature dependent barrier height of Au/ZnO/Si schottky diodes
International Nuclear Information System (INIS)
Asghar, M; Mahmood, K; Rabia, S; M, Samaa B; Shahid, M Y; Hasan, M A
2014-01-01
In this study, temperature dependent current-voltage (I-V) measurements have been performed to investigate the inhomogeneity in the temperature dependent barrier heights of Au/ZnO/Si Schottky barrier diode in the temperature range 150 – 400K. The room temperature values for ideality factor and barrier height were found to be 2.9 and 0.60 eV respectively indicating the inhomogenity in the barrier heights of grown samples. The Richardson plot and ideality factor verses barrier height graph were also drawn to verified the discontinuity between Au and ZnO. This barrier height inhomogenity was explained by applying Gaussian distribution model. The extrapolation of the linear Φ ap (n) plot to n= 1 has given a homogeneous barrier height of approximately 1.1 eV. Φ ap versus 1/T plot was drawn to obtain the values of mean barrier height for Au/ZnO/Si Schottky diode (1.1 eV) and standard deviation(δ s ) (0.02 V) at zero bais
International Nuclear Information System (INIS)
Lin, Yow-Jon; Zeng, Jian-Jhou
2014-01-01
Highlights: • The interface characteristics of graphene/n-type Si devices are measured. • The actual work function of graphene is examined with the Kelvin probe. • An analysis is conducted according to the Schottky–Mott limit. • The Fermi energy level at the graphene/n-type Si interfaces is unpinned. • The Schottky barrier value is dependent on the work function of graphene. - Abstract: The interface characteristics of graphene/n-type Si samples using X-ray photoelectron spectroscopy (XPS) measurements are investigated. XPS makes it possible to extract a reliable Schottky barrier value. For graphene/n-type Si samples with (without) sulfide treatment, the Schottky barrier height is 0.86 (0.78) eV. The Schottky barrier height was increased from 0.78 to 0.86 eV, indicating that sulfide treatment is effective in passivating the surface of Si (owing to the formation of Si–S bonds). To determine the Fermi-level pinning/unpinning at the graphene/n-type Si interfaces with sulfide treatment, an analysis is conducted according to the Schottky–Mott limit and the actual work function of graphene is examined with the Kelvin probe. It is shown that the Fermi energy level is unpinned and the Schottky barrier value is dependent on the work function of graphene. Investigation of graphene/n-type Si interfaces is important, and providing the other technique for surface potential control is possible
Shtepliuk, Ivan; Eriksson, Jens; Khranovskyy, Volodymyr; Iakimov, Tihomir; Lloyd Spetz, Anita; Yakimova, Rositsa
2016-01-01
A vertical diode structure comprising homogeneous monolayer epitaxial graphene on silicon carbide is fabricated by thermal decomposition of a Si-face 4H-SiC wafer in argon atmosphere. Current-voltage characteristics of the graphene/SiC Schottky junction were analyzed by applying the thermionic-emission theory. Extracted values of the Schottky barrier height and the ideality factor are found to be 0.4879 ± 0.013 eV and 1.01803 ± 0.0049, respectively. Deviations of these parameters from average values are smaller than those of previously observed literature data, thereby implying uniformity of the Schottky barrier height over the whole diode area, a stable rectifying behaviour and a good quality of ohmic palladium-graphene contacts. Keeping in mind the strong sensitivity of graphene to analytes we propose the possibility to use the graphene/SiC Schottky diode as a sensing platform for the recognition of toxic heavy metals. Using density functional theory (DFT) calculations we gain insight into the nature of the interaction of cadmium, mercury and lead with graphene as well as estimate the work function and the Schottky barrier height of the graphene/SiC structure before and after applying heavy metals to the sensing material. A shift of the I - V characteristics of the graphene/SiC-based sensor has been proposed as an indicator of presence of the heavy metals. Since the calculations suggested the strongest charge transfer between Pb and graphene, the proposed sensing platform was characterized by good selectivity towards lead atoms and slight interferences from cadmium and mercury. The dependence of the sensitivity parameters on the concentration of Cd, Hg and Pb is studied and discussed.
Directory of Open Access Journals (Sweden)
Ivan Shtepliuk
2016-11-01
Full Text Available A vertical diode structure comprising homogeneous monolayer epitaxial graphene on silicon carbide is fabricated by thermal decomposition of a Si-face 4H-SiC wafer in argon atmosphere. Current–voltage characteristics of the graphene/SiC Schottky junction were analyzed by applying the thermionic-emission theory. Extracted values of the Schottky barrier height and the ideality factor are found to be 0.4879 ± 0.013 eV and 1.01803 ± 0.0049, respectively. Deviations of these parameters from average values are smaller than those of previously observed literature data, thereby implying uniformity of the Schottky barrier height over the whole diode area, a stable rectifying behaviour and a good quality of ohmic palladium–graphene contacts. Keeping in mind the strong sensitivity of graphene to analytes we propose the possibility to use the graphene/SiC Schottky diode as a sensing platform for the recognition of toxic heavy metals. Using density functional theory (DFT calculations we gain insight into the nature of the interaction of cadmium, mercury and lead with graphene as well as estimate the work function and the Schottky barrier height of the graphene/SiC structure before and after applying heavy metals to the sensing material. A shift of the I–V characteristics of the graphene/SiC-based sensor has been proposed as an indicator of presence of the heavy metals. Since the calculations suggested the strongest charge transfer between Pb and graphene, the proposed sensing platform was characterized by good selectivity towards lead atoms and slight interferences from cadmium and mercury. The dependence of the sensitivity parameters on the concentration of Cd, Hg and Pb is studied and discussed.
A simulation study of 6H-SiC Schottky barrier source/drain MOSFET
International Nuclear Information System (INIS)
Wang Yuan; Zhang Yimen; Zhang Yuming; Tang Xiaoyan
2003-01-01
A novel SiC metal-oxide-semiconductor field-effect transistor (SiC SBSD-MOSFET) with Schottky barrier contacts for source and drain is presented in this paper. This kind of device gives a fabrication advantage of avoiding the steps of ion implantation and annealing at high temperatures of the conventional SiC MOSFET. Also it has no problems of crystal damage caused by ion implantation and low activation rate of implanted atoms. The operational mechanism of this device is analyzed and its characteristics are comparable to the conventional SiC MOSFET from the simulation with MEDICI. The effects of different metal workfunctions, oxide thickness, and gate length on the device performance are discussed
Energy Technology Data Exchange (ETDEWEB)
Mamor, M; Sellai, A; Bouziane, K; Harthi, S H Al; Busaidi, M Al; Gard, F S [Physics Department, Sultan Qaboos University, PO Box 36 Muscat 123, Sultanate of (Oman)
2007-03-07
Current-voltage (I-V) and capacitance-voltage (C-V) characteristics of He-ion irradiated Pd/n-Si{sub 09}Ge{sub 0.10} Schottky contacts have been measured in the temperature range from 100 to 300 K. Schottky barrier properties such as the Schottky barrier height ({phi}{sub bn}) and ideality factor (n) have been studied as a function of temperature. The degree to which their characteristics deviated from the ideal case increased as the temperature decreased. A decrease in {phi}{sub bn} and an increase in n with decreasing temperature are observed. Additionally, linear dependence between the so-called temperature factor T{sub 0} and temperature as well as between {phi}{sub bn} and n are shown. This type of strong temperature dependence indicates the presence of a large degree of lateral inhomogeneities of the barrier height, resulting from the He-ion irradiation induced defects and traps which produce a variation in the number of free carriers. The presence of electrically active defects introduced by He-ion irradiation at and below the Si{sub 0.90}Ge{sub 0.10} surface support this interpretation.
Effect of Barrier Metal Based on Titanium or Molybdenum in Characteristics of 4H-SiC Schottky Diodes
Directory of Open Access Journals (Sweden)
M. Ben Karoui
2014-05-01
Full Text Available The electrical properties were extracted by I-V and C-V analysis, performed from 10 K to 450 K. When the annealing temperature varied to 400 °C, the Schottky barrier height (SBH increased from 0.85 Ev to 1.20 eV in Ti/4H-SiC whereas in the Mo/4H-SiC the SBH varied from 1.04 eV to 1.10 eV. Deformation of J-V-T characteristics was observed in two types of devices when the temperature decreases from 300 K to 10 K. The electrical properties and the stability of the devices have been correlated to the fabrication processes and to the metal/semiconductor interfaces. Mo-based contacts show better behaviour in forward polarization when compared to the Ti-based Schottky contacts, with ideality factors close to the unity even after the annealing process. However, Mo-based contacts show leakage currents higher than that measured on the more optimized Ti-based Schottky.
Energy Technology Data Exchange (ETDEWEB)
Oezdemir, Orhan [Yildiz Technical University, Department of Physics, Esenler, istanbul (Turkey)
2009-02-15
PECVD grown boron nitride (BN) on crystalline silicon (c-Si) semiconductor was investigated by admittance measurement in the form of metal/insulator/semiconductor (MIS) structure. Apart from well-known regimes of traditional MOS structure, gradual bypassing of depletion layer was observed once ambient temperature (frequency) increased (decreased). Such an anomalous behavior was interpreted through modulations of charges located within BN film and/or at the interfacial layer of BN film/c-Si junction in terms of weighted average concept. (author)
Room temperature current-voltage (I-V) characteristics of Ag/InGaN/n-Si Schottky barrier diode
Energy Technology Data Exchange (ETDEWEB)
Erdoğan, Erman, E-mail: e.erdogan@alparslan.edu.tr [Department of Physics, Faculty of Art and Science, Muş Alparslan University, Muş 49250 (Turkey); Kundakçı, Mutlu [Department of Physics, Faculty of Science, Atatürk University, Erzurum 25240 (Turkey)
2017-02-01
Metal-semiconductors (MSs) or Schottky barrier diodes (SBDs) have a significant potential in the integrated device technology. In the present paper, electrical characterization of Ag/InGaN/n-Si Schottky diode have been systematically carried out by simple Thermionic method (TE) and Norde function based on the I-V characteristics. Ag ohmic and schottky contacts are deposited on InGaN/n-Si film by thermal evaporation technique under a vacuum pressure of 1×10{sup −5} mbar. Ideality factor, barrier height and series resistance values of this diode are determined from I-V curve. These parameters are calculated by TE and Norde methods and findings are given in a comparetive manner. The results show the consistency for both method and also good agreement with other results obtained in the literature. The value of ideality factor and barrier height have been determined to be 2.84 and 0.78 eV at room temperature using simple TE method. The value of barrier height obtained with Norde method is calculated as 0.79 eV.
Room temperature current-voltage (I-V) characteristics of Ag/InGaN/n-Si Schottky barrier diode
Erdoğan, Erman; Kundakçı, Mutlu
2017-02-01
Metal-semiconductors (MSs) or Schottky barrier diodes (SBDs) have a significant potential in the integrated device technology. In the present paper, electrical characterization of Ag/InGaN/n-Si Schottky diode have been systematically carried out by simple Thermionic method (TE) and Norde function based on the I-V characteristics. Ag ohmic and schottky contacts are deposited on InGaN/n-Si film by thermal evaporation technique under a vacuum pressure of 1×10-5 mbar. Ideality factor, barrier height and series resistance values of this diode are determined from I-V curve. These parameters are calculated by TE and Norde methods and findings are given in a comparetive manner. The results show the consistency for both method and also good agreement with other results obtained in the literature. The value of ideality factor and barrier height have been determined to be 2.84 and 0.78 eV at room temperature using simple TE method. The value of barrier height obtained with Norde method is calculated as 0.79 eV.
Liu, Biao; Zhao, Yu-Qing; Yu, Zhuo-Liang; Wang, Lin-Zhi; Cai, Meng-Qiu
2018-03-01
It was still a great challenge to design high performance of rectification characteristic for the rectifier diode. Lately, a new approach was proposed experimentally to tune the Schottky barrier height (SBH) by inserting an ultrathin insulated tunneling layer to form metal-insulator-semiconductor (MIS) heterostructures. However, the electronic properties touching off the high performance of these heterostructures and the possibility of designing more efficient applications for the rectifier diode were not presently clear. In this paper, the structural, electronic and interfacial properties of the novel MIS diode with the graphene/hexagonal boron nitride/monolayer molybdenum disulfide (GBM) heterostructure had been investigated by first-principle calculations. The calculated results showed that the intrinsic properties of graphene and MoS 2 were preserved due to the weak van der Waals contact. The height of interfacial Schottky barrier can be tuned by the different thickness of hBN layers. In addition, the GBM Schottky diode showed more excellent rectification characteristic than that of GM Schottky diode due to the interfacial band bending caused by the epitaxial electric field. Based on the electronic band structure, we analyzed the relationship between the electronic structure and the nature of the Schottky rectifier, and revealed the potential of utilizing GBM Schottky diode for the higher rectification characteristic devices. Copyright © 2017 Elsevier Inc. All rights reserved.
An X-band Schottky diode mixer in SiGe technology with tunable Marchand balun
DEFF Research Database (Denmark)
Michaelsen, Rasmus Schandorph; Johansen, Tom Keinicke; Tamborg, Kjeld M.
2017-01-01
In this paper, we propose a double balanced mixer with a tunable Marchand balun. The circuit is designed in a SiGe BiCMOS process using Schottky diodes. The tunability of the Marchand balun is used to enhance critical parameters for double balanced mixers. The local oscillator-IF isolation can...
Spatial inhomogeneous barrier heights at graphene/semiconductor Schottky junctions
Tomer, Dushyant
Graphene, a semimetal with linear energy dispersion, forms Schottky junction when interfaced with a semiconductor. This dissertation presents temperature dependent current-voltage and scanning tunneling microscopy/spectroscopy (STM/S) measurements performed on graphene Schottky junctions formed with both three and two dimensional semiconductors. To fabricate Schottky junctions, we transfer chemical vapor deposited monolayer graphene onto Si- and C-face SiC, Si, GaAs and MoS2 semiconducting substrates using polymer assisted chemical method. We observe three main type of intrinsic spatial inhomogeneities, graphene ripples, ridges and semiconductor steps in STM imaging that can exist at graphene/semiconductor junctions. Tunneling spectroscopy measurements reveal fluctuations in graphene Dirac point position, which is directly related to the Schottky barrier height. We find a direct correlation of Dirac point variation with the topographic undulations of graphene ripples at the graphene/SiC junction. However, no such correlation is established at graphene/Si and Graphene/GaAs junctions and Dirac point variations are attributed to surface states and trapped charges at the interface. In addition to graphene ripples and ridges, we also observe atomic scale moire patterns at graphene/MoS2 junction due to van der Waals interaction at the interface. Periodic topographic modulations due to moire pattern do not lead to local variation in graphene Dirac point, indicating that moire pattern does not contribute to fluctuations in electronic properties of the heterojunction. We perform temperature dependent current-voltage measurements to investigate the impact of topographic inhomogeneities on electrical properties of the Schottky junctions. We observe temperature dependence in junction parameters, such as Schottky barrier height and ideality factor, for all types of Schottky junctions in forward bias measurements. Standard thermionic emission theory which assumes a perfect
Fabrication and electrical properties of organic-on-inorganic Schottky devices
International Nuclear Information System (INIS)
Guellue, Oe; Biber, M; Tueruet, A; Cankaya, M
2008-01-01
In this paper, we fabricated an Al/new fuchsin/p-Si organic-inorganic (OI) Schottky diode structure by direct evaporation of an organic compound solution on a p-Si semiconductor wafer. A direct optical band gap energy value of the new fuchsin organic film on a glass substrate was obtained as 1.95 eV. Current-voltage (I-V) and capacitance-voltage (C-V) measurements of the OI device were carried out at room temperature. From the I-V characteristics, it was seen that the Al/new fuchsin/p-Si contacts showed good rectifying behavior. An ideality factor value of 1.47 and a barrier height (BH) value of 0.75 eV for the Al/new fuchsin/p-Si contact were determined from the forward bias I-V characteristics. A barrier height value of 0.78 eV was obtained from the capacitance-voltage (C-V) characteristics. It has been seen that the BH value of 0.75 eV obtained for the Al/new fuchsin/p-Si contact is significantly larger than that of conventional Al/p-Si Schottky metal-semiconductor (MS) diodes. Thus, modification of the interfacial potential barrier for Al/p-Si diodes has been achieved using a thin interlayer of the new fuchsin organic semiconductor; this has been ascribed to the fact that the new fuchsin interlayer increases the effective barrier height because of the interface dipole induced by passivation of the organic layer
Flexible IGZO Schottky diodes on paper
Kaczmarski, Jakub; Borysiewicz, Michał A.; Piskorski, Krzysztof; Wzorek, Marek; Kozubal, Maciej; Kamińska, Eliana
2018-01-01
With the development of novel device applications, e.g. in the field of robust and recyclable paper electronics, came an increased demand for the understanding and control of IGZO Schottky contact properties. In this work, a fabrication and characterization of flexible Ru-Si-O/IGZO Schottky barriers on paper is presented. It is found that an oxygen-rich atomic composition and microstructure of Ru-Si-O containing randomly oriented Ru inclusions with diameter of 3-5 nm embedded in an amorphous SiO2 matrix are effective in preventing interfacial reactions in the contact region, allowing to avoid pre-treatment of the semiconductor surface and fabricate reliable diodes at room temperature characterized by Schottky barrier height and ideality factor equal 0.79 eV and 2.13, respectively.
Temperature-Dependent Electrical Characteristics of Au/Si3N4/4H n-SiC MIS Diode
Yigiterol, F.; Güllü, H. H.; Bayraklı, Ö.; Yıldız, D. E.
2018-03-01
Electrical characteristics of the Au/Si3N4/4H n-SiC metal-insulator-semiconductor (MIS) diode were investigated under the temperature, T , interval of 160-400 K using current-voltage (I-V), capacitance-voltage ( C {-} V ) and conductance-voltage ( G/ω {-} V ) measurements. Firstly, the Schottky diode parameters as zero-bias barrier height ( Φ_{B0} ) and ideality factor ( n ) were calculated according to the thermionic emission (TE) from forward bias I-V analysis in the whole working T . Experimental results showed that the values of Φ_{B0} were in increasing behavior with increasing T while n values decreased with inverse proportionality in n versus Φ_{{{{B}}0}} plot. Therefore, the non-ideal I-V behavior with inhomogeneous barrier height (BH) formation has been discussed under the assumption of Gaussian distribution (GD). From the GD of BHs, the mean BH was found to be about 1.40 eV with 0.1697 standard deviation and the modified Richardson constant A^{*} of this diode was obtained as 141.65 A/cm2 K2 in good agreement with the literature (the theoretical value of A^{*} is 137.21 A/cm2 K2). The relationship between Φ_{B0} and n showed an abnormal I-V behavior depending on T , and it was modeled by TE theory with GD of BH due to the effect in inhomogeneous BH at the interface. Secondly, according to Cheung's model, series resistance, R_{{S}} values were calculated in the T range of 160-400 K and these values were found to decrease with increasing T . Finally, the density of interface states, D_{{it}} was calculated and the T dependence of energy distribution of D_{{it}} profiles determined the forward I {-} V measurements by taking into account the bias dependence of the effective BH, Φ_{{e}} and n . D_{{it}} were also calculated according to the Hill-Coleman method from C {-} V and G/ω {-} V analysis. Furthermore, the variation of D_{{it}} as a function of frequency, f and T were determined.
International Nuclear Information System (INIS)
Kim, Jihyun; Ren, F.; Chung, G.Y.; MacMillan, M.F.; Baca, A.G.; Briggs, R.D.; Schoenfeld, D.; Pearton, S.J.
2004-01-01
SiC Schottky rectifiers with moderate breakdown voltages of ∼450 V and with either WSi X or Ni rectifying contacts were irradiated with Co-60 γ-rays to doses up to ∼315 Mrad. The Ni/SiC rectifiers show severe reaction of the contact after irradiation at the highest dose, badly degrading the forward current characteristics and increasing the on-state resistance by up to a factor of 6 after irradiation. By sharp contrast, the WSi X /SiC devices show little deterioration of the contact with the same conditions and changes in on-state resistance of X contacts appear promising for applications requiring improved contact stability
Demonstration of a 4H SiC betavoltaic nuclear battery based on Schottky barrier diode
International Nuclear Information System (INIS)
Qiao Dayong; Yuan Weizheng; Gao Peng; Yao Xianwang; Zang Bo; Zhang Lin; Guo Hui; Zhang Hongjian
2008-01-01
A 4H SiC betavoltaic nuclear battery is demonstrated. A Schottky barrier diode is utilized for carrier separation. Under illumination of Ni-63 source with an apparent activity of 4 mCi/cm 2 an open circuit voltage of 0.49 V and a short circuit current density of 29.44 nA/cm 2 are measured. A power conversion efficiency of 1.2% is obtained. The performance of the device is limited by low shunt resistance, backscattering and attenuation of electron energy in air and Schottky electrode. It is expected to be significantly improved by optimizing the design and processing technology of the device. (authors)
Demonstration of a 4H SiC Betavoltaic Nuclear Battery Based on Schottky Barrier Diode
International Nuclear Information System (INIS)
Da-Yong, Qiao; Wei-Zheng, Yuan; Peng, Gao; Xian-Wang, Yao; Bo, Zang; Lin, Zhang; Hui, Guo; Hong-Jian, Zhang
2008-01-01
A 4H SiC betavoltaic nuclear battery is demonstrated. A Schottky barrier diode is utilized for carrier separation. Under illumination of Ni-63 source with an apparent activity of 4 mCi/cm 2 an open circuit voltage of 0.49 V and a short circuit current density of 29.44 nA/cm 2 are measured. A power conversion efficiency of 1.2% is obtained. The performance of the device is limited by low shunt resistance, backscattering and attenuation of electron energy in air and Schottky electrode. It is expected to be significantly improved by optimizing the design and processing technology of the device
The distribution of the barrier height in Al–TiW–Pd2Si/n-Si Schottky diodes from I–V–T measurements
International Nuclear Information System (INIS)
Dökme, Ilbilge; Altındal, Şemsettin; Afandiyeva, Izzet M
2008-01-01
The forward and reverse bias current–voltage (I–V) characteristics of Al–TiW–Pd 2 Si/n-Si Schottky barrier diodes (SBDs) were measured in the temperature range of 300–400 K. The estimated zero-bias barrier height Φ B0 and the ideality factor n assuming thermionic emission (TE) theory show a strong temperature dependence. While n decreases, Φ B0 increases with increasing temperature. The Richardson plot is found to be linear in the temperature range measured, but the activation energy value of 0.378 eV and the Richardson constant (A*) value of 15.51 A cm −2 K −2 obtained in this plot are much lower than the known values. Such behavior is attributed to Schottky barrier inhomogeneities by assuming a Gaussian distribution of barrier heights (BHs) due to BH inhomogeneities that prevail at the interface. Also, the Φ B0 versus q/2kT plot was drawn to obtain evidence of a Gaussian distribution of the BHs, and Φ B0 = 0.535 eV and σ 0 = 0.069 V for the mean BH and zero-bias standard deviation, respectively, have been obtained from this plot. Thus, the modified ln(I 0 /T 2 ) − q 2 σ 2 0 /2k 2 T 2 versus q/kT plot gives Φ B0 and A* as 0.510 eV and 121.96 A cm −2 K −2 , respectively. This value of the Richardson constant 121.96 A cm −2 K −2 is very close to the theoretical value of 120 A K −2 cm −2 for n-type Si. Hence, it has been concluded that the temperature dependence of the forward I–V characteristics of the Al–TiW–Pd 2 Si/n-Si Schottky barrier diodes can be successfully explained on the basis of a thermionic emission mechanism with a Gaussian distribution of the BHs
High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric
Energy Technology Data Exchange (ETDEWEB)
Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr [INN, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos, Aghia Paraskevi, 153 10 Athens (Greece); Botzakaki, M. A.; Georga, S. N. [Department of Physics, University of Patras, 26 504 Rion (Greece)
2016-06-28
High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltage (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.
High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric
International Nuclear Information System (INIS)
Leontis, I.; Nassiopoulou, A. G.; Botzakaki, M. A.; Georga, S. N.
2016-01-01
High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al_2O_3/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm"2. Capacitance-voltage (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.
Mis-diode as a low-energy X- and γ-ray spectrometer
International Nuclear Information System (INIS)
Konova, A.
1980-01-01
Considered are main peculiarities of apparata called MIS-diods having metal-thin isolating semiconductor structure and used as detectors of low-energy gamma and X-ray radiation. Discussed are advantages of tunnel MIS-diods based on non-primitive carriers. Presented are results of experimental measurements carried out using system of metal-silion oxide-silicon with the oxide layer width of 10-25 A (silicon with acceptor concentration of 10 19 m -3 ). Data presented show that MIS-diods can be considered as diods with p-n - transition in which n + - region is an inversion layer near the semiconductor surface, and further a leant region is situated. When voltage is applied only the depth of the leant region changes. In case of high quality diods the leakage currents are very small. Results of the investigation performed show that MIS-diods with oxide film wiolth of 10-22 A (the film covering p-silicon with high specific resistance) can be used as spectrometers of low-energy photons having particularly high energetic solution at room temperature. An advantage of new diods is the reverse current significantly lower in comparison with that of usual detectors with the Schottky barrier
Electrical characterization of Au/ZnO/Si Schottky contact
International Nuclear Information System (INIS)
Asghar, M; Mahmood, K; Faisal, M; Hasan, M A
2013-01-01
In this study, temperature dependent current-voltage (I-V) and capacitance-voltage (C-V) measurements have been performed on Au/ZnO/Si Schottky barrier diode in the range 150 – 400K. The room temperature values for ideality factor and barrier height found to be 2.68 and 0.68 eV respectively. From the temperature dependence of I–V, the ideality factor was observed to decrease with increasing temperature and barrier height increased with increasing temperature. The observed barrier height trend was disagreeing with the negative temperature coefficient for semiconductor. A deep defect with activation energy 0.57 eV below the conduction band was observed using the saturation current plot and deep level transient spectroscopy.
Energy Technology Data Exchange (ETDEWEB)
Guo, X.; Pei, D.; Zheng, H.; Shohet, J. L. [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States); Lin, Y.-H.; Fung, H.-S.; Chen, C.-C. [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Nishi, Y. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)
2015-12-07
The band alignment between copper interconnects and their low-k interlayer dielectrics is critical to understanding the fundamental mechanisms involved in electrical leakage in low-k/Cu interconnects. In this work, vacuum-ultraviolet (VUV) photoemission spectroscopy is utilized to determine the potential of the Schottky barrier present at low-k a-SiOC:H/Cu interfaces. By examining the photoemission spectra before and after VUV exposure of a low-k a-SiOC:H (k = 3.3) thin film fabricated by plasma-enhanced chemical-vapor deposition on a polished Cu substrate, it was found that photons with energies of 4.9 eV or greater can deplete accumulated charge in a-SiOC:H films, while VUV photons with energies of 4.7 eV or less, did not have this effect. These critical values were identified to relate the electric potential of the interface barrier between the a-SiOC:H and the Cu layers. Using this method, the Schottky barrier at the low-k a-SiOC:H (k = 3.3)/Cu interface was determined to be 4.8 ± 0.1 eV.
International Nuclear Information System (INIS)
Selcuk, A.B.
2004-01-01
The current-voltage (I-V) measurements on Ag/p-Si Schottky barrier diodes in the temperature range 125-300 K were carried out. The experimental values of n and Φ b 0 were determined from intercepts and slopes of the forward bias In I-V plot at each temperature. The Φ b 0 and n determined from semilog-forwaid I- V plots were found to be a strong function of temperature. The ideality factor n was found to increase, while the Φ b 0 decrease with decreasing temperature. The flat-band barrier height Φ b f and series resistance R s are also determined from the I-V measurements. Furthermore, the diffusion potential V D , experimental carrier doping density N A , Fermi level E F and barrier height Φ C V are determined from the C- V measurements. It is shown that the values of R s estimated from Cheung's method were strongly temperature dependent decreased with increasing temperature
Tunneling Characteristics Depending on Schottky Barriers and Diffusion Current in SiOC.
Oh, Teresa; Kim, Chy Hyung
2016-02-01
To obtain a diffusion current in SiOC, the aluminum doped zinc oxide films were deposited on SiOC/Si wafer by a RF magnetron sputtering. All the X-ray patterns of the SiOC films showed amorphous phases. The level of binding energy of Si atoms will lead to an additional potential modulation by long range Coulombic and covalent interactions with oxygen ions. The growth of the AZO film was affected by the characteristics of SiOC, resulting in similar trends in XPS spectra and a shift to higher AZO lattice d values than the original AZO d values in XRD analyses. The charges trapped by the defects at the interlayer between AZO and SiOC films induced the decreased mobility of carriers. In the absence of trap charges, AZO grown on SiOC film such as the sample prepared at O2 = 25 or 30 sccm, which has low charge carrier concentration and high mobility, showed high mobility in an ambipolar characteristic of oxide semiconductor due to the tunneling effect and diffusion current. The structural matching of an interface between AZO and amorphous SiOC enhanced the height of Schottky Barrier (SB), and then the mobility was increased by the tunneling effect from band to band through the high SB.
Interface Si donor control to improve dynamic performance of AlGaN/GaN MIS-HEMTs
Song, Liang; Fu, Kai; Zhang, Zhili; Sun, Shichuang; Li, Weiyi; Yu, Guohao; Hao, Ronghui; Fan, Yaming; Shi, Wenhua; Cai, Yong; Zhang, Baoshun
2017-12-01
In this letter, we have studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) with different interface Si donor incorporation which is tuned during the deposition process of LPCVD-SiNx which is adopted as gate dielectric and passivation layer. Current collapse of the MIS-HEMTs without field plate is suppressed more effectively by increasing the SiH2Cl2/NH3 flow ratio and the normalized dynamic on-resistance (RON) is reduced two orders magnitude after off-state VDS stress of 600 V for 10 ms. Through interface characterization, we have found that the interface deep-level traps distribution with high Si donor incorporation by increasing the SiH2Cl2/NH3 flow ratio is lowered. It's indicated that the Si donors are most likely to fill and screen the deep-level traps at the interface resulting in the suppression of slow trapping process and the virtual gate effect. Although the Si donor incorporation brings about the increase of gate leakage current (IGS), no clear degradation of breakdown voltage can be seen by choosing appropriate SiH2Cl2/NH3 flow ratio.
Energy Technology Data Exchange (ETDEWEB)
Park, Jinjoo; Dao, Vinh Ai [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Shin, Chonghoon [Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Park, Hyeongsik [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Minbum; Jung, Junhee [Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Doyoung [School of Electricity and Electronics, Ulsan College West Campus, Ulsan 680-749 (Korea, Republic of); Yi, Junsin, E-mail: yi@yurim.skku.ac.kr [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)
2013-11-01
Amorphous silicon based (a-Si:H-based) solar cells with a buffer-layer/boron doped hydrogenated amorphous silicon oxide (a-SiO{sub x}:H(p)) window-layer were fabricated and investigated. In the first part, in order to reduce the Schottky barrier height at the fluorine doped tin oxide (FTO)/a-SiO{sub x}:H(p) window-layer heterointerface, we have used buffer-layer/a-SiO{sub x}:H(p) for the window-layer, in which boron doped hydrogenated amorphous silicon (a-Si:H(p)) or boron doped microcrystalline silicon (μc-Si:H(p)) is introduced as a buffer layer between the a-SiO{sub x}:H(p) and FTO of the a-Si:H-based solar cells. The a-Si:H-based solar cell using a μc-Si:H(p) buffer-layer shows the highest efficiency compared to the optimized bufferless, and a-Si:H(p) buffer-layer in the a-Si:H-based solar cells. This highest performance was attributed not only to the lower absorption of the μc-Si:H(p) buffer-layer but also to the lower Schottky barrier height at the FTO/window-layer interface. Then, we present the dependence of the built-in potential (V{sub bi}) and blue response of the devices on the inversion of activation energy (ξ) of the a-SiO{sub x}:H(p), in the μc-Si:H(p)/a-SiO{sub x}:H(p) window-layer. The enhancement of both V{sub bi} and blue response is observed, by increasing the value of ξ. The improvement of V{sub bi} and blue response can be ascribed to the enlargement of the optical gap of a-SiO{sub x}:H(p) films in the μc-Si:H(p)/a-SiO{sub x}:H(p) window-layer. Finally, the conversion efficiency was increased by 22.0%, by employing μc-Si:H(p) as a buffer-layer and raising the ξ of the a-SiO{sub x}:H(p), compared to the optimized bufferless case, with a 10 nm-thick a-SiO{sub x}:H(p) window-layer. - Highlights: • Low Schottky barrier height benefits fill factor, and open-circuit voltage (V{sub oc}). • High band gap is beneficial for short-circuit current density (J{sub sc}). • Boron doped microcrystalline silicon is a suitable buffer-layer for
High-temperature current conduction through three kinds of Schottky diodes
International Nuclear Information System (INIS)
Fei, Li; Xiao-Ling, Zhang; Yi, Duan; Xue-Song, Xie; Chang-Zhi, Lü
2009-01-01
Fundamentals of the Schottky contacts and the high-temperature current conduction through three kinds of Schottky diodes are studied. N-Si Schottky diodes, GaN Schottky diodes and AlGaN/GaN Schottky diodes are investigated by I–V–T measurements ranging from 300 to 523 K. For these Schottky diodes, a rise in temperature is accompanied with an increase in barrier height and a reduction in ideality factor. Mechanisms are suggested, including thermionic emission, field emission, trap-assisted tunnelling and so on. The most remarkable finding in the present paper is that these three kinds of Schottky diodes are revealed to have different behaviours of high-temperature reverse currents. For the n-Si Schottky diode, a rise in temperature is accompanied by an increase in reverse current. The reverse current of the GaN Schottky diode decreases first and then increases with rising temperature. The AlGaN/GaN Schottky diode has a trend opposite to that of the GaN Schottky diode, and the dominant mechanisms are the effects of the piezoelectric polarization field and variation of two-dimensional electron gas charge density. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Interface Si donor control to improve dynamic performance of AlGaN/GaN MIS-HEMTs
Directory of Open Access Journals (Sweden)
Liang Song
2017-12-01
Full Text Available In this letter, we have studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs with different interface Si donor incorporation which is tuned during the deposition process of LPCVD-SiNx which is adopted as gate dielectric and passivation layer. Current collapse of the MIS-HEMTs without field plate is suppressed more effectively by increasing the SiH2Cl2/NH3 flow ratio and the normalized dynamic on-resistance (RON is reduced two orders magnitude after off-state VDS stress of 600 V for 10 ms. Through interface characterization, we have found that the interface deep-level traps distribution with high Si donor incorporation by increasing the SiH2Cl2/NH3 flow ratio is lowered. It’s indicated that the Si donors are most likely to fill and screen the deep-level traps at the interface resulting in the suppression of slow trapping process and the virtual gate effect. Although the Si donor incorporation brings about the increase of gate leakage current (IGS, no clear degradation of breakdown voltage can be seen by choosing appropriate SiH2Cl2/NH3 flow ratio.
Particle mis-identification rate algorithm for the CLIC ILD and CLIC SiD detectors
Nardulli, J
2011-01-01
This note describes the algorithm presently used to determine the particle mis- identification rate and gives results for single particles for the CLIC ILD and CLIC SiD detector concepts as prepared for the CLIC Conceptual Design Report.
Modeling of 4H—SiC multi-floating-junction Schottky barrier diode
International Nuclear Information System (INIS)
Hong-Bin, Pu; Lin, Cao; Zhi-Ming, Chen; Jie, Ren; Ya-Gong, Nan
2010-01-01
This paper develops a new and easy to implement analytical model for the specific on-resistance and electric field distribution along the critical path for 4H—SiC multi-floating junction Schottky barrier diode. Considering the charge compensation effects by the multilayer of buried opposite doped regions, it improves the breakdown voltage a lot in comparison with conventional one with the same on-resistance. The forward resistance of the floating junction Schottky barrier diode consists of several components and the electric field can be understood with superposition concept, both are consistent with MEDICI simulation results. Moreover, device parameters are optimized and the analyses show that in comparison with one layer floating junction, multilayer of floating junction layer is an effective way to increase the device performance when specific resistance and the breakdown voltage are traded off. The results show that the specific resistance increases 3.2 mΩ·cm 2 and breakdown voltage increases 422 V with an additional floating junction for the given structure. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
International Nuclear Information System (INIS)
Li Gui-fang; Hu Jing; Lv Hui; Cui Zhijun; Hou Xiaowei; Liu Shibin; Du Yongqian
2016-01-01
We demonstrate that the insertion of a graphene tunnel barrier between Heusler alloy Co 2 MnSi and the germanium (Ge) channel modulates the Schottky barrier height and the resistance–area product of the spin diode. We confirm that the Fermi level is depinned and a reduction in the electron Schottky barrier height (SBH) occurs following the insertion of the graphene layer between Co 2 MnSi and Ge. The electron SBH is modulated in the 0.34 eV–0.61 eV range. Furthermore, the transport mechanism changes from rectifying to symmetric tunneling following the insertion. This behavior provides a pathway for highly efficient spin injection from a Heusler alloy into a Ge channel with high electron and hole mobility. (paper)
Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun
2017-08-01
In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.
Tuğluoğlu, N.; Karadeniz, S.; Yüksel, Ö. F.; Şafak, H.; Kuş, M.
2015-08-01
In this work, the perylene-monoimide/n-Si (100) Schottky structures have been fabricated by spin coating process. We have studied the capacitance-voltage ( C- V) and conductance-voltage ( G- V) characteristics of the Au/perylene-monoimide/n-Si diodes at 500 kHz before and after 60Co γ-ray irradiation. The effects of 60Co γ -ray irradiation on the electrical characteristics of a perylene-monoimide/n-Si Schottky diode have been investigated. A decrease both in the capacitance and conductance has been observed after 60Co γ -ray irradiation. This has been attributed to a decrease in the net ionized dopant concentration that occurred as a result of 60Co γ-ray irradiation. Some contact parameters such as barrier height (Φ B ) interface state density ( N ss ) and series resistance ( R s ) have been calculated from the C- V and G- V characteristics of the diode before and after irradiation. It has been observed that the Φ B and N ss values are decreased after the applied radiation, while the R s value is increased.
Omotoso, E.; Auret, F. D.; Igumbor, E.; Tunhuma, S. M.; Danga, H. T.; Ngoepe, P. N. M.; Taleatu, B. A.; Meyer, W. E.
2018-05-01
The effects of isochronal annealing on the electrical, morphological and structural characteristics of Au/Ni/4 H-SiC Schottky barrier diodes (SBDs) have been studied. Current-voltage ( I- V), capacitance-voltage ( C- V), deep-level transient spectroscopy, scanning electron microscope (SEM) and X-ray diffraction measurements were employed to study the thermal effect on the characteristics of the SBDs. Prior to thermal annealing of Schottky contacts, the I- V measurements results confirmed the good rectification behaviour with ideality factor of 1.06, Schottky barrier height of 1.20 eV and series resistance of 7 Ω. The rectification properties after annealing was maintained up to an annealing temperature of 500 °C, but deviated slightly above 500 °C. The uncompensated ionized donor concentration decreased with annealing temperature, which could be attributed to out-diffusion of the 4 H-SiC into the Au/Ni contacts and decrease in bonding due to formation of nickel silicides. We observed the presence of four deep-level defects with energies 0.09, 0.11, 0.16 and 0.65 eV below the conduction band before and after the isochronal annealing up to 600 °C. The conclusion drawn was that annealing did not affect the number of deep-level defects present in Au/Ni/4 H-SiC contacts. The variations in electrical properties of the devices were attributed to the phase transformations and interfacial reactions that occurred after isochronal annealing.
Particle detectors based on InP Schottky diodes
Czech Academy of Sciences Publication Activity Database
Yatskiv, Roman; Grym, Jan
2012-01-01
Roč. 10, č. 7 (2012), C100051-C100055 ISSN 1748-0221 R&D Projects: GA MŠk(CZ) OC10021; GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Particle detector * High purity InP layer * Schottky diode Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 1.869, year: 2011
Jordan, Jennifer L.; Ponchak, George E.; Spry, David J.; Neudeck, Philip G.
2018-01-01
Wireless sensors placed in high temperature environments, such as aircraft engines, are desirable to reduce the mass and complexity of routing wires. While communication with the sensors is straight forward, providing power wirelessly is still a challenge. This paper introduces an inductive wireless power transfer circuit incorporating SiC Schottky diodes and its operation from room temperature (25 C) to 500 C.
InGaAs/InP heteroepitaxial Schottky barrier diodes for terahertz applications
Bhapkar, Udayan V.; Li, Yongjun; Mattauch, Robert J.
1992-01-01
This paper explores the feasibility of planar, sub-harmonically pumped, anti-parallel InGaAs/InP heteroepitaxial Schottky diodes for terahertz applications. We present calculations of the (I-V) characteristics of such diodes using a numerical model that considers tunneling. We also present noise and conversion loss predictions of diode mixers operated at 500 GHz, and obtained from a multi-port mixer analysis, using the I-V characteristics predicted by our model. Our calculations indicate that InGaAs/InP heteroepitaxial Schottky barrier diodes are expected to have an I-V characteristic with an ideality factor comparable to that of GaAs Schottky diodes. However, the reverse saturation current of InGaAs/InP diodes is expected to be much greater than that of GaAs diodes. These predictions are confirmed by experiment. The mixer analyses predict that sub-harmonically pumped anti-parallel InGaAs/InP diode mixers are expected to offer a 2 dB greater conversion loss and a somewhat higher single sideband noise temperature than their GaAs counterparts. More importantly, the InGaAs/InP devices are predicted to require only one-tenth of the local oscillator power required by similar GaAs diodes.
International Nuclear Information System (INIS)
Reddy, V. Rajagopal; Reddy, M. Siva Pratap; Kumar, A. Ashok; Choi, Chel-Jong
2012-01-01
In the present work, thin film of polyvinyl alcohol (PVA) is fabricated on n-type InP substrate as an interfacial layer for electronic modification of Au/n-InP Schottky contact. The electrical characteristics of Au/PVA/n-InP Schottky diode are determined at annealing temperature in the range of 100–300 °C by current–voltage (I-V) and capacitance–voltage (C-V) methods. The Schottky barrier height and ideality factor (n) values of the as-deposited Au/PVA/n-InP diode are obtained at room temperature as 0.66 eV (I-V), 0.82 eV (C-V) and 1.32, respectively. Upon annealing at 200 °C in nitrogen atmosphere for 1 min, the barrier height value increases to 0.81 eV (I-V), 0.99 eV (C-V) and ideality factor decreases to 1.18. When the contact is annealed at 300 °C, the barrier height value decreases to 0.77 eV (I-V), 0.96 eV (C-V) and ideality factor increases to 1.22. It is observed that the interfacial layer of PVA increases the barrier height by the influence of the space charge region of the Au/n-InP Schottky junction. The discrepancy between Schottky barrier heights calculated from I-V and C-V measurements is also explained. Further, Cheung's functions are used to extract the series resistance of Au/PVA/n-InP Schottky diode. The interface state density as determined by Terman's method is found to be 1.04 × 10 12 and 0.59 × 10 12 cm −2 eV −1 for the as-deposited and 200 °C annealed Au/PVA/n-InP Schottky diodes. Finally, it is seen that the Schottky diode parameters changed with increase in the annealing temperature. - Highlights: ► Electrical properties of Au/polyvinyl alcohol (PVA)/n-InP structure have been studied. ► The Au/PVA/n-InP Schottky structure showed a good rectifying behavior. ► A maximum barrier height is obtained when the contact is annealed at 200 °C. ► Interface state density found to be 0.59 × 10 12 cm −2 eV −1 for 200 °C annealed contact. ► Significant effect of interface state density and series resistance on electrical
Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.
2017-06-01
In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.
Graphite based Schottky diodes formed semiconducting substrates
Schumann, Todd; Tongay, Sefaattin; Hebard, Arthur
2010-03-01
We demonstrate the formation of semimetal graphite/semiconductor Schottky barriers where the semiconductor is either silicon (Si), gallium arsenide (GaAs) or 4H-silicon carbide (4H-SiC). The fabrication can be as easy as allowing a dab of graphite paint to air dry on any one of the investigated semiconductors. Near room temperature, the forward-bias diode characteristics are well described by thermionic emission, and the extracted barrier heights, which are confirmed by capacitance voltage measurements, roughly follow the Schottky-Mott relation. Since the outermost layer of the graphite electrode is a single graphene sheet, we expect that graphene/semiconductor barriers will manifest similar behavior.
Gui-fang, Li; Jing, Hu; Hui, Lv; Zhijun, Cui; Xiaowei, Hou; Shibin, Liu; Yongqian, Du
2016-02-01
We demonstrate that the insertion of a graphene tunnel barrier between Heusler alloy Co2MnSi and the germanium (Ge) channel modulates the Schottky barrier height and the resistance-area product of the spin diode. We confirm that the Fermi level is depinned and a reduction in the electron Schottky barrier height (SBH) occurs following the insertion of the graphene layer between Co2MnSi and Ge. The electron SBH is modulated in the 0.34 eV-0.61 eV range. Furthermore, the transport mechanism changes from rectifying to symmetric tunneling following the insertion. This behavior provides a pathway for highly efficient spin injection from a Heusler alloy into a Ge channel with high electron and hole mobility. Project supported by the National Natural Science Foundation of China (Grant No. 61504107) and the Fundamental Research Funds for the Central Universities, China (Grant Nos. 3102014JCQ01059 and 3102015ZY043).
Junction barrier Schottky rectifier with an improved P-well region
International Nuclear Information System (INIS)
Wang Ying; Li Ting; Cao Fei; Shao Lei; Chen Yu-Xian
2012-01-01
A junction barrier Schottky (JBS) rectifier with an improved P-well on 4H—SiC is proposed to improve the V F —I R trade-off and the breakdown voltage. The reverse current density of the proposed JBS rectifier at 300 K and 800 V is about 3.3×10 −8 times that of the common JBS rectifier at no expense of the forward voltage drop. This is because the depletion layer thickness in the P-well region at the same reverse voltage is larger than in the P + grid, resulting in a lower spreading current and tunneling current. As a result, the breakdown voltage of the proposed JBS rectifier is over 1.6 kV, that is about 0.8 times more than that of the common JBS rectifier due to the uniform electric field. Although the series resistance of the proposed JBS rectifier is a little larger than that of the common JBS rectifier, the figure of merit (FOM) of the proposed JBS rectifier is about 2.9 times that of the common JBS rectifier. Based on simulating the values of susceptibility of the two JBS rectifiers to electrostatic discharge (ESD) in the human body model (HBM) circuits, the failure energy of the proposed JBS rectifier increases 17% compared with that of the common JBS rectifier. (interdisciplinary physics and related areas of science and technology)
High energy proton irradiation effects on SiC Schottky rectifiers
International Nuclear Information System (INIS)
Nigam, S.; Kim, Jihyun; Ren, F.; Chung, G.Y.; MacMillan, M.F.; Dwivedi, R.; Fogarty, T.N.; Wilkins, R.; Allums, K.K.; Abernathy, C.R.; Pearton, S.J.; Williams, J.R.
2002-01-01
4H-SiC Schottky rectifiers with dielectric overlap edge termination were exposed to 40 MeV protons at fluences from 5x10 7 -5x10 9 cm -2 . The reverse breakdown voltage decreased from ∼500 V in unirradiated devices to ∼-450 V after the highest proton dose. The reverse leakage current at -250 V was approximately doubled under these conditions. The forward current at -2 V decreased by ∼1% (fluence of 5x10 7 cm -2 ) to ∼42% (fluence of 5x10 9 cm -2 ), while the current at lower biases was increased due to the introduction of defect centers. The ideality factor, on-state resistance, and forward turn-on voltage showed modest increases for fluences of ≤5x10 8 cm -2 , but were more strongly affected (increase of 40%-75%) at the highest dose employed
Effect of defects on electrical properties of 4H-SiC Schottky diodes
International Nuclear Information System (INIS)
Ben Karoui, M.; Gharbi, R.; Alzaied, N.; Fathallah, M.; Tresso, E.; Scaltrito, L.; Ferrero, S.
2008-01-01
Most of power electronic circuits use power semiconductor switching devices which ideally present infinite resistance when off, zero resistance when on, and switch instantaneously between those two states. Switches and rectifiers are key components in power electronic systems, which cover a wide range of applications, from power transmission to control electronics and power supplies. Typical power switching devices such as diodes, thyristors, and transistors are based on a monocrystalline silicon semiconductor or silicon carbide. Silicon is less expensive, more widely used, and a more versatile processing material than silicon carbide. The silicon carbide (SiC) has properties that allow devices with high power voltage rating and high operating temperatures. The technology overcomes some crystal growth obstacles, by using the hydrogen in the fabrication of 4H-SiC wafers. The presence of structural defects on 4H-SiC wafers was shown by different techniques such as optical microscopy and scanning electron microscopy. The presence of different SiC polytypes inclusions was found by Raman spectroscopy. Schottky diodes were realized on investigated wafers in order to obtain information about the correlation between those defects and electrical properties of the devices. The diodes with voltage breakdown as 600 V and ideality factor as 1.05 were obtained and characterized after packaging
Examinations of Selected Thermal Properties of Packages of SiC Schottky Diodes
Directory of Open Access Journals (Sweden)
Bisewski Damian
2016-09-01
Full Text Available This paper describes the study of thermal properties of packages of silicon carbide Schottky diodes. In the paper the packaging process of Schottky diodes, the measuring method of thermal parameters, as well as the results of measurements are presented. The measured waveforms of transient thermal impedance of the examined diodes are compared with the waveforms of this parameter measured for commercially available Schottky diodes.
International Nuclear Information System (INIS)
Barış, Behzad
2013-01-01
Al/rubrene/p-Si Schottky diode has been fabricated by forming a rubrene layer on p type Si by using the spin coating method. The frequency dependent capacitance–voltage (C–V–f) and conductance–voltage (G–V–f) characteristics of Al/rubrene/p-Si Schottky diyotes has been investigated in the frequency range of 5 kHz–500 kHz at room temperature. The C–V plots show a peak for each frequency. The capacitance of the device decreased with increasing frequency. The decrease in capacitance results from the presence of interface states. The plots of series resistance–voltage (R s −V) gave a peak in the depletion region at all frequencies. The density of interface states (N ss ) and relaxation time (τ) distribution profiles as a function of applied voltage bias have been determined from the C–V and G–V measurements. The values of the N ss and τ have been calculated in the ranges of 8.37×10 11 –4.85×10 11 eV −1 cm −2 and 5.17×10 −6 –1.02×10 −5 s, respectively
Very low Schottky barrier height at carbon nanotube and silicon carbide interface
Energy Technology Data Exchange (ETDEWEB)
Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Suzuki, Kazuma; Shibuya, Megumi; Lee, Chih-Yu [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Masuda, Yoshiho; Tomatsu, Naoya; Norimatsu, Wataru; Kusunoki, Michiko [EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Hiraiwa, Atsushi [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Kawarada, Hiroshi [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); The Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)
2015-03-23
Electrical contacts to silicon carbide with low contact resistivity and high current durability are crucial for future SiC power devices, especially miniaturized vertical-type devices. A carbon nanotube (CNT) forest formed by silicon carbide (SiC) decomposition is a densely packed forest, and is ideal for use as a heat-dissipative ohmic contact in SiC power transistors. The contact resistivity and Schottky barrier height in a Ti/CNT/SiC system with various SiC dopant concentrations were evaluated in this study. Contact resistivity was evaluated in relation to contact area. The Schottky barrier height was calculated from the contact resistivity. As a result, the Ti/CNT/SiC contact resistivity at a dopant concentration of 3 × 10{sup 18 }cm{sup −3} was estimated to be ∼1.3 × 10{sup −4} Ω cm{sup 2} and the Schottky barrier height of the CNT/SiC contact was in the range of 0.40–0.45 eV. The resistivity is relatively low for SiC contacts, showing that CNTs have the potential to be a good ohmic contact material for SiC power electronic devices.
Rajagopal Reddy, V.; Asha, B.; Choi, Chel-Jong
2017-06-01
The Schottky barrier junction parameters and structural properties of Zr/p-GaN Schottky diode are explored at various annealing temperatures. Experimental analysis showed that the barrier height (BH) of the Zr/p-GaN Schottky diode increases with annealing at 400 °C (0.92 eV (I-V)/1.09 eV (C-V)) compared to the as-deposited one (0.83 eV (I-V)/0.93 eV (C-V)). However, the BH decreases after annealing at 500 °C. Also, at different annealing temperatures, the series resistance and BH are assessed by Cheung's functions and their values compared. Further, the interface state density (N SS) of the diode decreases after annealing at 400 °C and then somewhat rises upon annealing at 500 °C. Analysis reveals that the maximum BH is obtained at 400 °C, and thus the optimum annealing temperature is 400 °C for the diode. The XPS and XRD analysis revealed that the increase in BH may be attributed to the creation of Zr-N phases with increasing annealing up to 400 °C. The BH reduces for the diode annealed at 500 °C, which may be due to the formation of Ga-Zr phases at the junction. The AFM measurements reveal that the overall surface roughness of the Zr film is quite smooth during rapid annealing process. Project supported by the R&D Program for Industrial Core Technology (No. 10045216) and the Transfer Machine Specialized Lighting Core Technology Development Professional Manpower Training Project (No. N0001363) Funded by the Ministry of Trade, Industry and Energy (MOTIE), Republic of Korea.
Das, Tanmoy; Jang, Houk; Bok Lee, Jae; Chu, Hyunwoo; Kim, Seong Dae; Ahn, Jong-Hyun
2015-12-01
Graphene-based heterostructured vertical transistors have attracted a great deal of research interest. Herein we propose a Si-based technology platform for creating graphene/ultrathin semiconductor/metal (GSM) junctions, which can be applied to large-scale and low-power electronics compatible with a variety of substrates. We fabricated graphene/Si nanomembrane (NM)/metal vertical heterostructures by using a dry transfer technique to transfer Si NMs onto chemical vapor deposition-grown graphene layers. The resulting van der Waals interfaces between graphene and p-Si NMs exhibited nearly ideal Schottky barrier behavior. Due to the low density of states of graphene, the graphene/Si NM Schottky barrier height can be modulated by modulating the band profile in the channel region, yielding well-defined current modulation. We obtained a maximum current on/off ratio (Ion/Ioff) of up to ˜103, with a current density of 102 A cm-2. We also observed significant dependence of Schottky barrier height Δφb on the thickness of the Si NMs. We confirmed that the transport in these devices is dominated by the effects of the graphene/Si NM Schottky barrier.
International Nuclear Information System (INIS)
Das, Tanmoy; Jang, Houk; Bok Lee, Jae; Chu, Hyunwoo; Dae Kim, Seong; Ahn, Jong-Hyun
2015-01-01
Graphene-based heterostructured vertical transistors have attracted a great deal of research interest. Herein we propose a Si-based technology platform for creating graphene/ultrathin semiconductor/metal (GSM) junctions, which can be applied to large-scale and low-power electronics compatible with a variety of substrates. We fabricated graphene/Si nanomembrane (NM)/metal vertical heterostructures by using a dry transfer technique to transfer Si NMs onto chemical vapor deposition-grown graphene layers. The resulting van der Waals interfaces between graphene and p-Si NMs exhibited nearly ideal Schottky barrier behavior. Due to the low density of states of graphene, the graphene/Si NM Schottky barrier height can be modulated by modulating the band profile in the channel region, yielding well-defined current modulation. We obtained a maximum current on/off ratio (I on /I off ) of up to ∼10 3 , with a current density of 10 2 A cm −2 . We also observed significant dependence of Schottky barrier height Δφ b on the thickness of the Si NMs. We confirmed that the transport in these devices is dominated by the effects of the graphene/Si NM Schottky barrier. (paper)
Fu, Chaochao; Zhou, Xiangbiao; Wang, Yan; Xu, Peng; Xu, Ming; Wu, Dongping; Luo, Jun; Zhao, Chao; Zhang, Shi-Li
2016-04-27
The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4-0.7 eV to 0.2-0.1 eV for both conduction polarities by annealing below 400 °C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 °C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature.
Fully solution processed Al-TiO2-Si (MIS) structured photo-detector
Mondal, Sandip; Kumar, Arvind
2018-05-01
We demonstrate the fabrication of a high performance photo detector by fully solution processed technique. The detector is fabricated with photo sensitive, low temperature (200˚C) and sol-gel processed titanium dioxide (TiO2) dielectric material on silicon substrate in the form of MIS structure with top aluminum gate. The optical detection experiment is performed on Al—TiO2—Si (MIS) device by measuring the capacitance—voltage (CV at 100 kHz) curve within the visible region of light (365 — 700 nm). The presence of light shift the flat band voltage (VFB) from 290 mV to 360 mV due to the generation of photo activated charge carriers by UV (365 nm) and white light, respectively. Moreover, the generation of the charge carrier increases drastically by the combination of UV and white, which resulting as a very large shift (600 mV) in the VFB. The entire experiment was performed in normal lab conditions with open air environment, without any clean room facility.
The role of deep level traps in barrier height of 4H-SiC Schottky diode
Energy Technology Data Exchange (ETDEWEB)
Zaremba, G., E-mail: gzaremba@ite.waw.pl [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Adamus, Z. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Jung, W.; Kaminska, E.; Borysiewicz, M.A.; Korwin-Mikke, K. [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)
2012-09-01
This paper presents a discussion about the influence of deep level defects on the height of Ni-Si based Schottky barriers to 4H-SiC. The defects were characterized by deep level transient spectroscopy (DLTS) in a wide range of temperatures (78-750 K). The numerical simulation of barrier height value as a function of dominant defect concentration was carried out to estimate concentration, necessary to 'pin' Fermi level and thus significantly influence the barrier height. From comparison of the results of simulation with barrier height values obtained by capacitance-voltage (C-V) measurements it seems that dominant defect in measured concentration has a very small impact on the barrier height and on the increase of reverse current.
Electronic and interface state density properties of Cu/n-Si MIS-type diode
Energy Technology Data Exchange (ETDEWEB)
Yakuphanoglu, Fahrettin [Physics Department, Firat University, Elazig 23169 (Turkey)]. E-mail: fyhanoglu@firat.edu.tr
2007-05-01
Electronic and interface-state density properties of the Cu/n-Si diode were investigated by current-voltage and capacitance-voltage (C-V) analyses. The electronic parameters such as barrier height, ideality factor and series resistance of the diode were determined by performing different plots. The barrier height, ideality factor and series resistance values of the diode were found to be 0.69 eV, 5.31 and 7.63 k{omega}, respectively. The obtained ideality factor confirms that the Cu/n-Si device has a metal-insulator-semiconductor (MIS) configuration. The conductance mechanism of the Cu/n-Si diode is in agreement with typical of hopping conduction in polycrystalline and amorphous materials. The interface state density of the diode was found to vary from 1.45x10{sup 13} (eV{sup -1} cm{sup 2}) at E {sub C}-0.45 eV to 0.88x10{sup 13} (eV{sup -1} cm{sup 2}) at E {sub C}-0.66 eV.
GaAs Schottky versus p/i/n diodes for pixellated X-ray detectors
Bourgoin, J C
2002-01-01
We discuss the performances of GaAs p/i/n structures and Schottky barriers for application as photodetectors for high-energy photons. We compare the magnitude of the leakage current and the width of the depleted region for a given reverse bias. We mention the effect of states present at the metal-semiconductor interface on the extension of the space charge region in Schottky barriers. We illustrate this effect by a description of the capacitance behaviour of a Au-GaAs barrier under gamma irradiation.
DEFF Research Database (Denmark)
Michaelsen, Rasmus Schandorph; Johansen, Tom Keinicke; Tamborg, Kjeld
2015-01-01
In this paper, we present flicker noise measurements of two X-band direct conversion mixers implemented in a SiGe:C BiCMOS technology. Both mixers use a ring structure with either Schottky diodes or diode-connected HBTs for double balanced operation. The mixers are packaged in a metal casing on a...... circuit demonstrates a 1/f noise corner frequency around 10 kHz....
Energy Technology Data Exchange (ETDEWEB)
Omotoso, E. [Department of Physics, University of Pretoria, Private Bag X20, Hatfield 0028 (South Africa); Department of Physics, Obafemi Awolowo University, Ile-Ife 220005 (Nigeria); Meyer, W.E.; Auret, F.D.; Paradzah, A.T.; Diale, M.; Coelho, S.M.M.; Janse van Rensburg, P.J.; Ngoepe, P.N.M. [Department of Physics, University of Pretoria, Private Bag X20, Hatfield 0028 (South Africa)
2015-12-15
Current–voltage, capacitance–voltage and conventional deep level transient spectroscopy at temperature ranges from 40 to 300 K have been employed to study the influence of alpha-particle irradiation from an {sup 241}Am source on Ni/4H–SiC Schottky contacts. The nickel Schottky barrier diodes were resistively evaporated on n-type 4H–SiC samples of doping density of 7.1 × 10{sup 15} cm{sup −3}. It was observed that radiation damage caused an increase in ideality factors of the samples from 1.04 to 1.07, an increase in Schottky barrier height from 1.25 to 1.31 eV, an increase in series resistance from 48 to 270 Ω but a decrease in saturation current density from 55 to 9 × 10{sup −12} A m{sup −2} from I–V plots at 300 K. The free carrier concentration of the sample decreased slightly after irradiation. Conventional DLTS showed peaks due to four deep levels for as-grown and five deep levels after irradiation. The Richardson constant, as determined from a modified Richardson plot assuming a Gaussian distribution of barrier heights for the as-grown and irradiated samples were 133 and 151 A cm{sup −2} K{sup −2}, respectively. These values are similar to literature values.
Energy Technology Data Exchange (ETDEWEB)
Barış, Behzad, E-mail: behzadbaris@gmail.com
2013-10-01
Al/rubrene/p-Si Schottky diode has been fabricated by forming a rubrene layer on p type Si by using the spin coating method. The frequency dependent capacitance–voltage (C–V–f) and conductance–voltage (G–V–f) characteristics of Al/rubrene/p-Si Schottky diyotes has been investigated in the frequency range of 5 kHz–500 kHz at room temperature. The C–V plots show a peak for each frequency. The capacitance of the device decreased with increasing frequency. The decrease in capacitance results from the presence of interface states. The plots of series resistance–voltage (R{sub s}−V) gave a peak in the depletion region at all frequencies. The density of interface states (N{sub ss}) and relaxation time (τ) distribution profiles as a function of applied voltage bias have been determined from the C–V and G–V measurements. The values of the N{sub ss} and τ have been calculated in the ranges of 8.37×10{sup 11}–4.85×10{sup 11} eV{sup −1} cm{sup −2} and 5.17×10{sup −6}–1.02×10{sup −5} s, respectively.
Directory of Open Access Journals (Sweden)
Chien-Yu Li
2018-01-01
Full Text Available In this study, the design and fabrication of AZO/n-Si Schottky barrier diodes (SBDs with hydrogen plasma treatment on silicon surface and AlxOx guard ring were presented. The Si surface exhibited less interface defects after the cleaning process following with 30 w of H2 plasma treatment that improved the switching properties of the following formed SBDs. The rapid thermal annealing experiment also held at 400 °C to enhance the breakdown voltage of SBDs. The edge effect of the SBDs was also suppressed with the AlxOx guard ring structure deposited by the atomic layer deposition (ALD at the side of the SBDs. Experimental results show that the reverse leakage current was reduced and the breakdown voltage increased with an addition of the AlxOx guard ring. The diode and fabrication technology developed in the study were applicable to the realization of SBDs with a high breakdown voltage (>200 V, a low reverse leakage current density (≤72 μA/mm2@100 V, and a Schottky barrier height of 1.074 eV.
Li, Chien-Yu; Cheng, Min-Yu; Houng, Mau-Phon; Yang, Cheng-Fu; Liu, Jing
2018-01-01
In this study, the design and fabrication of AZO/n-Si Schottky barrier diodes (SBDs) with hydrogen plasma treatment on silicon surface and AlxOx guard ring were presented. The Si surface exhibited less interface defects after the cleaning process following with 30 w of H2 plasma treatment that improved the switching properties of the following formed SBDs. The rapid thermal annealing experiment also held at 400 °C to enhance the breakdown voltage of SBDs. The edge effect of the SBDs was also suppressed with the AlxOx guard ring structure deposited by the atomic layer deposition (ALD) at the side of the SBDs. Experimental results show that the reverse leakage current was reduced and the breakdown voltage increased with an addition of the AlxOx guard ring. The diode and fabrication technology developed in the study were applicable to the realization of SBDs with a high breakdown voltage (>200 V), a low reverse leakage current density (≤72 μA/mm2@100 V), and a Schottky barrier height of 1.074 eV. PMID:29316726
4H-SiC Schottky diode arrays for X-ray detection
Energy Technology Data Exchange (ETDEWEB)
Lioliou, G. [Semiconductor Materials and Devices Laboratory, School of Engineering and Informatics, University of Sussex, Falmer, Brighton BN1 9QT (United Kingdom); Chan, H.K. [School of Electrical and Electronic Engineering, Newcastle University, Newcastle upon Tyne NE1 7RU (United Kingdom); Gohil, T. [Semiconductor Materials and Devices Laboratory, School of Engineering and Informatics, University of Sussex, Falmer, Brighton BN1 9QT (United Kingdom); Vassilevski, K.V.; Wright, N.G.; Horsfall, A.B. [School of Electrical and Electronic Engineering, Newcastle University, Newcastle upon Tyne NE1 7RU (United Kingdom); Barnett, A.M. [Semiconductor Materials and Devices Laboratory, School of Engineering and Informatics, University of Sussex, Falmer, Brighton BN1 9QT (United Kingdom)
2016-12-21
Five SiC Schottky photodiodes for X-ray detection have been electrically characterized at room temperature. One representative diode was also electrically characterized over the temperature range 20°C to 140 °C. The performance at 30 °C of all five X-ray detectors, in both current mode and for photon counting X-ray spectroscopy was investigated. The diodes were fabricated in an array form such that they could be operated as either a 2×2 or 1×3 pixel array. Although the devices showed double barrier heights, high ideality factors and higher than expected leakage current at room temperature (12 nA/cm{sup 2} at an internal electric field of 105 kV/cm), they operated as spectroscopic photon counting soft X-ray detectors uncooled at 30 °C. The measured energy resolution (FWHM at 17.4 keV, Mo Kα) varied from 1.36 to 1.68 keV among different diodes.
Silicide Schottky Contacts to Silicon: Screened Pinning at Defect Levels
Energy Technology Data Exchange (ETDEWEB)
Drummond, T.J.
1999-03-11
Silicide Schottky contacts can be as large as 0.955 eV (E{sub v} + 0.165 eV) on n-type silicon and as large as 1.05 eV (E{sub c} {minus} 0.07 eV) on p-type silicon. Current models of Schottky barrier formation do not provide a satisfactory explanation of occurrence of this wide variation. A model for understanding Schottky contacts via screened pinning at defect levels is presented. In the present paper it is shown that most transition metal silicides are pinned approximately 0.48 eV above the valence band by interstitial Si clusters. Rare earth disilicides pin close to the divacancy acceptor level 0.41 eV below the conduction band edge while high work function silicides of Ir and Pt pin close to the divacancy donor level 0.21 eV above the valence band edge. Selection of a particular defect pinning level depends strongly on the relative positions of the silicide work function and the defect energy level on an absolute energy scale.
Thermally stimulated capacitance in gamma irradiated epitaxial 4H-SiC Schottky barrier diodes
Vigneshwara Raja, P.; Narasimha Murty, N. V. L.
2018-04-01
Deep level defects in 4H-SiC Schottky barrier diodes (SBDs) fabricated on n-type epitaxial 4H-SiC have been identified by thermally stimulated capacitance (TSCAP) spectroscopy prior to and after 60Co-gamma irradiation. The TSCAP measurements on the non-irradiated SBDs reveal two electron traps at Ec-0.63 eV (˜250 K) and Ec-1.13 eV (˜525 K), whereas only one trap at Ec-0.63 eV is identified by conventional thermally stimulated current (TSC) measurements. Hence, TSCAP spectroscopy is more effective in identifying deep level defects in epitaxial 4 H-SiC SBDs as compared to the TSC spectroscopy. Upon exposure to 60Co-gamma rays up to a dose of 100 Mrad, significant changes in the concentration of the traps at Ec-0.63 eV, Ec-1.13 eV, and one new trap at Ec-0.89 eV (˜420 K) are observed. The electrical characteristics of the SBDs are considerably changed after gamma irradiation. The dominant mechanisms responsible for the irradiation induced changes in the SBD electrical characteristics are analyzed by incorporating the trap signatures in the commercial Silvaco® TCAD device simulator. The extracted trap parameters of the irradiated SBDs may be helpful in predicting the survival of 4H-SiC SBD detectors at higher irradiation levels.
Polycrystalline silicon carbide dopant profiles obtained through a scanning nano-Schottky contact
International Nuclear Information System (INIS)
Golt, M. C.; Strawhecker, K. E.; Bratcher, M. S.; Shanholtz, E. R.
2016-01-01
The unique thermo-electro-mechanical properties of polycrystalline silicon carbide (poly-SiC) make it a desirable candidate for structural and electronic materials for operation in extreme environments. Necessitated by the need to understand how processing additives influence poly-SiC structure and electrical properties, the distribution of lattice defects and impurities across a specimen of hot-pressed 6H poly-SiC processed with p-type additives was visualized with high spatial resolution using a conductive atomic force microscopy approach in which a contact forming a nano-Schottky interface is scanned across the sample. The results reveal very intricate structures within poly-SiC, with each grain having a complex core-rim structure. This complexity results from the influence the additives have on the evolution of the microstructure during processing. It was found that the highest conductivities localized at rims as well as at the interface between the rim and the core. The conductivity of the cores is less than the conductivity of the rims due to a lower concentration of dopant. Analysis of the observed conductivities and current-voltage curves is presented in the context of nano-Schottky contact regimes where the conventional understanding of charge transport to diode operation is no longer valid.
Polycrystalline silicon carbide dopant profiles obtained through a scanning nano-Schottky contact
Energy Technology Data Exchange (ETDEWEB)
Golt, M. C.; Strawhecker, K. E.; Bratcher, M. S. [U.S. Army Research Laboratory, WMRD, Aberdeen Proving Ground, Maryland 21005 (United States); Shanholtz, E. R. [ORISE, Belcamp, Maryland 21017 (United States)
2016-07-14
The unique thermo-electro-mechanical properties of polycrystalline silicon carbide (poly-SiC) make it a desirable candidate for structural and electronic materials for operation in extreme environments. Necessitated by the need to understand how processing additives influence poly-SiC structure and electrical properties, the distribution of lattice defects and impurities across a specimen of hot-pressed 6H poly-SiC processed with p-type additives was visualized with high spatial resolution using a conductive atomic force microscopy approach in which a contact forming a nano-Schottky interface is scanned across the sample. The results reveal very intricate structures within poly-SiC, with each grain having a complex core-rim structure. This complexity results from the influence the additives have on the evolution of the microstructure during processing. It was found that the highest conductivities localized at rims as well as at the interface between the rim and the core. The conductivity of the cores is less than the conductivity of the rims due to a lower concentration of dopant. Analysis of the observed conductivities and current-voltage curves is presented in the context of nano-Schottky contact regimes where the conventional understanding of charge transport to diode operation is no longer valid.
Demir, K. Çinar; Kurudirek, S. V.; Oz, S.; Biber, M.; Aydoğan, Ş.; Şahin, Y.; Coşkun, C.
We fabricated 25 Au/n-GaP/Al Schottky devices and investigated the influence of high electron irradiation, which has 12MeV on the devices, at room temperature. The X-ray diffraction patterns, scanning electron microscopic images and Raman spectra of a gallium phosphide (GaP) semiconductor before and after electron irradiation have been analyzed. Furthermore, some electrical measurements of the devices were carried out through the current-voltage (I-V) and capacitance-voltage (C-V) measurements. From the I-V characteristics, experimental ideality factor n and barrier height Φ values of these Schottky diodes have been determined before and after irradiation, respectively. The results have also been analyzed statically, and a gauss distribution has been obtained. The built-in potential Vbi, barrier height Φ, Fermi level EF and donor concentration Nd values have been determined from the reverse bias C-V and C-2-V curves of Au/n-GaP/Al Schottky barrier diodes at 100kHz before and after 12MeV electron irradiation. Furthermore, we obtained the series resistance values of Au/n-GaP/Al Schottky barrier diodes with the help of different methods. Experimental results confirmed that the electrical characterization of the device changed with the electron irradiation.
Mahato, Somnath; Puigdollers, Joaquim
2018-02-01
Temperature dependent current-voltage (I‒V) characteristics of Au/n-type silicon (n-Si) Schottky barrier diodes have been investigated. Three transition metal oxides (TMO) are used as an interface layer between gold and silicon. The basic Schottky diode parameters such as ideality factor (n), barrier height (ϕb 0) and series resistance (Rs) are calculated and successfully explained by the thermionic emission (TE) theory. It has been found that ideality factor decreased and barrier height increased with increased of temperature. The conventional Richardson plot of ln(I0/T2) vs. 1000/T is determined the activation energy (Ea) and Richardson constant (A*). Whereas value of 'A*' is much smaller than the known theoretical value of n-type Si. The temperature dependent I-V characteristics obtained the mean value of barrier height (ϕb 0 bar) and standard deviation (σs) from the linear plot of ϕap vs. 1000/T. From the modified Richardson plot of ln(I0/T2) ˗ (qσ)2/2(kT)2 vs. 1000/T gives Richardson constant and homogeneous barrier height of Schottky diodes. Main observation in this present work is the barrier height and ideality factor shows a considerable change but the series resistance value exhibits negligible change due to TMO as an interface layer.
International Nuclear Information System (INIS)
Kalita, Golap; Hirano, Ryo; Ayhan, Muhammed E; Tanemura, Masaki
2013-01-01
We demonstrate fabrication of a Schottky junction diode with direct growth graphene on n-Si by the solid phase reaction approach. Metal-assisted crystallization of a-C thin film was performed to synthesize transfer-free graphene directly on a SiO 2 patterned n-Si substrate. Graphene formation at the substrate and catalyst layer interface is achieved in presence of a Co catalytic and CoO carbon diffusion barrier layer. The as-synthesized material shows a linear current–voltage characteristic confirming the metallic behaviour of the graphene structure. The direct grown graphene on n-Si substrate creates a Schottky junction with a potential barrier of 0.44 eV and rectification diode characteristic. Our finding shows that the directly synthesized graphene on Si substrate by a solid phase reaction process can be a promising technique to fabricate an efficient Schottky junction device. (paper)
Hybrid graphene/silicon Schottky photodiode with intrinsic gating effect
Di Bartolomeo, Antonio; Luongo, Giuseppe; Giubileo, Filippo; Funicello, Nicola; Niu, Gang; Schroeder, Thomas; Lisker, Marco; Lupina, Grzegorz
2017-06-01
We propose a hybrid device consisting of a graphene/silicon (Gr/Si) Schottky diode in parallel with a Gr/SiO2/Si capacitor for high-performance photodetection. The device, fabricated by transfer of commercial graphene on low-doped n-type Si substrate, achieves a photoresponse as high as 3 \\text{A} {{\\text{W}}-1} and a normalized detectivity higher than 3.5× {{10}12} \\text{cm} \\text{H}{{\\text{z}}1/2} {{\\text{W}}-1} in the visible range. It exhibits a photocurrent exceeding the forward current because photo-generated minority carriers, accumulated at Si/SiO2 interface of the Gr/SiO2/Si capacitor, diffuse to the Gr/Si junction. We show that the same mechanism, when due to thermally generated carriers, although usually neglected or disregarded, causes the increased leakage often measured in Gr/Si heterojunctions. We perform extensive I-V and C-V characterization at different temperatures and we measure a zero-bias Schottky barrier height of 0.52 eV at room temperature, as well as an effective Richardson constant A ** = 4× {{10}-5} \\text{A} \\text{c}{{\\text{m}}-2} {{\\text{K}}-2} and an ideality factor n≈ 3.6 , explained by a thin (<1 nm) oxide layer at the Gr/Si interface.
The analysis of leakage current in MIS Au/SiO{sub 2}/n-GaAs at room temperature
Energy Technology Data Exchange (ETDEWEB)
Altuntas, H., E-mail: altunhalit@gmail.com [Cankiri Karatekin University, Department of Physics, Faculty of Science (Turkey); Ozcelik, S. [Gazi University, Department of Physics, Faculty of Science (Turkey)
2013-10-15
The aim of this study is to determine the reverse-bias leakage current conduction mechanisms in Au/SiO{sub 2}/n-GaAs metal-insulator-semiconductor type Schottky contacts. Reverse-bias current-voltage measurements (I-V) were performed at room temperature. The using of leakage current values in SiO{sub 2} at electric fields of 1.46-3.53 MV/cm, ln(J/E) vs. {radical}E graph showed good linearity. Rom this plot, dielectric constant of SiO{sub 2} was calculated as 3.7 and this value is perfect agreement with 3.9 which is value of SiO{sub 2} dielectric constant. This indicates, Poole-Frenkel type emission mechanism is dominant in this field region. On the other hand, electric fields between 0.06-0.73 and 0.79-1.45 MV/cm, dominant leakage current mechanisms were found as ohmic type conduction and space charge limited conduction, respectively.
Highly uniform and reliable resistive switching characteristics of a Ni/WOx/p+-Si memory device
Kim, Tae-Hyeon; Kim, Sungjun; Kim, Hyungjin; Kim, Min-Hwi; Bang, Suhyun; Cho, Seongjae; Park, Byung-Gook
2018-02-01
In this paper, we investigate the resistive switching behavior of a bipolar resistive random-access memory (RRAM) in a Ni/WOx/p+-Si RRAM with CMOS compatibility. Highly unifrom and reliable bipolar resistive switching characteristics are observed by a DC voltage sweeping and its switching mechanism can be explained by SCLC model. As a result, the possibility of metal-insulator-silicon (MIS) structural WOx-based RRAM's application to Si-based 1D (diode)-1R (RRAM) or 1T (transistor)-1R (RRAM) structure is demonstrated.
Asha, B.; Harsha, Cirandur Sri; Padma, R.; Rajagopal Reddy, V.
2018-05-01
The electrical characteristics of a V/p-GaN Schottky junction have been investigated by current-voltage (I-V) and capacitance-voltage (C-V) characteristics under the assumption of the thermionic emission (TE) theory in the temperature range of 120-280 K with steps of 40 K. The zero-bias barrier height (ΦB0), ideality factor (n), flat-band barrier height (ΦBF) and series resistance (R S) values were evaluated and were found to be strongly temperature dependent. The results revealed that the ΦB0 values increase, whereas n, ΦFB and R S values decrease, with increasing temperature. Using the conventional Richardson plot, the mean barrier height (0.39 eV) and Richardson constant (8.10 × 10-10 Acm-2 K-2) were attained. The barrier height inhomogeneities were demonstrated by assuming a Gaussian distribution function. The interface state density (N SS) values were found to decrease with increasing temperature. The reverse leakage current mechanism of the V/p-GaN Schottky junction was found to be governed by Poole-Frenkel emission at all temperatures.
Energy Technology Data Exchange (ETDEWEB)
Altuhov, V. I., E-mail: altukhovv@mail.ru; Kasyanenko, I. S.; Sankin, A. V. [North Caucasian Federal University, Institute of Service, Tourism and Design (Branch) (Russian Federation); Bilalov, B. A. [Dagestan State Technical University (Russian Federation); Sigov, A. S. [Moscow State Technical University of Radio Engineering, Electronics, and Automation (Russian Federation)
2016-09-15
A simple but nonlinear model of the defect density at a metal–semiconductor interface, when a Schottky barrier is formed by surface defects states localized at the interface, is developed. It is shown that taking the nonlinear dependence of the Fermi level on the defect density into account leads to a Schottky barrier increase by 15–25%. The calculated barrier heights are used to analyze the current–voltage characteristics of n-M/p-(SiC){sub 1–x}(AlN){sub x} structures. The results of calculations are compared to experimental data.
Directory of Open Access Journals (Sweden)
Rajneesh Talwar
2009-09-01
Full Text Available The aim of this paper is to establish the importance of a linearly graded profile in the drift region of a 4H-SiC Schottky barrier diode (SBD. The power dissipation of the device is found to be considerably lower at any given current density as compared to its value obtained for a uniformly doped drift region. The corresponding values of breakdown voltages obtained are similar to those obtained with uniformly doped wafers of 4H-SiC.
Characterization of plasma etching damage on p-type GaN using Schottky diodes
International Nuclear Information System (INIS)
Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.
2008-01-01
The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching
Schottky effect model of electrical activity of metallic precipitates in silicon
International Nuclear Information System (INIS)
Plekhanov, P. S.; Tan, T. Y.
2000-01-01
A quantitative model of the electrical activity of metallic precipitates in Si is formulated with an emphasis on the Schottky junction effects of the precipitate-Si system. Carrier diffusion and carrier drift in the Si space charge region are accounted for. Carrier recombination is attributed to the thermionic emission mechanism of charge transport across the Schottky junction rather than the surface recombination. It is shown that the precipitates can have a very large minority carrier capture cross-section. Under weak carrier generation conditions, the supply of minority carriers is found to be the limiting factor of the recombination process. The plausibility of the model is demonstrated by a comparison of calculated and available experimental results. (c) 2000 American Institute of Physics
Schottky barrier enhancement on n-InP solar cell applications
DEFF Research Database (Denmark)
Clausen, Thomas; Leistiko, Otto
1994-01-01
It is demonstrated that the Schottky barrier height on n-type InP can be enhanced to values close to the energy bandgap (1.35 eV) by employing a AuZnCr metallization. The process is simple and requires only mild and fast annealing sequences with temperatures not exceeding 500°C. Also, no critical...... epitaxial growth step of junctions is needed, making the process fairly cheap. Thus, prospects for an efficient and simple solar cell device structure for space application purposes based on highly radiant-resistant InP are greatly improved...
Investigation on a radiation tolerant betavoltaic battery based on Schottky barrier diode
International Nuclear Information System (INIS)
Liu Yebing; Hu Rui; Yang Yuqing; Wang Guanquan; Luo Shunzhong; Liu Ning
2012-01-01
An Au–Si Schottky barrier diode was studied as the energy conversion device of betavoltaic batteries. Its electrical performance under radiation of Ni-63 and H-3 sources and radiation degradation under Am-241 were investigated and compared with those of the p–n junction. The results show that the Schottky diode had a higher I sc and harder radiation tolerance but lower V oc than the p–n junction. The results indicated that the Schottky diode can be a promising candidate for energy conversion of betavoltaic batteries. - Highlights: ► The Schottky diode was used as the converter of the betavoltaic battery. ► The radiation damage of converter was accelerated by using alpha particles. ► The Schottky diode has higher radiation resistance than that of the p–n junction. ► The Schottky diode could still be a promising converter of the betavoltaic battery.
Esakky, Papanasam; Kailath, Binsu J.
2017-08-01
HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.
Energy Technology Data Exchange (ETDEWEB)
Taube, Andrzej [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Mroczynski, Robert, E-mail: rmroczyn@elka.pw.edu.pl [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Korwin-Mikke, Katarzyna [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Gieraltowska, Sylwia [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Szmidt, Jan [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Piotrowska, Anna [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)
2012-09-01
In this work, we report on effects of post-deposition annealing on electrical characteristics of metal-insulator-semiconductor (MIS) structures with HfO{sub 2}/SiO{sub 2} double gate dielectric stacks. Obtained results have shown the deterioration of electro-physical properties of MIS structures, e.g. higher interface traps density in the middle of silicon forbidden band (D{sub itmb}), as well as non-uniform distribution and decrease of breakdown voltage (U{sub br}) values, after annealing above 400 Degree-Sign C. Two potential hypothesis of such behavior were proposed: the formation of interfacial layer between hafnia and silicon dioxide and the increase of crystallinity of HfO{sub 2} due to the high temperature treatment. Furthermore, the analysis of conduction mechanisms in investigated stacks revealed Poole-Frenkel (P-F) tunneling at broad range of electric field intensity.
Scanning ion deep level transient spectroscopy: II. Ion irradiated Au-Si Schottky junctions
International Nuclear Information System (INIS)
Laird, J S; Jagadish, C; Jamieson, D N; Legge, G J F
2006-01-01
Here we introduce a new technique called scanning ion deep level transient spectroscopy (SIDLTS) for the spatial analysis of electrically active defects in devices. In the first part of this paper, a simple theory behind SIDLTS was introduced and factors determining its sensitivity and resolution were discussed. In this paper, we demonstrate the technique on MeV boron implantation induced defects in an Au-Si Schottky junction. SIDLTS measurements are compared with capacitance DLTS measurements over the temperature range, 100-300 K. SIDLTS analyses indicate the presence of two levels, one of which was positively identified as the E c - 0.23 eV divacancy level. The high sensitivity of SIDLTS is verified and the advantages and limitations of the technique are discussed in light of non-exponential components in the charge transient response. Reasons for several undetected levels are also discussed
Energy Technology Data Exchange (ETDEWEB)
Mabrook, M.F. [School of Engineering and Centre for Molecular and Nanoscale Electronics, Durham University, South Road, Durham DH1 3LE (United Kingdom)], E-mail: m.f.mabrook@durham.ac.uk; Jombert, A.S. [School of Engineering and Centre for Molecular and Nanoscale Electronics, Durham University, South Road, Durham DH1 3LE (United Kingdom); Department of Chemistry, Durham University, South Road, Durham DH1 3LE (United Kingdom); Machin, S.E.; Pearson, C.; Kolb, D. [School of Engineering and Centre for Molecular and Nanoscale Electronics, Durham University, South Road, Durham DH1 3LE (United Kingdom); Coleman, K.S. [Department of Chemistry, Durham University, South Road, Durham DH1 3LE (United Kingdom); Zeze, D.A.; Petty, M.C. [School of Engineering and Centre for Molecular and Nanoscale Electronics, Durham University, South Road, Durham DH1 3LE (United Kingdom)
2009-03-15
We report on the electrical behaviour of metal-insulator-semiconductor (MIS) structures fabricated on p-type silicon substrates and using polymethylmethacrylate (PMMA) as the dielectric. Gold nanoparticles, single-wall carbon nanotubes and C{sub 60}, deposited at room temperature, were used as charge-storage elements. In all cases, the MIS devices containing the nanoparticles exhibited hysteresis in their capacitance versus voltage characteristics, with a memory window depending on the range of the voltage sweep. This hysteresis was attributed to the charging and discharging of the nanoparticles from the gate electrode. A relatively large memory window of about 2.2 V was achieved by scanning the applied voltage of an Al/PMMA/C{sub 60}/SiO{sub 2}/Si structure between 4 and -4 V. Gold nanoparticle-based memory devices produced the best charge retention behaviour compared to the other MIS structures investigated.
International Nuclear Information System (INIS)
Kim, Hogyoung; Jung, Chan Yeong; Hyun Kim, Se; Cho, Yunae; Kim, Dong-Wook
2015-01-01
Using current–voltage (I–V) measurements, we investigated the effect of oxygen plasma treatment on the temperature-dependent electrical properties of Cu/n-type indium phosphide (InP) Schottky contacts at temperatures in the range 100–300 K. Changes in the electrical parameters were evident below 180 K for the low-plasma-power sample (100 W), which is indicative of the presence of a wider distribution of regions of low barrier height. Modified Richardson plots were used to obtain Richardson constants, which were similar to the theoretical value of 9.4 A cm −2 K −2 for n-type InP. This suggests that, for all the samples, a thermionic emission model including a spatially inhomogeneous Schottky barrier can be used to describe the charge transport phenomena at the metal/semiconductor interface. The voltage dependence of the reverse-bias current revealed that Schottky emission was dominant for the untreated and high-plasma-power (250 W) samples. For the low-plasma-power sample, Poole–Frenkel emission was dominant at low voltages, whereas Schottky emission dominated at higher voltages. Defect states and nonuniformity of the interfacial layer appear to be significant in the reverse-bias charge transport properties of the low-plasma-power sample. (paper)
International Nuclear Information System (INIS)
Zeghdar, Kamal; Dehimi, Lakhdar; Saadoune, Achour; Sengouga, Nouredine
2015-01-01
We report the current–voltage (I–V) characteristics of the Schottky diode (Au/n-InP) as a function of temperature. The SILVACO-TCAD numerical simulator is used to calculate the I–V characteristic in the temperature range of 280–400 K. This is to study the effect of temperature on the I–V curves and assess the main parameters that characterize the Schottky diode such as the ideality factor, the height of the barrier and the series resistance. The I–V characteristics are analyzed on the basis of standard thermionic emission (TE) theory and the inhomogeneous barrier heights (BHs) assuming a Gaussian distribution. It is shown that the ideality factor decreases while the barrier height increases with increasing temperature, on the basis of TE theory. Furthermore, the homogeneous BH value of approximately 0.524 eV for the device has been obtained from the linear relationship between the temperature-dependent experimentally effective BHs and ideality factors. The modified Richardson plot, according to the inhomogeneity of the BHs, has a good linearity over the temperature range. The evaluated Richardson constant A * was 10.32 A·cm −2 ·K −2 , which is close to the theoretical value of 9.4 A·cm −2 ·K −2 for n-InP. The temperature dependence of the I–V characteristics of the Au/n-InP Schottky diode have been successfully explained on the basis of the thermionic emission (TE) mechanism with a Gaussian distribution of the Schottky barrier heights (SBHs). Simulated I–V characteristics are in good agreement with the measurements [Korucu D, Mammadov T S. J Optoelectronics Advanced Materials, 2012, 14: 41]. The barrier height obtained using Gaussian Schottky barrier distribution is 0.52 eV, which is about half the band gap of InP. (paper)
Zeghdar, Kamal; Dehimi, Lakhdar; Saadoune, Achour; Sengouga, Nouredine
2015-12-01
We report the current-voltage (I-V) characteristics of the Schottky diode (Au/n-InP) as a function of temperature. The SILVACO-TCAD numerical simulator is used to calculate the I-V characteristic in the temperature range of 280-400 K. This is to study the effect of temperature on the I-V curves and assess the main parameters that characterize the Schottky diode such as the ideality factor, the height of the barrier and the series resistance. The I-V characteristics are analyzed on the basis of standard thermionic emission (TE) theory and the inhomogeneous barrier heights (BHs) assuming a Gaussian distribution. It is shown that the ideality factor decreases while the barrier height increases with increasing temperature, on the basis of TE theory. Furthermore, the homogeneous BH value of approximately 0.524 eV for the device has been obtained from the linear relationship between the temperature-dependent experimentally effective BHs and ideality factors. The modified Richardson plot, according to the inhomogeneity of the BHs, has a good linearity over the temperature range. The evaluated Richardson constant A* was 10.32 A·cm-2·K-2, which is close to the theoretical value of 9.4 A·cm-2·K-2 for n-InP. The temperature dependence of the I-V characteristics of the Au/n-InP Schottky diode have been successfully explained on the basis of the thermionic emission (TE) mechanism with a Gaussian distribution of the Schottky barrier heights (SBHs). Simulated I-V characteristics are in good agreement with the measurements [Korucu D, Mammadov T S. J Optoelectronics Advanced Materials, 2012, 14: 41]. The barrier height obtained using Gaussian Schottky barrier distribution is 0.52 eV, which is about half the band gap of InP.
Mis on mee sees? / Liisa Puusepp
Puusepp, Liisa, 1980-
2017-01-01
Õietolmuanalüüsi kasutuselevõtust meetodina möödub tänavu 100 aastat. Õietolmuanalüüsiga saab kindlaks määrata, mis taimede õietolmuterad mees leiduvad ning korjeala. Tallinna Ülikooli ökoloogia keskuses rakendatakse õietolmuanalüüsi eelkõige mineviku taimkatte struktuuri, maakasutuse muutuse ja maastiku avatuse rekonstrueerimiseks, lisaks tehakse ka mee õietolmuanalüüsi
Kohlscheen, J.; Emirov, Y. N.; Beerbom, M. M.; Wolan, J. T.; Saddow, S. E.; Chung, G.; MacMillan, M. F.; Schlaf, R.
2003-09-01
The band lineup of p- and n-type 4H-SiC/Al interfaces was determined using x-ray photoemission spectroscopy (XPS). Al was deposited in situ on ex situ cleaned SiC substrates in several steps starting at 1.2 Å up to 238 Å nominal film thickness. Before growth and after each growth step, the sample surface was characterized in situ by XPS. The analysis of the spectral shifts indicated that during the initial deposition stages the Al films react with the ambient surface contamination layer present on the samples after insertion into vacuum. At higher coverage metallic Al clusters are formed. The band lineups were determined from the analysis of the core level peak shifts and the positions of the valence bands maxima (VBM) depending on the Al overlayer thickness. Shifts of the Si 2p and C 1s XPS core levels occurred to higher (lower) binding energy for the p-(n-)type substrates, which was attributed to the occurrence of band bending due to Fermi-level equilibration at the interface. The hole injection barrier at the p-type interface was determined to be 1.83±0.1 eV, while the n-type interface revealed an electron injection barrier of 0.98±0.1 eV. Due to the weak features in the SiC valence bands measured by XPS, the VBM positions were determined using the Si 2p peak positions. This procedure required the determination of the Si 2p-to-VBM binding energy difference (99.34 eV), which was obtained from additional measurements.
Bhardwaj, Shivani; Parashar, Piyush K.; Roopak, Sangita; Ji, Alok; Uma, R.; Sharma, R. P.
2018-05-01
Designing thinner, more efficient and cost-effective 2D materials/silicon Schottky photodiodes using the plasmonic concept is one of the most recent quests for the photovoltaic research community. This work demonstrates the enhanced performance of graphene–Si Schottky junction solar cells by introducing asymmetric spheroidal shaped Ag nanoparticles (NPs) embedded in a graphene monolayer (GML). The optical signatures of these Ag NPs (oblate, ortho-oblate, prolate and ortho-prolate) have been analyzed by discrete dipole approximation in terms of extinction efficiency and surface plasmon resonance tunability, against the quasi-static approximation. The spatial field distribution is enhanced by optimizing the size (a eff = 100 nm) and aspect ratio (0.4) for all of the utilized Ag NPs with an optimized graphene environment (t = 0.1 nm). An improvement of photon absorption in the thin Si wafer for the polychromatic spectral region (λ ~ 300–1100 nm) under an AM 1.5 G solar spectrum has been observed. This resulted in a photocurrent enhancement from 7.98 mA cm‑2 to 10.0 mA cm‑2 for oblate-shaped NPs integrated into GML/Si Schottky junction solar cells as compared to the bare cell. The structure used in this study to improve the graphene–Si Schottky junction’s performance is also advantageous for other graphene-like 2D material-based Schottky devices.
Schottky barrier MOSFET systems and fabrication thereof
Welch, J.D.
1997-09-02
(MOS) device systems-utilizing Schottky barrier source and drain to channel region junctions are disclosed. Experimentally derived results which demonstrate operation of fabricated N-channel and P-channel Schottky barrier (MOSFET) devices, and of fabricated single devices with operational characteristics similar to (CMOS) and to a non-latching (SRC) are reported. Use of essentially non-rectifying Schottky barriers in (MOS) structures involving highly doped and the like and intrinsic semiconductor to allow non-rectifying interconnection of, and electrical accessing of device regions is also disclosed. Insulator effected low leakage current device geometries and fabrication procedures therefore are taught. Selective electrical interconnection of drain to drain, source to drain, or source to source, of N-channel and/or P-channel Schottky barrier (MOSFET) devices formed on P-type, N-type and Intrinsic semiconductor allows realization of Schottky Barrier (CMOS), (MOSFET) with (MOSFET) load, balanced differential (MOSFET) device systems and inverting and non-inverting single devices with operating characteristics similar to (CMOS), which devices can be utilized in modulation, as well as in voltage controlled switching and effecting a direction of rectification. 89 figs.
Hamri, D.; Teffahi, A.; Djeghlouf, A.; Chalabi, D.; Saidane, A.
2018-04-01
Current-voltage (I-V), capacitance-voltage-frequency (C-V-f) and conductance-voltage-frequency (G/ω-V-f) characteristics of Molecular Beam Epitaxy (MBE)-deposited Fe/n-Si0.65Ge0.35 (FM1) and Pt/n-Si0.65Ge0.35(PM2) (111) orientated Schottky barrier diodes (SBDs) have been investigated at room-temperature. Barrier height (ΦB0), ideality factor (n) and series resistance (RS) were extracted. Dominant current conduction mechanisms were determined. They revealed that Poole-Frenkel-type conduction mechanism dominated reverse current. Differences in shunt resistance confirmed the difference found in leakage current. Under forward bias, quasi-ohmic conduction is found at low voltage regions and space charge-limited conduction (SCLC) at higher voltage regions for both SBDs. Density of interface states (NSS) indicated a difference in interface reactivity. Distribution profiles of series resistance (RS) with bias gives a peak in depletion region at low-frequencies that disappears with increasing frequencies. These results show that interface states density and series resistance of Schottky diodes are important parameters that strongly influence electrical properties of FM1 and PM2 structures.
Energy Technology Data Exchange (ETDEWEB)
Esakky, Papanasam, E-mail: papanasamte@gmail.com; Kailath, Binsu J
2017-08-15
Highlights: • Post deposition annealing (PDA) and post metallization annealing (PMA) on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors. • Post deposition N{sub 2}O plasma annealing inhibits crystallization of HfO{sub 2} during high temperature annealing. • Plasma annealing followed by RTA in N{sub 2} results in formation of hafnium silicate at the HfO{sub 2}-SiC interface. • PDA reduces interface state density (D{sub it}) and gate leakage current density (J{sub g}) by order. • PMA in forming gas for 40 min results in better passivation and reduces D{sub it} by two orders and J{sub g} by thrice. - Abstract: HfO{sub 2} as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO{sub 2}/SiC capacitors offer higher sensitivity than SiO{sub 2}/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO{sub 2}/SiC interface. Effect of post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO{sub 2}/SiC MIS capacitors are reported in this work. N{sub 2}O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N{sub 2} result in formation of Hf silicate at the HfO{sub 2}/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO{sub 2}/SiC capacitors.
Energy Technology Data Exchange (ETDEWEB)
Dawson, P; Feng, L; Penate-Quesada, L [Centre for Nanostructured Media, School of Maths and Physics, Queen' s University of Belfast, Belfast BT7 1NN (United Kingdom); Hill, G [EPSRC National Centre for III-V Technologies, Mappin Street, University ofSheffield, Sheffield S1 3JD (United Kingdom); Mitra, J, E-mail: P.dawson@qub.ac.uk
2011-03-30
Schottky-barrier structures with a resistive metal electrode are examined using the 4-point probe method where the probes are connected to the metal electrode only. The observation of a significant decrease in resistance with increasing temperature (over a range of {approx}100 K) in the diode resistance-temperature (R{sub D}-T) characteristic is considered due to charge carrier confinement to the metal electrode at low temperature (high resistance), with the semiconductor progressively opening up as a parallel current carrying channel (low resistance) with increasing temperature due to increasing thermionic emission across the barrier. A simple model is constructed, based on thermionic emission at quasi-zero bias, that generates good fits to the experimental data. The negative differential resistance (NDR) region in the R{sub D}-T characteristic is a general effect and is demonstrated across a broad temperature range for a variety of Schottky structures grown on Si-, GaAs- and InP-substrates. In addition the NDR effect is harnessed in micro-scaled Pd/n-InP devices for the detection of low levels of hydrogen in an ambient atmosphere of nitrogen.
Liu, Lin-Yue; Wang, Ling; Jin, Peng; Liu, Jin-Liang; Zhang, Xian-Peng; Chen, Liang; Zhang, Jiang-Fu; Ouyang, Xiao-Ping; Liu, Ao; Huang, Run-Hua; Bai, Song
2017-10-13
Silicon carbide (SiC) detectors of an Ni/4H-SiC Schottky diode structure and with sensitive areas of 1-4 cm² were fabricated using high-quality lightly doped epitaxial 4H-SiC material, and were tested in the detection of alpha particles and pulsed X-rays/UV-light. A linear energy response to alpha particles ranging from 5.157 to 5.805 MeV was obtained. The detectors were proved to have a low dark current, a good energy resolution, and a high neutron/gamma discrimination for pulsed radiation, showing the advantages in charged particle detection and neutron detection in high-temperature and high-radiation environments.
Tunable reverse-biased graphene/silicon heterojunction Schottky diode sensor.
Singh, Amol; Uddin, Ahsan; Sudarshan, Tangali; Koley, Goutam
2014-04-24
A new chemical sensor based on reverse-biased graphene/Si heterojunction diode has been developed that exhibits extremely high bias-dependent molecular detection sensitivity and low operating power. The device takes advantage of graphene's atomically thin nature, which enables molecular adsorption on its surface to directly alter graphene/Si interface barrier height, thus affecting the junction current exponentially when operated in reverse bias and resulting in ultrahigh sensitivity. By operating the device in reverse bias, the work function of graphene, and hence the barrier height at the graphene/Si heterointerface, can be controlled by the bias magnitude, leading to a wide tunability of the molecular detection sensitivity. Such sensitivity control is also possible by carefully selecting the graphene/Si heterojunction Schottky barrier height. Compared to a conventional graphene amperometric sensor fabricated on the same chip, the proposed sensor demonstrated 13 times higher sensitivity for NO₂ and 3 times higher for NH₃ in ambient conditions, while consuming ∼500 times less power for same magnitude of applied voltage bias. The sensing mechanism based on heterojunction Schottky barrier height change has been confirmed using capacitance-voltage measurements. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Current Transport Mechanisms and Capacitance Characteristic in the InN/InP Schottky Structures
Directory of Open Access Journals (Sweden)
K. AMEUR
2014-05-01
Full Text Available In this work, electrical characterization of the current-voltage and capacitance- voltage curves for the Metal/InN/InP Schottky structures are investigated. We have studied electrically thin InN films realized by the nitridation of InP (100 substrates using a Glow Discharge Source (GDS in ultra high vacuum. The I (V curves have exhibited anomalous two-step (kink forward bias behaviour; a suitable fit was only obtained by using a model of two discrete diodes in parallel. Thus, we have calculated, using I(V and C(V curves of Hg/InN/InP Schottky structures, the ideality factor n, the saturation current Is, the barrier height jB, the series resistance Rs, the doping concentration Nd and the diffusion voltage Vd. We have also presented the band diagram of this heterojunction which indicates the presence of a channel formed by holes at the interface InN/InP which explain by the presence of two-dimensional electron gas (2-DEG and this was noticed in the presentation of characteristics C(V.
Extremely high magnetic-field sensitivity of charge transport in the Mn/SiO2/p-Si hybrid structure
Directory of Open Access Journals (Sweden)
N. V. Volkov
2017-01-01
Full Text Available We report on abrupt changes in dc resistance and impedance of a diode with the Schottky barrier based on the Mn/SiO2/p-Si structure in a magnetic field. It was observed that at low temperatures the dc and ac resistances of the device change by a factor of more than 106 with an increase in a magnetic field to 200 mT. The strong effect of the magnetic field is observed only above the threshold forward bias across the diode. The ratios between ac and dc magnetoresistances can be tuned from almost zero to 108% by varying the bias. To explain the diversity of magnetotransport phenomena observed in the Mn/SiO2/p-Si structure, it is necessary to attract several mechanisms, which possibly work in different regions of the structure. The anomalously strong magnetotransport effects are attributed to the magnetic-field-dependent impact ionization in the bulk of a Si substrate. At the same time, the conditions for this process are specified by structure composition, which, in turn, affects the current through each structure region. The effect of magnetic field attributed to suppression of impact ionization via two mechanisms leads to an increase in the carrier energy required for initiation of impact ionization. The first mechanism is related to displacement of acceptor levels toward higher energies relative to the top of the valence band and the other mechanism is associated with the Lorentz forces affecting carrier trajectories between scatterings events. The estimated contributions of these two mechanisms are similar. The proposed structure is a good candidate for application in CMOS technology-compatible magnetic- and electric-field sensors and switching devices.
Tunable Schottky diodes fabricated from crossed electrospun SnO{sub 2}/PEDOT-PSSA nanoribbons
Energy Technology Data Exchange (ETDEWEB)
Carrasquillo, Katherine V. [Department of Physics and Electronics, University of Puerto Rico-Humacao, Humacao, PR 00792 (Puerto Rico); Pinto, Nicholas J., E-mail: nicholas.pinto@upr.edu [Department of Physics and Electronics, University of Puerto Rico-Humacao, Humacao, PR 00792 (Puerto Rico)
2012-06-25
Graphical abstract: Crossed SnO{sub 2}/PEDOT-PSSA nanoribbon Schottky diodes. Highlight: Black-Right-Pointing-Pointer An inexpensive electrospinning technique is used to fabricate crossed nanoribbons of n-doped tin oxide and p-PEDOT. Black-Right-Pointing-Pointer Each intersection is a localized Schottky diode that is completely exposed to the environment after electrodes deposition. Black-Right-Pointing-Pointer This makes it useful as a gas and light sensor. Black-Right-Pointing-Pointer In addition, the ability to tune the diode parameters via a back gate truly makes this device multifunctional. Black-Right-Pointing-Pointer A half wave rectifier has been demonstrated with this device under UV illumination. - Abstract: Schottky diodes have been fabricated on doped Si/SiO{sub 2} substrates in air, by simply crossing individual electrospun tin oxide (SnO{sub 2}) and poly(3,4-ethylenedioxythiophene)/poly(styrene sulfonate) (PEDOT-PSSA) nanoribbons. The conductivity of PEDOT-PSSA was {approx}6 S/cm with no observable field effect, while SnO{sub 2} exhibited n-doped field effect behavior with a charge mobility of {approx}3.1 cm{sup 2}/V-s. The diodes operate in air or in vacuum, under ambient illumination or in the dark, with low turn-on voltages and device parameters that are tunable via a back gate bias or a UV light source. Their unique design involves a highly localized active region that is completely exposed to the surrounding environment, making them potentially attractive for use as sensors. The standard thermionic emission model of a Schottky junction was applied to analyze the forward bias diode characteristics and was successfully tested as a half wave rectifier.
Hanna, Mina J.; Zhao, Han; Lee, Jack C.
2012-10-01
We analyze the anomalous I-V behavior in SiN prepared by plasma enhanced chemical vapor deposition for use as a gate insulator in AlGaN/GaN metal insulator semiconductor heterostructure filed effect transistors (HFETs). We observe leakage current across the dielectric with opposite polarity with respect to the applied electric field once the voltage sweep reaches a level below a determined threshold. This is observed as the absolute minimum of the leakage current does not occur at minimum voltage level (0 V) but occurs earlier in the sweep interval. Curve-fitting analysis suggests that the charge-transport mechanism in this region is Poole-Frenkel current, followed by Schottky emission due to band bending. Despite the current anomaly, the sample devices have shown a notable reduction of leakage current of over 2 to 6 order of magnitudes compared to the standard Schottky HFET. We show that higher pressures and higher silane concentrations produce better films manifesting less trapping. This conforms to our results that we reported in earlier publications. We found that higher chamber pressure achieves higher sheet carrier concentration that was found to be strongly dependent on the trapped space charge at the SiN/GaN interface. This would suggest that a lower chamber pressure induces more trap states into the SiN/GaN interface.
International Nuclear Information System (INIS)
De-Gang, Zhao; Shuang, Zhang; Wen-Bao, Liu; De-Sheng, Jiang; Jian-Jun, Zhu; Zong-Shun, Liu; Hui, Wang; Shu-Ming, Zhang; Hui, Yang; Xiao-Peng, Hao; Long, Wei
2010-01-01
The leakage current of GaN Schottky barrier ultraviolet photodetectors is investigated. It is found that the photodetectors adopting undoped GaN instead of lightly Si-doped GaN as an active layer show a much lower leakage current even when they have a higher dislocation density. It is also found that the density of Ga vacancies in undoped GaN is much lower than in Si-doped GaN. The Ga vacancies may enhance tunneling and reduce effective Schottky barrier height, leading to an increase of leakage current. It suggests that when undoped GaN is used as the active layer, it is necessary to reduce the leakage current of GaN Schottky barrier ultraviolet photodetector. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Directory of Open Access Journals (Sweden)
Lin-Yue Liu
2017-10-01
Full Text Available Silicon carbide (SiC detectors of an Ni/4H-SiC Schottky diode structure and with sensitive areas of 1–4 cm2 were fabricated using high-quality lightly doped epitaxial 4H-SiC material, and were tested in the detection of alpha particles and pulsed X-rays/UV-light. A linear energy response to alpha particles ranging from 5.157 to 5.805 MeV was obtained. The detectors were proved to have a low dark current, a good energy resolution, and a high neutron/gamma discrimination for pulsed radiation, showing the advantages in charged particle detection and neutron detection in high-temperature and high-radiation environments.
Physical Mechanisms Responsible for Electrical Conduction in Pt/GaN Schottky Diodes
H. MAZARI; K. AMEUR; N. BENSEDDIK; Z. BENAMARA; R. KHELIFI; M. MOSTEFAOUI; N. ZOUGAGH; N. BENYAHYA; R. BECHAREF; G. BASSOU; B. GRUZZA; J. M. BLUET; C. BRU-CHEVALLIER
2014-01-01
The current-voltage (I-V) characteristics of Pt/(n.u.d)-GaN and Pt/Si-doped-GaN diodes Schottky are investigated. Based on these measurements, physical mechanisms responsible for electrical conduction have been suggested. The contribution of thermionic-emission current and various other current transport mechanisms were assumed when evaluating the Schottky barrier height. Thus the generation-recombination, tunneling and leakage currents caused by inhomogeneities and defects at metal-semicondu...
International Nuclear Information System (INIS)
Zhang Xianjun; Yang Yintang; Chai Changchun; Duan Baoxing; Song Kun; Chen Bin
2012-01-01
A lower doped layer is inserted between the gate and channel layer and its effect on the performance of a 4H-SiC Schottky barrier field-effect transistor (MESFET) is investigated. The dependences of the drain current and small signal parameters on this inserted gate-buffer layer are obtained by solving one-dimensional (1-D) and two-dimensional (2-D) Poisson's equations. The drain current and small signal parameters of the 4H-SiC MESFET with a gate-buffer layer thickness of 0.15 μm are calculated and the breakdown characteristics are simulated. The results show that the current is increased by increasing the thickness of the gate-buffer layer; the breakdown voltage is 160 V, compared with 125 V for the conventional 4H-SiC MESFET; the cutoff frequency is 27 GHz, which is higher than 20 GHz of the conventional structure due to the lower doped gate-buffer layer. (semiconductor devices)
International Nuclear Information System (INIS)
Jung, Seung-Min; Cho, Won-Ju; Jung, Jong-Wan
2012-01-01
Polycrystalline-silicon (poly-Si) Schottky-barrier thin-film transistors (SB-TFTs) with Pt-silicided source /drain junctions were fabricated on glass substrates, and the electrical characteristics were examined. The amorphous silicon films on glass substrates were converted into high-quality poly-Si by using excimer laser annealing (ELA) and solid phase crystallization (SPC) methods. The crystallinity of poly-Si was analyzed by using scanning electron microscopy, transmission electron microscopy, and X-ray diffraction analysis. The silicidation process was optimized by measuring the electrical characteristics of the Pt-silicided Schottky diodes. The performances of Pt-silicided SB-TFTs using poly-Si films on glass substrates and crystallized by using ELA and SPC were demonstrated. The SB-TFTs using the ELA poly-Si film demonstrated better electrical performances such as higher mobility (22.4 cm 2 /Vs) and on/off current ratio (3 x 10 6 ) and lower subthreshold swing value (120 mV/dec) than the SPC poly-Si films.
International Nuclear Information System (INIS)
Metin, Önder; Aydoğan, Şakir; Meral, Kadem
2014-01-01
Highlights: • Graphene Oxide (GO)–Fe 3 O 4 nanocomposites were prepared by a novel and facile method. • The successful assembly of Fe 3 O 4 NPs onto GO sheets was displayed by TEM. • The GO–Fe 3 O 4 nanocomposites/p-Si junction showed good rectifying property. -- Abstract: Addressed herein is a facile method for the preparation of magnetic graphene oxide–Fe 3 O 4 (GO–Fe 3 O 4 ) nanocomposites and the rectifying properties of (GO–Fe 3 O 4 )/p-Si junction in a Schottky diode. GO–Fe 3 O 4 nanocomposites were prepared by a novel method in which as-prepared GO sheets were decorated with the monodisperse Fe 3 O 4 nanoparticles (NPs) in dimethylformamide/chloroform mixture via a sonication process. The successful assembly of Fe 3 O 4 NPs onto GO sheets was displayed by transmission electron microscopy (TEM). Inductively couple plasma optical emission spectroscopy (ICP-OES) analysis of the GO–Fe 3 O 4 nanocomposite showed that the nanocomposite consists of 20.1 wt% Fe 3 O 4 NPs which provides a specific saturation magnetization (Ms) as 16 emu/g. The current–voltage (I–V) characteristics of the (GO–Fe 3 O 4 )/p-Si junction in a Schottky diode were studied in the temperature range of 50–350 K in the steps of 25 K. It was determined that the barrier height and ideality factor of the Au/GO–Fe 3 O 4 /p-Si/Al Schottky diode were depended on temperature as the barrier height increased while the ideality factor decreased with increasing temperature. The experimental values of barrier height and ideality factor were varied from 0.12 eV and 11.24 at 50 K to 0.76 eV and 2.49 at 350 K, respectively. The Richardson plot exhibited non-linearity at low temperatures that was attributed to the barrier inhomogeneities prevailing at the GO–Fe 3 O 4 /p-Si junction
Von Krahli akadeemikud küsivad, mis tuleb pärast kapitalismi
2008-01-01
Oktoobris Von Krahli Akadeemias toimuvast neljaosalisest loengusarjast, mis otsib vastust küsimusele - kas on elu pärast kapitalismi. Küsivad ja vastavad näitlejad, kirjanikud, kunstnikud, filmitegijad Eestist ja mujalt
Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator
Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.
2018-03-01
Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.
Ye, Yu; Dai, Yu; Dai, Lun; Shi, Zujin; Liu, Nan; Wang, Fei; Fu, Lei; Peng, Ruomin; Wen, Xiaonan; Chen, Zhijian; Liu, Zhongfan; Qin, Guogang
2010-12-01
High-performance single CdS nanowire (NW) as well as nanobelt (NB) Schottky junction solar cells were fabricated. Au (5 nm)/graphene combined layers were used as the Schottky contact electrodes to the NWs (NBs). Typical as-fabricated NW solar cell shows excellent photovoltaic behavior with an open circuit voltage of ∼0.15 V, a short circuit current of ∼275.0 pA, and an energy conversion efficiency of up to ∼1.65%. The physical mechanism of the combined Schottky electrode was discussed. We attribute the prominent capability of the devices to the high-performance Schottky combined electrode, which has the merits of low series resistance, high transparency, and good Schottky contact to the CdS NW (NB). Besides, a promising site-controllable patterned graphene transfer method, which has the advantages of economizing graphene material and free from additional etching process, was demonstrated in this work. Our results suggest that semiconductor NWs (NBs) are promising materials for novel solar cells, which have potential application in integrated nano-optoelectronic systems.
Electro-physical properties of a Si-based MIS structure with a low-k SiOC(-H) film
Energy Technology Data Exchange (ETDEWEB)
Zakirov, Anvar Sagatovich; Navamathavan, Rangaswamy; Kim, Seung Hyun; Jang, Yong Jun; Jung, An Soo; Choi, Chi Kyu [Cheju National University, Jeju (Korea, Republic of)
2006-09-15
SiOC(-H) films with low dielectric constants have been prepared by using plasma enhanced chemical vapor deposition with a mixture of methyltriethoxysilane and oxygen precursors. The C-V characteristics of the structures, Al/SiOC(-H)/p-Si(100), were studied in the forward and the reverse directions by applying a polarizing potential. We found that the ratio of the maximum to the minimum capacitance (C{sub ma}x{sub /}C{sub min}) depended on the [MTES/(MTES+O{sub 2})] flow rate ratio. Annealed samples exhibited even greater reductions of the maximum capacitance and the dielectric constant of the SiOC(-H) samples. After annealing at 400 .deg. C, the measurement in the reverse direction revealed an interesting behavior in the form of strongly pronounced 'steps'. The bonds between Si-O and the -CH{sub 3} group reduced the surface charge density, and the distribution of the surface charge density depended on [MTES/(MTES+O{sub 2})] flow rate ratio and the annealing temperature because the fixed positive (Si-CH{sub 3}){sup +} and negative (Si-O){sup -} changed the configuration at the SiOC(-H)/p-Si(100) interface. The SiOC(-H) film had donor (O{sub 2}) and acceptor (Si-CH{sub 3} -groups) levels, and the electronic process at the SiOC(-H)/p-Si(100) interface was defined by the (Si-CH{sub 3}){sup +} and the (Si-O){sup -} bonds.
Forward Current Transport Mechanisms of Ni/Au—InAlN/AlN/GaN Schottky Diodes
Wang, Xiao-Feng; Shao, Zhen-Guang; Chen, Dun-Jun; Lu, Hai; Zhang, Rong; Zheng, You-Dou
2014-05-01
We fabricate two Ni/Au-In0.17Al0.83N/AlN/GaN Schottky diodes on substrates of sapphire and Si, respectively, and investigate their forward-bias current transport mechanisms by temperature-dependent current-voltage measurements. In the temperature range of 300-485 K, the Schottky barrier heights (SBHs) calculated by using the conventional thermionic-emission (TE) model are strongly positively dependent on temperature, which is in contrast to the negative-temperature-dependent characteristic of traditional semiconductor Schottky diodes. By fitting the forward-bias I-V characteristics using different current transport models, we find that the tunneling current model can describe generally the I-V behaviors in the entire measured range of temperature. Under the high forward bias, the traditional TE mechanism also gives a good fit to the measured I-V data, and the actual barrier heights calculated according to the fitting TE curve are 1.434 and 1.413 eV at 300K for InAlN/AlN/GaN Schottky diodes on Si and the sapphire substrate, respectively, and the barrier height shows a slightly negative temperature coefficient. In addition, a formula is given to estimate SBHs of Ni/Au—InAlN/AlN/GaN Schottky diodes taking the Fermi-level pinning effect into account.
Tsukimoto, S.; Nitta, K.; Sakai, T.; Moriyama, M.; Murakami, Masanori
2004-05-01
In order to understand a mechanism of TiAl-based ohmic contact formation for p-type 4H-SiC, the electrical properties and microstructures of Ti/Al and Ni/Ti/Al contacts, which provided the specific contact resistances of approximately 2×10-5 Ω-cm2 and 7×10-5 Ω-cm2 after annealing at 1000°C and 800°C, respectively, were investigated using x-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM). Ternary Ti3SiC2 carbide layers were observed to grow on the SiC surfaces in both the Ti/Al and the Ni/Ti/Al contacts when the contacts yielded low resistance. The Ti3SiC2 carbide layers with hexagonal structures had an epitaxial orientation relationship with the 4H-SiC substrates. The (0001)-oriented terraces were observed periodically at the interfaces between the carbide layers and the SiC, and the terraces were atomically flat. We believed the Ti3SiC2 carbide layers primarily reduced the high Schottky barrier height at the contact metal/p-SiC interface down to about 0.3 eV, and, thus, low contact resistances were obtained for p-type TiAl-based ohmic contacts.
Physical Mechanisms Responsible for Electrical Conduction in Pt/GaN Schottky Diodes
Directory of Open Access Journals (Sweden)
H. MAZARI
2014-05-01
Full Text Available The current-voltage (I-V characteristics of Pt/(n.u.d-GaN and Pt/Si-doped-GaN diodes Schottky are investigated. Based on these measurements, physical mechanisms responsible for electrical conduction have been suggested. The contribution of thermionic-emission current and various other current transport mechanisms were assumed when evaluating the Schottky barrier height. Thus the generation-recombination, tunneling and leakage currents caused by inhomogeneities and defects at metal-semiconductor interface were taken into account.
Garcia, Timothy Richard
Pyroprocessing is a method of using high-temperature molten salts and electric fields to separate and collect fuel isotopes of used nuclear fuel. It has been has been tested in the U.S. at Idaho National Laboratory as a key step in closing the nuclear fuel cycle. One technical problem with the pyroprocessing method is a lack of knowledge regarding the actinide concentrations in the salt bath during operation, since on-line techniques for measuring these concentrations are not presently available. 4H-SiC Schottky diode detectors can potentially fulfill this need. Such detectors would operate in contact with the molten salt, and measure concentrations via alpha-particle spectroscopy. This work seeks to fabricate and characterize 4H-SiC Schottky diode detectors at high temperature, model the alpha particle spectrum expected in a molten salt, and model the operation of the detectors to confirm the physics of operation is as expected. In this work, 4H-SiC Schottky diode detectors were fabricated at OSU Nanotech West. After fabrication, these detectors were characterized using both I-V curves and Am-241 alpha-particle energy spectra. All measurements were made as a function of temperature, from room temperature up to 500°C. The average energy required to create an electron-hole pair was observed to decrease with an increase of temperature, due to a decrease of both the 4H-SiC bandgap and non-linear energy loss terms. Furthermore, the FWHM of the spectra was observed to be dependent on the leakage current at a certain temperature, and not dependent on the temperature itself. Secondly, the alpha particle energy spectrum in the pyroprocessing environment was modeled using SRIM. The molten salt was modeled in 3 different geometries, with or without a protective cover material on top of the detector. Due to the loss of alpha-particle energy in the molten salt itself, a high-energy alpha emitter may completely cover the spectrum from a lower-energy alpha emitter. Each of the
Energy Technology Data Exchange (ETDEWEB)
Yoo, Jin-Su; Cho, Jun-Sik; Park, Joo-Hyung; Ahn, Seung-Kyu; Shin, Kee-Shik; Yoon, Kyung-Hoon [Korea Institute of Energy Research, Daejeon (Korea, Republic of); Yi, Jun-Sin [Sungkyunkwan University, Suwon (Korea, Republic of)
2012-07-15
The surface passivation of crystalline silicon solar cells using plasma enhanced chemical vapor deposition (PECVD), hydrogenated, silicon-nitride (SiN{sub x}:H) thin films has become significant due to a low-temperature, low-cost and very effective defect passivation process. Also, a good quality antireflection coating can be formed. In this work, SiN{sub x}:H thin films were deposited by varying the gas ratio R (=NH{sub 3}/SiH{sub 4}+NH{sub 3}) and were annealed by rapid thermal processing (RTP). Metal-insulator- semiconductor (MIS) devices were fabricated using SiN{sub x}:H thin films as insulator layers and they were analyzed in the temperature range of 100 - 400 K by using capacitance-voltage (C-V) and current-voltage (I-V) measurements. The annealed SiN{sub x}:H thin films were evaluated by using the electrical properties at different temperature to determine the effect of surface passivation. We achieved an energy conversion efficiency of 18.1% under one-sun standard testing conditions for large-area (156 mm x 156 mm) crystalline-silicon solar cells.
Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation
International Nuclear Information System (INIS)
Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.
2000-01-01
Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide
Silver nanowires-templated metal oxide for broadband Schottky photodetector
Energy Technology Data Exchange (ETDEWEB)
Patel, Malkeshkumar; Kim, Hong-Sik; Kim, Joondong, E-mail: joonkim@inu.ac.kr [Photoelectric and Energy Device Application Lab (PEDAL) and Department of Electrical Engineering, Incheon National University, 119 Academy Rd. Yeonsu, Incheon 406772 (Korea, Republic of); Park, Hyeong-Ho [Applied Device and Material Lab., Device Technology Division, Korea Advanced Nano Fab Center (KANC), Suwon 443270 (Korea, Republic of)
2016-04-04
Silver nanowires (AgNWs)-templated transparent metal oxide layer was applied for Si Schottky junction device, which remarked the record fastest photoresponse of 3.4 μs. Self-operating AgNWs-templated Schottky photodetector showed broad wavelength photodetection with high responsivity (42.4 A W{sup −1}) and detectivity (2.75 × 10{sup 15} Jones). AgNWs-templated indium-tin-oxide (ITO) showed band-to-band excitation due to the internal photoemission, resulting in significant carrier collection performances. Functional metal oxide layer was formed by AgNWs-templated from ITO structure. The grown ITO above AgNWs has a cylindrical shape and acts as a thermal protector of AgNWs for high temperature environment without any deformation. We developed thermal stable AgNWs-templated transparent oxide devices and demonstrated the working mechanism of AgNWs-templated Schottky devices. We may propose the high potential of hybrid transparent layer design for various photoelectric applications, including solar cells.
Characteristics of Al/p-AgGaTe2 polycrystalline thin film Schottky barrier diode
International Nuclear Information System (INIS)
Patel, S.S.; Patel, B.H.; Patel, T.S.
2008-01-01
An Al/p-AgGaTe 2 polycrystalline thin film schottky barrier diode have been prepared by flash-evaporation of p-AgGaTe 2 onto a pre-deposited film of aluminium. The current-voltage, capacitance-voltage and photoresponse of the diode have been investigated. The important physical parameter such as barrier height of the fabricated diode was derived from these measurements. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Hosseinifar, Mitra; Ahmadi, Vahid; Ebnali-Heidari, Majid
2017-08-01
This paper presents the design and optimization of a microring resonator enhanced-internal photoemission effect-photodetectors (MRRE-IPE-PDs) suitable for optical communication. Two PD configurations are considered: the first consists of an MRR that is partially surrounded by a nanolayer of silicide with a single Schottky barrier on p-Si MRR; and the second consists of a silicide film buried in the width midpoints of a Si-based MRR where photoemission occurs over the two Schottky barriers. Several silicides are considered for the stripe (PtSi, Pd2Si, TaSi2 and CoSi2). The important features of the device, such as quantum efficiency (QE), responsivity, CW sensitivity and dark current are discussed and the trade-off between 3 dB bandwidth and QE are analyzed for nanoscaled absorption layer. In this regard, some design curves are presented for the optimized MRRE-IPE-PDs. Additionally, this paper reveals substantial improvement via comparisons with QE and responsivity measurements reported in the literature. Bandwidth-efficiency product of 61-71 GHz, responsivities of 0.8-0.9 and QE of 64-71% and the minimum receiver sensitivity of -65 to -66 dBm are also predicted for single and double Schottky barriers, respectively.
International Nuclear Information System (INIS)
Chand, Subhash; Kumar, Rajender
2014-01-01
Highlights: • The Ni/n-ZnO/p-Si/Al heterojunction diodes are fabricated by pulsed laser deposition. • The band gap of the deposit ZnO films was found to be 3.43 eV. • Forward I–V data of Ni/n-ZnO/p-Si/Al hetrojunction are interpreted in terms of thermionic emission–diffusion mechanism. • The C–V characteristics of the Ni/n-ZnO/p-Si/Al hetrojunction diode are measured in the temperature range 80–300 K. • The barrier height of Ni/n-ZnO/p-Si/Al hetrojunction diode is also calculated from C–V measurements. - Abstract: The ZnO thin films are grown on the p-Si for the heterojunction fabrication by pulsed laser deposition method. X-ray diffraction study showed that the texture of the film is hexagonal with a strong (0 0 2) plane as preferred direction. High purity vacuum evaporated nickel and aluminum metals were used to make contacts to the n-ZnO and p-Si, respectively. The current–voltage characteristics of Ni/n-ZnO/p-Si(1 0 0)/Al hetero structure measured over the temperature range 80–300 K have been studied on the basis of thermionic emission diffusion mechanism. The equivalent Schottky barrier height and diode ideality factor are determined by fitting of measured current–voltage data in to thermionic diffusion equation. It is observed that the barrier height decreases and the ideality factor increases with decrease of temperature and the activation energy plot exhibit non-linear behavior. These characteristics are attributed to the Gaussian distribution of barrier heights. The capacitance–voltage characteristics of Ni/n-ZnO/p-Si(1 0 0)/Al heterojunction diode are also studied over wide temperature range. From the measured capacitance–voltage data the built in voltage and impurity concentration in n-type ZnO is estimated
Directory of Open Access Journals (Sweden)
Sara María Leal-Marin
2017-01-01
Full Text Available El Ti6Al4V ELI (ASTM F136 es una de las aleaciones más empleadas en dispositivos de osteosíntesis y reemplazo articular. Sin embargo, las propiedades de esta aleación pueden ser mejoradas respecto a su biocompatibilidad y osteointegración con el tejido óseo a través de recubrimientos. El objetivo de este trabajo fue evaluar el comportamiento electroquímico de un recubrimiento obtenido por oxidación por plasma electrolítico sobre Ti6Al4V ELI empleando soluciones electrolíticas enriquecidas con iones de PO4 2-, Ca+2, Si+4 y varias concentraciones de Ag+1. Los recubrimientos se caracterizaron mediante espectroscopia de impedancia electroquímica (EIS y Mott-Schottky (M-S en una celda de tres electrodos con una solución fisiológica simulada. El comportamiento electroquímico se contrastó con microscopía de fuerza electrostática (EFM, donde se analizó el potencial eléctrico del recubrimiento. Los resultados de EIS mostraron mejores propiedades de estabilidad frente a la corrosión en los sustratos recubiertos con Ca- P-Ag, comparados con los recubiertos con Ca-P-Si-Ag. Los espectros de Nyquist y Bode mostraron relajaciones relacionadas con la transferencia de carga hacia la doble capa electroquímica como reflejo de los cambios microestructurales y de conductividad de los recubrimientos, dada por la presencia particular en cada caso, de los elementos contenidos en estos. De acuerdo con los resultados de M-S, todas las muestras ensayadas presentaron un comportamiento con dopado tipo n, cuya conductividad incrementó con la inclusión de plata. Mediante EFM se observaron mayores contrastes en el potencial, fase y la amplitud de los recubrimientos con mayor cantidad de plata respecto al Ti6Al4V sin recubrir.
Birel, Ozgul; Kavasoglu, Nese; Kavasoglu, A. Sertap; Dincalp, Haluk; Metin, Bengul
2013-03-01
Diazo-compounds are important class of chemical compounds in terms of optical and electronic properties which make them potentially attractive for device applications. Diazo compound containing polyoxy chain has been deposited on p-Si. Current-voltage characteristics of Al/diazo compound containing polyoxy chain/p-Si structure present rectifying behaviour. The Schottky barrier height (SBH), diode factor (n), reverse saturation current (Io), interface state density (Nss) of Al/diazo compound containing polyoxy chain/p-Si structure have been calculated from experimental forward bias current-voltage data measured in the temperature range 100-320 K and capacitance-voltage data measured at room temperature and 1 MHz. The calculated values of SBH have ranged from 0.041 and 0.151 eV for the high and low temperature regions. Diode factor values fluctuate between the values 14 and 18 with temperature. Such a high diode factors stem from disordered interface layer in a junction structure as stated by Brötzmann et al. [M. Brötzmann, U. Vetter, H. Hofsäss, J. Appl. Phys. 106 (2009) 063704]. The calculated values of saturation current have ranged from 3×10-11 A to 2.79×10-7 A and interface state density have ranged from 5×1011 eV-1 cm-2 and 4×1013 eV-1 cm-2 as temperature increases. Results show that Al/diazo compound containing polyoxy chain/p-Si structure is a valuable candidate for device applications in terms of low reverse saturation current and low interface state density.
Valence band states in Si-based p-type delta-doped field effect transistors
International Nuclear Information System (INIS)
Martinez-Orozco, J C; Vlaev, Stoyan J
2009-01-01
We present tight-binding calculations of the hole level structure of δ-doped Field Effect Transistor in a Si matrix within the first neighbors sp 3 s* semi-empirical tight-binding model including spin. We employ analytical expressions for Schottky barrier potential and the p-type δ-doped well based on a Thomas-Fermi approximation, we consider these potentials as external ones, so in the computations they are added to the diagonal terms of the tight-binding Hamiltonian, by this way we have the possibility to study the energy levels behavior as we vary the backbone parameters in the system: the two-dimensional impurity density (p 2d ) of the p-type δ-doped well and the contact voltage (V c ). The aim of this calculation is to demonstrate that the tight-binding approximation is suitable for device characterization that permits us to propose optimal values for the input parameters involved in the device design.
Valence band states in Si-based p-type delta-doped field effect transistors
Energy Technology Data Exchange (ETDEWEB)
Martinez-Orozco, J C; Vlaev, Stoyan J, E-mail: jcmover@correo.unam.m [Unidad Academica de Fisica, Universidad Autonoma de Zacatecas, Calzada Solidaridad esquina con Paseo la Bufa S/N, C.P. 98060, Zacatecas, Zac. (Mexico)
2009-05-01
We present tight-binding calculations of the hole level structure of delta-doped Field Effect Transistor in a Si matrix within the first neighbors sp{sup 3}s* semi-empirical tight-binding model including spin. We employ analytical expressions for Schottky barrier potential and the p-type delta-doped well based on a Thomas-Fermi approximation, we consider these potentials as external ones, so in the computations they are added to the diagonal terms of the tight-binding Hamiltonian, by this way we have the possibility to study the energy levels behavior as we vary the backbone parameters in the system: the two-dimensional impurity density (p{sub 2d}) of the p-type delta-doped well and the contact voltage (V{sub c}). The aim of this calculation is to demonstrate that the tight-binding approximation is suitable for device characterization that permits us to propose optimal values for the input parameters involved in the device design.
Effect of aromatic SAMs molecules on graphene/silicon schottky diode performance
Yağmurcukardeş, Nesli; Aydın, Hasan; Can, Mustafa; Yanılmaz, Alper; Mermer, Ömer; Okur, Salih; Selamet, Yusuf
2016-01-01
Au/n-Si/Graphene/Au Schottky diodes were fabricated by transferring atmospheric pressure chemical vapor deposited (APCVD) graphene on silicon substrates. Graphene/n-Si interface properties were improved by using 5-[(3-methylphenyl)(phenyl) amino]isophthalic acid (MePIFA) and 5-(diphenyl)amino]isophthalic acid (DPIFA) aromatic self-assembled monolayer (SAM) molecules. The surface morphologies of modified and non-modified films were investigated by atomic force microscopy and scanning electron ...
Photoelectric characteristics of CH3NH3PbI3/p-Si heterojunction
Yamei, Wu; Ruixia, Yang; Hanmin, Tian; Shuai, Chen
2016-05-01
Organic-inorganic hybrid perovskite CH3NH3PbI3 film is prepared on p-type silicon substrate using the one-step solution method to form a CH3NH3PbI3/p-Si heterojunction. The film morphology and structure are characterized by atomic force microscopy (AFM) and scanning electron microscopy (SEM). The photoelectric properties of the CH3NH3PbI3/p-Si heterojunction are studied by testing the current-voltage (I-V) with and without illumination and capacitance-voltage (C-V) characteristics. It turns out from the I-V curve without illumination that the CH3NH3PbI3/p-Si heterojunction has a rectifier feature with the rectification ratio over 70 at the bias of ±5 V. Also, there appears a photoelectric conversion phenomenon on this heterojunction with a short circuit current (Isc) of 0.16 μA and an open circuit voltage (Voc) of about 10 mV The high frequency C-V characteristic of the Ag/CH3NH3PbI3/p-Si heterojunction turns out to be similar to that of the metal-insulator-semiconductor (MIS) structure, and a parallel translation of the C-V curve along the forward voltage axis is found. This parallel translation means the existence of defects at the CH3NH3PbI3/p-Si interface and positive fixed charges in the CH3NH3PbI3 layer. The defects at the interface of the CH3NH3PbI3/p-Si heterojunction result in the dramatic decline of the Voc. Besides, the C-V test of CH3NH3PbI3 film shows a non-linear dielectric property and the dielectric value is about 4.64 as calculated. Project supported by the Hebei Province Natural Science Foundation of China (No. F2014202184) and the Tianjin Natural Science Foundation of China (No. 15JCZDJC37800).
International Nuclear Information System (INIS)
Erdogan, Ibrahim Y.; Guellue, O.
2010-01-01
In this work we report the optical, morphological and structural characterization and diode application of Cr 2 O 3 nanofilms grown on p-Si substrates by spin coating and annealing process. X-ray diffraction (XRD), non-contact mode atomic force microscopy (NC-AFM), ultraviolet-visible (UV-vis) spectroscopy and photoluminescence (PL) spectroscopy were used for characterization of nanofilms. For Cr 2 O 3 nanofilms, the average particle size determined from XRD and NC-AFM measurements was approximately 70 nm. Structure analyses of nanofilms demonstrate that the single phase Cr 2 O 3 on silicon substrate is of high a crystalline structure with a dominant in hexagonal (1 1 0) orientation. The morphologic analysis of the films indicates that the films formed from hexagonal nanoparticles are with low roughness and uniform. UV-vis absorption measurements indicate that the band gap of the Cr 2 O 3 film is 3.08 eV. The PL measurement shows that the Cr 2 O 3 nanofilm has a strong and narrow ultraviolet emission, which facilitates potential applications in future photoelectric nanodevices. Au/Cr 2 O 3 /p-Si metal/interlayer/semiconductor (MIS) diodes were fabricated for investigation of the electronic properties such as current-voltage and capacitance-voltage. Ideality factor and barrier height for Au//Cr 2 O 3 /p-Si diode were calculated as 2.15 eV and 0.74 eV, respectively. Also, interfacial state properties of the MIS diode were determined. The interface-state density of the MIS diode was found to vary from 2.90 x 10 13 eV -1 cm -2 to 8.45 x 10 12 eV -1 cm -2 .
Gorji, Mohammad Saleh; Razak, Khairunisak Abdul; Cheong, Kuan Yew
2013-10-15
Given the enormous importance of Au nanoparticles (NPs) deposition on Si substrates as the precursor for various applications, we present an alternative approach to deposit Au NPs on linker-free n- and p-type Si substrates. It is demonstrated that, all conditions being similar, there is a significant difference between densities of the deposited NPs on both substrates. The Zeta-potential and polarity of charges surrounding the hydroxylamine reduced seeded growth Au NPs, are determined by a Zetasizer. To investigate the surface properties of Si substrates, contact angle measurement is performed. Field-emission scanning electron microscope is then utilized to distinguish the NPs density on the substrates. Finally, Al/Si Schottky barrier diodes with embedded Au NPs are fabricated, and their structural and electrical characteristics are further evaluated using an energy-filtered transmission electron microscope and current-voltage measurements, respectively. The results reveal that the density of NPs is significantly higher on n-type Si substrate and consequently has more pronounced effects on the electrical characteristics of the diode. It is concluded that protonation of Si-OH group on Si surface in low pH is responsible for the immobilization of Au NPs, which eventually contributes to the lowering of barrier height and enhances the electrical characteristics. Copyright © 2013 Elsevier Inc. All rights reserved.
Kumar, Sandeep; Katharria, Y. S.; Kumar, Sugam; Kanjilal, D.
2007-12-01
In situ deep level transient spectroscopy has been applied to investigate the influence of 100MeV Si7+ ion irradiation on the deep levels present in Au/n-Si (100) Schottky structure in a wide fluence range from 5×109to1×1012ions cm-2. The swift heavy ion irradiation introduces a deep level at Ec-0.32eV. It is found that initially, trap level concentration of the energy level at Ec-0.40eV increases with irradiation up to a fluence value of 1×1010cm-2 while the deep level concentration decreases as irradiation fluence increases beyond the fluence value of 5×1010cm-2. These results are discussed, taking into account the role of energy transfer mechanism of high energy ions in material.
Planar InP-based Schottky barrier diodes for terahertz applications
International Nuclear Information System (INIS)
Zhou Jingtao; Yang Chengyue; Ge Ji; Jin Zhi
2013-01-01
Based on characteristics such as low barrier and high electron mobility of lattice matched In 0.53 Ga 0.47 As layer, InP-based Schottky barrier diodes (SBDs) exhibit the superiorities in achieving a lower turn-on voltage and series resistance in comparison with GaAs ones. Planar InP-based SBDs have been developed in this paper. Measurements show that a low forward turn-on voltage of less than 0.2 V and a cutoff frequency of up to 3.4 THz have been achieved. The key factors of the diode such as series resistance and the zero-biased junction capacitance are measured to be 3.32 Ω; and 9.1 fF, respectively. They are highly consistent with the calculated values. The performances of the InP-based SBDs in this work, such as low noise and low loss, are promising for applications in the terahertz mixer, multiplier and detector circuits. (semiconductor devices)
Energy Technology Data Exchange (ETDEWEB)
Biber, M
2003-01-01
The current-voltage (I-V) characteristics of metal-insulating layer-semiconductor Cu/n-GaAs and inhomogeneous Cu/n-GaAs Schottky barrier diodes were determined in the temperature range 80-300 K. The evaluation of the experimental I-V data reveals a nonlinear increase of the zero-bias barrier height (qPHI{sub 0}) for the inhomogeneous Cu/n-GaAs Schottky barrier diodes and a linear increase of the zero-bias barrier height (qPHI{sub 0}) for Cu/n-GaAs Schottky barrier diodes with an interfacial layer. The ideality factor n decreases with increasing temperature for all diodes. Furthermore, the changes in PHI{sub 0} and n become quite significant below 150 K and the plot of ln(I{sub 0}/T{sup 2}) versus 1/T exhibits a non-linearity below 180 K for the inhomogeneous barrier diodes. Such behavior is attributed to barrier inhomogeneities by assuming a Gaussian distribution of barrier heights at the interface. The value of the Richardson constant was found to be 5.033 A/cm{sup 2} K{sup 2}, which is close to the theoretical value of 8.16 A/cm{sup 2} K{sup 2} used for the determination of the zero-bias barrier height.
Barrier characteristics of Pt/Ru Schottky contacts on n-type GaN ...
Indian Academy of Sciences (India)
Pt/Ru Schottky rectifiers; n-type GaN; temperature–dependent electrical properties; inhomogeneous barrier heights .... a 2 μm thick Si-doped GaN films which were grown by .... ted values of ap using (9) for two Gaussian distributions of bar-.
International Nuclear Information System (INIS)
Wang, Lifeng; Chen, Haijin; Yu, Jinlong; Lin, Xiaohua; Qi, Jia; Cui, Chunhui; Xie, Lang; Huang, Shuxin
2016-01-01
Cell-penetrating peptides (CPPs) are a research hotspot due to their noninvasive delivery ability. Among the identified CPPs, the TAT and R8 peptides have been preferentially applied to transduction into different cells. However, this process is nonselective among various cells. Recent research suggested that CPP2 could selectively penetrate human colorectal cancer (CRC) cells. Using in vitro experiments, the mean fluorescence intensity of fluorescein isothiocyanate–labeled CPPs (CPPs-FITC) incubated with different cell lines was compared to corroborate the colon tumor targeting ability of CPP2. The targeting ability of CPP2 was determined in the same way in tumor-bearing mice. We synthesized antitumor peptides by fusing CPP2 to the minimal inhibitory sequence of p16 (p16MIS), which had the ability to restore the function of lost p16, the expression of which was absent in tumor cell lines of various origins. The antitumor effect of the combined peptide was tested in both CRC cell lines and tumor-bearing mice. In each CRC cell line, the mean fluorescence intensity of CPP2-FITC was higher than that of the TAT-FITC (p < 0.001) and R8-FITC (p < 0.001) groups. CPP2-p16MIS, the targeting carrier, showed a higher antitumor response in the in vitro cell research. CPP2-p16MIS showed a prolonged mean lifespan of tumor-bearing mice, further characterizing its role in specific tumor-targeting ability in vivo. Survival analysis showed that the mice treated with CPP2-p16MIS had significantly longer survival than the mice treated with phosphate-buffered saline (p < 0.05) or those treated with control peptides, including the CPP2 (p < 0.05) and p16MIS (p < 0.05) groups. CPP2 could more selectively penetrate CRC cells than TAT or R8 as well as effectively deliver the p16MIS to the tumor
Feasibility study of a SiC sandwich neutron spectrometer
Energy Technology Data Exchange (ETDEWEB)
Wu, Jian, E-mail: caepwujian@163.com [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang 621900, Sichuan Province (China); Lei, Jiarong, E-mail: jiarong_lei@163.com [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang 621900, Sichuan Province (China); Jiang, Yong; Chen, Yu; Rong, Ru; Zou, Dehui; Fan, Xiaoqiang [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang 621900, Sichuan Province (China); Chen, Gang; Li, Li; Bai, Song [Nanjing Electronic Devices Institute, Nanjing 210016 (China)
2013-04-21
Semiconductor sandwich neutron spectrometers are suitable for in-pile measurements of fast reactor spectra thanks to their compact and relatively simple design. We have assembled and tested a sandwich neutron spectrometer based on 4H-silicon carbide (4H-SiC) Schottky diodes. The SiC diodes detect neutrons via neutron-induced charged particles (tritons and alpha particles) produced by {sup 6}Li(n,α){sup 3}H reaction. {sup 6}LiF neutron converter layers are deposited on the front surface of Schottky diodes by magnetron sputtering. The responses of SiC diodes to charged particles were investigated with an {sup 241}Am alpha source. A sandwich neutron spectrometer was assembled with two SiC Schottky diodes selected based on the charged-particle-response experimental results. The low-energy neutron response of the sandwich spectrometer was measured in the neutron field of the Chinese Fast Burst Reactor-II (CFBR-II). Spectra of alpha particles and tritons from {sup 6}Li(n,α){sup 3}H reaction were obtained with two well-resolved peaks. The energy resolution of the sum spectrum was 8.8%. The primary experimental results confirmed the 4H-SiC sandwich neutron spectrometer's feasibility. -- Highlights: ► Sandwich neutron spectrometer employing 4H-SiC as a detecting material has been developed for the first time. ► {sup 6}LiF neutron converter has been deposited on the surface of 4H-SiC Schottky diode. ► Preliminary testing results obtained with the 4H-SiC sandwich neutron spectrometer are presented.
International Nuclear Information System (INIS)
Tascioglu, I.; Uslu, H.; Aydemir, U.
2010-01-01
The MIS structures were exposed to 6 0Co γ-ray source at 5 kGy and radiation effect on dielectric properties has been investigated using admittance method (C-V and G/ω-V) by applying a small ac signal of 40 mV amplitude at 1 MHz and room temperature. The voltage dependent dielectric constant (ε'), dielectric loss (ε''), loss tangent (tanδ), electric modulus(M*) and ac electrical conductivity (σ a c) profiles show an intersection behavior about 1.6 V. The ε', ε'', tanδ and σ a c values decrease with increasing dose before intersection point after than they become increase. Such behavior can be explained on the basis of Maxwell-Wagner interfacial polarization and restructuring and reordering of interface states charges due to the effect of γ-ray irradiation. Also, the imaginer part of M* exhibits a peak. It is concluded that all these parameters of MIS structure are strongly dependent on the radiation dose and applied bias voltage especially in depletion region.
International Nuclear Information System (INIS)
Birel, Ozgul; Kavasoglu, Nese; Kavasoglu, A. Sertap; Dincalp, Haluk; Metin, Bengul
2013-01-01
Diazo-compounds are important class of chemical compounds in terms of optical and electronic properties which make them potentially attractive for device applications. Diazo compound containing polyoxy chain has been deposited on p-Si. Current–voltage characteristics of Al/diazo compound containing polyoxy chain/p-Si structure present rectifying behaviour. The Schottky barrier height (SBH), diode factor (n), reverse saturation current (I o ), interface state density (N ss ) of Al/diazo compound containing polyoxy chain/p-Si structure have been calculated from experimental forward bias current–voltage data measured in the temperature range 100–320 K and capacitance–voltage data measured at room temperature and 1 MHz. The calculated values of SBH have ranged from 0.041 and 0.151 eV for the high and low temperature regions. Diode factor values fluctuate between the values 14 and 18 with temperature. Such a high diode factors stem from disordered interface layer in a junction structure as stated by Brötzmann et al. [M. Brötzmann, U. Vetter, H. Hofsäss, J. Appl. Phys. 106 (2009) 063704]. The calculated values of saturation current have ranged from 3×10 −11 A to 2.79×10 −7 A and interface state density have ranged from 5×10 11 eV −1 cm −2 and 4×10 13 eV −1 cm −2 as temperature increases. Results show that Al/diazo compound containing polyoxy chain/p-Si structure is a valuable candidate for device applications in terms of low reverse saturation current and low interface state density
Energy Technology Data Exchange (ETDEWEB)
Birel, Ozgul [Mugla Sitki Kocman University, Faculty of Science, Chemistry Department, 48000-Muğla (Turkey); Kavasoglu, Nese, E-mail: knesese@gmail.com [Mugla Sitki Kocman University, Faculty of Science, Physics Department, Photovoltaic Material and Device Laboratory, 48000-Muğla (Turkey); Kavasoglu, A. Sertap [Mugla Sitki Kocman University, Faculty of Science, Physics Department, Photovoltaic Material and Device Laboratory, 48000-Muğla (Turkey); Dincalp, Haluk [Celal Bayar University, Faculty of Arts and Science, Chemistry Department, 45000-Manisa (Turkey); Metin, Bengul [Mugla Sitki Kocman University, Faculty of Science, Physics Department, Photovoltaic Material and Device Laboratory, 48000-Muğla (Turkey)
2013-03-01
Diazo-compounds are important class of chemical compounds in terms of optical and electronic properties which make them potentially attractive for device applications. Diazo compound containing polyoxy chain has been deposited on p-Si. Current–voltage characteristics of Al/diazo compound containing polyoxy chain/p-Si structure present rectifying behaviour. The Schottky barrier height (SBH), diode factor (n), reverse saturation current (I{sub o}), interface state density (N{sub ss}) of Al/diazo compound containing polyoxy chain/p-Si structure have been calculated from experimental forward bias current–voltage data measured in the temperature range 100–320 K and capacitance–voltage data measured at room temperature and 1 MHz. The calculated values of SBH have ranged from 0.041 and 0.151 eV for the high and low temperature regions. Diode factor values fluctuate between the values 14 and 18 with temperature. Such a high diode factors stem from disordered interface layer in a junction structure as stated by Brötzmann et al. [M. Brötzmann, U. Vetter, H. Hofsäss, J. Appl. Phys. 106 (2009) 063704]. The calculated values of saturation current have ranged from 3×10{sup −11} A to 2.79×10{sup −7} A and interface state density have ranged from 5×10{sup 11} eV{sup −1} cm{sup −2} and 4×10{sup 13} eV{sup −1} cm{sup −2} as temperature increases. Results show that Al/diazo compound containing polyoxy chain/p-Si structure is a valuable candidate for device applications in terms of low reverse saturation current and low interface state density.
Shih, Ko-Han; Chang, Yin-Jung
2018-01-01
Solar energy conversion via internal photoemission (IPE) across a planar p-type Schottky junction is quantified for aluminum (Al) and copper (Cu) in the framework of direct transitions with non-constant matrix elements. Transition probabilities and k-resolved group velocities are obtained based on pseudo-wavefunction expansions and realistic band structures using the pseudopotential method. The k-resolved number of direct transitions, hole photocurrent density, quantum yield (QY), and the power conversion efficiency (PCE) under AM1.5G solar irradiance are subsequently calculated and analyzed. For Al, the parabolic and "parallel-band" effect along the U-W-K path significantly enhances the transition rate with final energies of holes mainly within 1.41 eV below the Fermi energy. For Cu, d-state hot holes mostly generated near the upper edge of 3d bands dominate the hole photocurrent and are weekly (strongly) dependent on the barrier height (metal film thickness). Hot holes produced in the 4s band behave just oppositely to their d-state counterparts. Non-constant matrix elements are shown to be necessary for calculations of transitions due to time-harmonic perturbation in Cu. Compared with Cu, Al-based IPE in p-type Schottky shows the highest PCE (QY) up to about 0.2673% (5.2410%) at ΦB = 0.95 eV (0.5 eV) and a film thickness of 11 nm (20 nm). It is predicted that metals with relatively dispersionless d bands (such as Cu) in most cases do not outperform metals with photon-accessible parallel bands (such as Al) in photon energy conversion using a planar p-type Schottky junction.
Study of Schottky diodes made on Mn doped p-type InP
Czech Academy of Sciences Publication Activity Database
Žďánský, Karel; Kozak, Halina; Sopko, B.; Pekárek, Ladislav
2008-01-01
Roč. 19, č. 1 (2008), S333-S337 ISSN 0957-4522 R&D Projects: GA AV ČR KAN400670651 Institutional research plan: CEZ:AV0Z20670512; CEZ:AV0Z10100520 Keywords : Schottky effect * semiconductors * deep levels Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.054, year: 2008
Vabbina, PhaniKiran; Choudhary, Nitin; Chowdhury, Al-Amin; Sinha, Raju; Karabiyik, Mustafa; Das, Santanu; Choi, Wonbong; Pala, Nezih
2015-07-22
Two dimensional (2D) Molybdenum disulfide (MoS2) has evolved as a promising material for next generation optoelectronic devices owing to its unique electrical and optical properties, such as band gap modulation, high optical absorption, and increased luminescence quantum yield. The 2D MoS2 photodetectors reported in the literature have presented low responsivity compared to silicon based photodetectors. In this study, we assembled atomically thin p-type MoS2 with graphene to form a MoS2/graphene Schottky photodetector where photo generated holes travel from graphene to MoS2 over the Schottky barrier under illumination. We found that the p-type MoS2 forms a Schottky junction with graphene with a barrier height of 139 meV, which results in high photocurrent and wide spectral range of detection with wavelength selectivity. The fabricated photodetector showed excellent photosensitivity with a maximum photo responsivity of 1.26 AW(-1) and a noise equivalent power of 7.8 × 10(-12) W/√Hz at 1440 nm.
Energy Technology Data Exchange (ETDEWEB)
Simeonov, S; Bakalova, S; Szekeres, A; Kafedjiijska, E [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Grigorescu, S; Socol, G; Mihailescu, I N [Lasers Department, National Institute for Lasers, Plasma and Radiation Physics, PO Box MG-54, RO-77125, Bucharest-Magurele (Romania)], E-mail: sbakalova@issp.bas.bg
2008-05-01
MIS structures with AlN films deposited on p-Si by pulsed laser deposition were prepared and admittance measurements were carried out in the frequency range of 100 Hz - 10 MHz. The density of traps in the AlN film and at the AlN/Si interface was evaluated using the electrical characteristics obtained, and the hopping mechanism of charge transport was determined from the dispersion of the a.c. conductance.
Schottky junction photovoltaic devices based on CdS single nanobelts.
Ye, Y; Dai, L; Wu, P C; Liu, C; Sun, T; Ma, R M; Qin, G G
2009-09-16
Schottky junction photovoltaic (PV) devices were fabricated on single CdS nanobelts (NBs). Au was used as the Schottky contact, and In/Au was used as the ohmic contact to CdS NB. Typically, the Schottky junction exhibits a well-defined rectifying behavior in the dark with a rectification ratio greater than 10(3) at +/- 0.3 V; and the PV device exhibits a clear PV behavior with an open circuit photovoltage of about 0.16 V, a short circuit current of about 23.8 pA, a maximum output power of about 1.6 pW, and a fill factor of 42%. Moreover, the output power can be multiplied by connecting two or more of the Schottky junction PV devices, made on a single CdS NB, in parallel or in series. This study demonstrates that the 1D Schottky junction PV devices, which have the merits of low cost, easy fabrication and material universality, can be an important candidate for power sources in nano-optoelectronic systems.
Charge transport properties of metal/metal-phthalocyanine/n-Si structures
Energy Technology Data Exchange (ETDEWEB)
Hussain, Afzal
2010-12-16
In present work the charge transport properties of metal/metal-phthalocyanine/n-Si structures with low (N{sub D} = 4 x 10{sup 14} cm{sup -3}), medium (N{sub D}=1 x 10{sup 16} cm{sup -3}) and high (N{sub D}=2 x 10{sup 19} cm{sup -3}) doped n-Si as injecting electrode and the effect of air exposure of the vacuum evaporated metal-phthalocyanine film in these structures is investigated. The results obtained through temperature dependent electrical characterizations of the structures suggest that in terms of dominant conduction mechanism in the corresponding devices Schottky-type conduction mechanism dominates the charge transport in low-bias region of these devices up to 0.8 V, 0.302 V and 0.15 V in case of low, medium and high doped n-Silicon devices. For higher voltages, in each case of devices, the space-charge-limited conduction, controlled by exponential trap distribution, is found to dominate the charge transport properties of the devices. The interface density of states at the CuPc/n-Si interface of the devices are found to be lower in case of lower work function difference at the CuPc/n-Si interface of the devices. The results also suggest that the work function difference at the CuPc/n-Si interface of these devices causes charge transfer at the interface and these phenomena results in formation of interface dipole. The width of the Schottky depletion region at the CuPc/n-Si interface of these devices is found to be higher with higher work function difference at the interface. The investigation of charge transport properties of Al/ZnPc/medium n-Si and Au/ZnPc/ medium n-Si devices suggest that the Schottky depletion region formed at the ZnPc/n-Si interface of these devices determines the charge transport in the low-bias region of both the devices. Therefore, the Schottky-type (injection limited) and the space-charge-limited (bulk limited) conduction are observed in the low and the high bias regions of these devices, respectively. The determined width of the
Skromme, B. J.; Luckowski, E.; Moore, K.; Bhatnagar, M.; Weitzel, C. E.; Gehoski, T.; Ganser, D.
2000-03-01
Electrical properties, including current-voltage (I-V) and capacitance-voltage (C-V) characteristics, have been measured on a large number of Ti, Ni, and Pt-based Schottky barrier diodes on 4H-SiC epilayers. Various nonideal behaviors are frequently observed, including ideality factors greater than one, anomalously low I-V barrier heights, and excess leakage currents at low forward bias and in reverse bias. The nonidealities are highly nonuniform across individual wafers and from wafer to wafer. We find a pronounced linear correlation between I-V barrier height and ideality factor for each metal, while C-V barrier heights remain constant. Electron beam induced current (EBIC) imaging strongly suggests that the nonidealities result from localized low barrier height patches. These patches are related to discrete crystal defects, which become visible as recombination centers in the EBIC images. Alternative explanations involving generation-recombination current, uniform interfacial layers, and effects related to the periphery are ruled out.
Understanding Pt-ZnO:In Schottky nanocontacts by conductive atomic force microscopy
Chirakkara, Saraswathi; Choudhury, Palash Roy; Nanda, K. K.; Krupanidhi, S. B.
2016-04-01
Undoped and In doped ZnO (IZO) thin films are grown on Pt coated silicon substrates Pt/Si by pulsed laser deposition to fabricate Pt/ZnO:In Schottky diodes. The Schottky diodes were investigated by conventional two-probe current-voltage (I-V) measurements and by the I-V spectroscopy tool of conductive atomic force microscopy (C-AFM). The large deviation of the ideality factor from unity and the temperature dependent Schottky barrier heights (SBHs) obtained from the conventional method imply the presence of inhomogeneous interfaces. The inhomogeneity of SBHs is confirmed by C-AFM. Interestingly, the I-V curves at different points are found to be different, and the SBHs deduced from the point diodes reveal inhomogeneity at the nanoscale at the metal-semiconductor interface. A reduction in SBH and turn-on voltage along with enhancement in forward current are observed with increasing indium concentration.
Tuning the Schottky contacts in the phosphorene and graphene heterostructure by applying strain.
Liu, Biao; Wu, Li-Juan; Zhao, Yu-Qing; Wang, Lin-Zhi; Caii, Meng-Qiu
2016-07-20
The structures and electronic properties of the phosphorene and graphene heterostructure are investigated by density functional calculations using the hybrid Heyd-Scuseria-Ernzerhof (HSE) functional. The results show that the intrinsic properties of phosphorene and graphene are preserved due to the weak van der Waals contact. But the electronic properties of the Schottky contacts in the phosphorene and graphene heterostructure can be tuned from p-type to n-type by the in-plane compressive strains from -2% to -4%. After analyzing the total band structure and density of states of P atom orbitals, we find that the Schottky barrier height (SBH) is determined by the P-pz orbitals. What is more, the variation of the work function of the phosphorene monolayer and the graphene electrode and the Fermi level shift are the nature of the transition of Schottky barrier from n-type Schottky contact to p-type Schottky contact in the phosphorene and graphene heterostructure under different in-plane strains. We speculate that these are general results of tuning of the electronic properties of the Schottky contacts in the phosphorene and graphene heterostructure by controlling the in-plane compressive strains to obtain a promising method to design and fabricate a phosphorene-graphene based field effect transistor.
Energy Technology Data Exchange (ETDEWEB)
Metin, Önder [Department of Chemistry, Faculty of Science, Atatürk University, 25240 Erzurum (Turkey); Aydoğan, Şakir [Department of Physics, Faculty of Science, Atatürk University, 25240 Erzurum (Turkey); Meral, Kadem, E-mail: kademm@atauni.edu.tr [Department of Chemistry, Faculty of Science, Atatürk University, 25240 Erzurum (Turkey)
2014-02-05
Highlights: • Graphene Oxide (GO)–Fe{sub 3}O{sub 4} nanocomposites were prepared by a novel and facile method. • The successful assembly of Fe{sub 3}O{sub 4} NPs onto GO sheets was displayed by TEM. • The GO–Fe{sub 3}O{sub 4} nanocomposites/p-Si junction showed good rectifying property. -- Abstract: Addressed herein is a facile method for the preparation of magnetic graphene oxide–Fe{sub 3}O{sub 4} (GO–Fe{sub 3}O{sub 4}) nanocomposites and the rectifying properties of (GO–Fe{sub 3}O{sub 4})/p-Si junction in a Schottky diode. GO–Fe{sub 3}O{sub 4} nanocomposites were prepared by a novel method in which as-prepared GO sheets were decorated with the monodisperse Fe{sub 3}O{sub 4} nanoparticles (NPs) in dimethylformamide/chloroform mixture via a sonication process. The successful assembly of Fe{sub 3}O{sub 4} NPs onto GO sheets was displayed by transmission electron microscopy (TEM). Inductively couple plasma optical emission spectroscopy (ICP-OES) analysis of the GO–Fe{sub 3}O{sub 4} nanocomposite showed that the nanocomposite consists of 20.1 wt% Fe{sub 3}O{sub 4} NPs which provides a specific saturation magnetization (Ms) as 16 emu/g. The current–voltage (I–V) characteristics of the (GO–Fe{sub 3}O{sub 4})/p-Si junction in a Schottky diode were studied in the temperature range of 50–350 K in the steps of 25 K. It was determined that the barrier height and ideality factor of the Au/GO–Fe{sub 3}O{sub 4}/p-Si/Al Schottky diode were depended on temperature as the barrier height increased while the ideality factor decreased with increasing temperature. The experimental values of barrier height and ideality factor were varied from 0.12 eV and 11.24 at 50 K to 0.76 eV and 2.49 at 350 K, respectively. The Richardson plot exhibited non-linearity at low temperatures that was attributed to the barrier inhomogeneities prevailing at the GO–Fe{sub 3}O{sub 4}/p-Si junction.
Fundamental studies of graphene/graphite and graphene-based Schottky photovoltaic devices
Miao, Xiaochang
In the carbon allotropes family, graphene is one of the most versatile members and has been extensively studied since 2004. The goal of this dissertation is not only to investigate the novel fundamental science of graphene and its three-dimensional sibling, graphite, but also to explore graphene's promising potential in modern electronic and optoelectronic devices. The first two chapters provide a concise introduction to the fundamental solid state physics of graphene (as well as graphite) and the physics at the metal/semiconductor interfaces. In the third chapter, we demonstrate the formation of Schottky junctions at the interfaces of graphene (semimetal) and various inorganic semiconductors that play dominating roles in today's semiconductor technology, such as Si, SiC, GaAs and GaN. As shown from their current-voltage (I -V) and capacitance-voltage (C-V) characteristics, the interface physics can be well described within the framework of the Schottky-Mott model. The results are also well consist with that from our previous studies on graphite based Schottky diodes. In the fourth chapter, as an extension of graphene based Schottky work, we investigate the photovoltaic (PV) effect of graphene/Si junctions after chemically doped with an organic polymer (TFSA). The power conversion efficiency of the solar cell improves from 1.9% to 8.6% after TFSA doping, which is the record in all graphene based PVs. The I -V, C-V and external quantum efficiency measurements suggest 12 that such a significant enhancement in the device performance can be attributed to a doping-induced decrease in the series resistance and a simultaneous increase in the built-in potential. In the fifth chapter, we investigate for the first time the effect of uniaxial strains on magneto-transport properties of graphene. We find that low-temperature weak localization effect in monolayer graphene is gradually suppressed under increasing strains, which is due to a strain-induced decreased intervalley
Schottky barrier CdTe(Cl) detectors for planetary missions
International Nuclear Information System (INIS)
Eisen, Yosef; Floyd, Samuel
2002-01-01
Schottky barrier cadmium telluride (CdTe) radiation detectors of dimensions 2mm x 2mm x 1mm and segmented monolithic 3cm x 3 cm x 1mm are under study at GSFC for future NASA planetary instruments. These instruments will perform x-ray fluorescence spectrometry of the surface and monitor the solar x-ray flux spectrum, the excitation source for the characteristic x-rays emitted from the planetary body. The Near Earth Asteroid Rendezvous (NEAR) mission is the most recent example of such a remote sensing technique. Its x-ray fluorescence detectors were gas proportional counters with a back up Si PIN solar monitor. Analysis of NEAR data has shown the necessity to develop a solar x-ray detector with efficiency extending to 30keV. Proportional counters and Si diodes have low sensitivity above 9keV. Our 2mm x 2mm x 1mm CdTe operating at -30 degree sign C possesses an energy resolution of 250eV FWHM for 55Fe with unit efficiency to up to 30keV. This is an excellent candidate for a solar monitor. Another ramification of the NEAR data is a need to develop a large area detector system, 20-30 cm2, with cosmic ray charged particle rejection, for measuring the characteristic radiation. A 3cm x 3cm x 1mm Schottky CdTe segmented monolithic detector is under investigation for this purpose. A tiling of 2-3 such detectors will result in the desired area. The favorable characteristics of Schottky CdTe detectors, the system design complexities when using CdTe and its adaptation to future missions will be discussed
The novel transparent sputtered p-type CuO thin films and Ag/p-CuO/n-Si Schottky diode applications
Directory of Open Access Journals (Sweden)
A. Tombak
2015-01-01
Full Text Available In the current paper, the physical properties and microelectronic parameters of direct current (DC sputtered p-type CuO film and diode have been investigated. The film of CuO as oxide and p-type semiconductor is grown onto glass and n-Si substrates by reactive DC sputtering at 250 °C. After deposition, a post-annealing procedure is applied at various temperatures in ambient. Through this research, several parameters are determined such structural, optical and electrical magnitudes. The thickness of CuO thin films goes from 122 to 254 nm. A (111-oriented cubic crystal structure is revealed by X-ray analysis. The grain size is roughly depending on the post-annealing temperature, it increases with temperature within the 144–285 nm range. The transmittance reaches 80% simultaneously in visible and infrared bands. The optical band gap is varied between 1.99 and 2.52 eV as a result of annealing temperature while the resistivity and the charge carrier mobility decrease with an increase in temperature from 135 to 14 Ω cm and 0.92 to 0.06 cm2/Vs, respectively. The surface of samples is homogenous, bright dots are visible when temperature reaches the highest value. As a diode, Ag/CuO/n-Si exhibits a non-ideal behavior and the ideality factor is about 3.5. By Norde method, the barrier height and the series resistance are extracted and found to be 0.96 V and 86.6 Ω respectively.
Spatial inhomogeneity in Schottky barrier height at graphene/MoS2 Schottky junctions
Tomer, D.; Rajput, S.; Li, L.
2017-04-01
Transport properties of graphene semiconductor Schottky junctions strongly depend on interfacial inhomogeneities due to the inherent formation of ripples and ridges. Here, chemical vapor deposited graphene is transferred onto multilayer MoS2 to fabricate Schottky junctions. These junctions exhibit rectifying current-voltage behavior with the zero bias Schottky barrier height increases and ideality factor decreases with increasing temperature between 210 and 300 K. Such behavior is attributed to the inhomogeneous interface that arises from graphene ripples and ridges, as revealed by atomic force and scanning tunneling microscopy imaging. Assuming a Gaussian distribution of the barrier height, a mean value of 0.96 ± 0.14 eV is obtained. These findings indicate a direct correlation between temperature dependent Schottky barrier height and spatial inhomogeneity in graphene/2D semiconductor Schottky junctions.
Spatial inhomogeneity in Schottky barrier height at graphene/MoS2 Schottky junctions
International Nuclear Information System (INIS)
Tomer, D; Rajput, S; Li, L
2017-01-01
Transport properties of graphene semiconductor Schottky junctions strongly depend on interfacial inhomogeneities due to the inherent formation of ripples and ridges. Here, chemical vapor deposited graphene is transferred onto multilayer MoS 2 to fabricate Schottky junctions. These junctions exhibit rectifying current–voltage behavior with the zero bias Schottky barrier height increases and ideality factor decreases with increasing temperature between 210 and 300 K. Such behavior is attributed to the inhomogeneous interface that arises from graphene ripples and ridges, as revealed by atomic force and scanning tunneling microscopy imaging. Assuming a Gaussian distribution of the barrier height, a mean value of 0.96 ± 0.14 eV is obtained. These findings indicate a direct correlation between temperature dependent Schottky barrier height and spatial inhomogeneity in graphene/2D semiconductor Schottky junctions. (paper)
Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.
Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas
2016-10-12
The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.
Abrupt GaP/Si hetero-interface using bistepped Si buffer
Energy Technology Data Exchange (ETDEWEB)
Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O. [UMR FOTON, CNRS, INSA Rennes, Rennes F-35708 (France); Stodolna, J.; Ponchet, A. [CEMES-CNRS, Université de Toulouse, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 04 (France); Bahri, M.; Largeau, L.; Patriarche, G. [Laboratoire de Photonique et Nanostructures, CNRS UPR 20, Route de Nozay, Marcoussis 91460 (France); Magen, C. [LMA, INA-ARAID, and Departamento de Física de la Materia Condensada, Universidad de Zaragoza, 50018 Zaragoza (Spain)
2015-11-09
We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.
Abrupt GaP/Si hetero-interface using bistepped Si buffer
International Nuclear Information System (INIS)
Ping Wang, Y.; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O.; Stodolna, J.; Ponchet, A.; Bahri, M.; Largeau, L.; Patriarche, G.; Magen, C.
2015-01-01
We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth
Energy Technology Data Exchange (ETDEWEB)
Erdogan, Ibrahim Y. [Bingoel University, Faculty of Sciences and Arts, Department of Chemistry, 12000- Bingoel (Turkey); Guellue, O., E-mail: omergullu@gmail.com [Batman University, Faculty of Sciences and Arts, Department of Physics, 72060- Batman (Turkey)
2010-04-15
In this work we report the optical, morphological and structural characterization and diode application of Cr{sub 2}O{sub 3} nanofilms grown on p-Si substrates by spin coating and annealing process. X-ray diffraction (XRD), non-contact mode atomic force microscopy (NC-AFM), ultraviolet-visible (UV-vis) spectroscopy and photoluminescence (PL) spectroscopy were used for characterization of nanofilms. For Cr{sub 2}O{sub 3} nanofilms, the average particle size determined from XRD and NC-AFM measurements was approximately 70 nm. Structure analyses of nanofilms demonstrate that the single phase Cr{sub 2}O{sub 3} on silicon substrate is of high a crystalline structure with a dominant in hexagonal (1 1 0) orientation. The morphologic analysis of the films indicates that the films formed from hexagonal nanoparticles are with low roughness and uniform. UV-vis absorption measurements indicate that the band gap of the Cr{sub 2}O{sub 3} film is 3.08 eV. The PL measurement shows that the Cr{sub 2}O{sub 3} nanofilm has a strong and narrow ultraviolet emission, which facilitates potential applications in future photoelectric nanodevices. Au/Cr{sub 2}O{sub 3}/p-Si metal/interlayer/semiconductor (MIS) diodes were fabricated for investigation of the electronic properties such as current-voltage and capacitance-voltage. Ideality factor and barrier height for Au//Cr{sub 2}O{sub 3}/p-Si diode were calculated as 2.15 eV and 0.74 eV, respectively. Also, interfacial state properties of the MIS diode were determined. The interface-state density of the MIS diode was found to vary from 2.90 x 10{sup 13} eV{sup -1} cm{sup -2} to 8.45 x 10{sup 12} eV{sup -1} cm{sup -2}.
Electrical transport of bottom-up grown single-crystal Si1-xGex nanowire
International Nuclear Information System (INIS)
Yang, W F; Lee, S J; Liang, G C; Whang, S J; Kwong, D L
2008-01-01
In this work, we fabricated an Si 1-x Ge x nanowire (NW) metal-oxide-semiconductor field-effect transistor (MOSFET) by using bottom-up grown single-crystal Si 1-x Ge x NWs integrated with HfO 2 gate dielectric, TaN/Ta gate electrode and Pd Schottky source/drain electrodes, and investigated the electrical transport properties of Si 1-x Ge x NWs. It is found that both undoped and phosphorus-doped Si 1-x Ge x NW MOSFETs exhibit p-MOS operation while enhanced performance of higher I on ∼100 nA and I on /I off ∼10 5 are achieved from phosphorus-doped Si 1-x Ge x NWs, which can be attributed to the reduction of the effective Schottky barrier height (SBH). Further improvement in gate control with a subthreshold slope of 142 mV dec -1 was obtained by reducing HfO 2 gate dielectric thickness. A comprehensive study on SBH between the Si 1-x Ge x NW channel and Pd source/drain shows that a doped Si 1-x Ge x NW has a lower effective SBH due to a thinner depletion width at the junction and the gate oxide thickness has negligible effect on effective SBH
International Nuclear Information System (INIS)
Wang Yongshun; Rui Li; Adnan Ghaffar; Wang Zaixing; Liu Chunjuan
2015-01-01
In order to improve the reverse voltage capacity and low junction temperature characteristics of the traditional silicon-based Schottky diode, a Schottky diode with high reverse voltage capacity and high junction temperature was fabricated using ion implantation, NiPt60 sputtering, silicide-forming and other major technologies on an N-type silicon epitaxial layer of 10.6–11.4 μm and (2.2–2.4) × 10 15 cm −3 doping concentration. The measurement results show that the junction temperature of the Schottky diode fabricated can reach 175 °C, that is 50 °C higher than that of the traditional one; the reverse voltage capacity V R can reach 112 V, that is 80 V higher than that of the traditional one; the leakage current is only 2 μA and the forward conduction voltage drop is V F = 0.71 V at forward current I F = 3 A. (semiconductor devices)
Thermal diffusivity measurement for p-Si and Ag/p-Si by photoacoustic technique
Energy Technology Data Exchange (ETDEWEB)
Hussein, Mohammed Jabbar; Yunus, W. Mahmood Mat; Kamari, Halimah Mohamed; Zakaria, Azmi, E-mail: mohammed55865@yahoo.com [Department of Physics, Faculty of Science, Universiti PutraMalaysia (UPM), Serdang (Malaysia)
2015-10-15
Thermal diffusivity (TD) of p-Si and Ag/p-Si samples were measured by photoacoustic technique using open photoacoustic cell (OPC). The samples were annealed by heating them at 960, 1050, 1200, and 1300 °C for 3 h in air. The thermal diffusivity of Ag-coated samples was obtained by fitting the photoacoustic experimental data to the thermally thick equation for Rosencwaig and Gersho (RG) theory. For the single layer samples, the thermal diffusivity can be obtained by fitting as well as by obtaining the critical frequency f{sub c.} In this study, the thermal diffusivity of the p-Si samples increased with increasing the annealing temperature. The thermal diffusivity of the Ag/p-Si samples, after reaching the maximum value of about 2.73 cm{sup 2}/s at a temperature of 1200 °C, decreased due to the silver complete melt in the surface of the silicon. (author)
Thermal Diffusivity Measurement for p-Si and Ag/p-Si by Photoacoustic Technique
Hussein, Mohammed Jabbar; Yunus, W. Mahmood Mat; Kamari, Halimah Mohamed; Zakaria, Azmi
2015-10-01
Thermal diffusivity (TD) of p-Si and Ag/p-Si samples were measured by photoacoustic technique using open photoacoustic cell (OPC). The samples were annealed by heating them at 960, 1050, 1200, and 1300 °C for 3 h in air. The thermal diffusivity of Ag-coated samples was obtained by fitting the photoacoustic experimental data to the thermally thick equation for Rosencwaig and Gersho (RG) theory. For the single layer samples, the thermal diffusivity can be obtained by fitting as well as by obtaining the critical frequency f c . In this study, the thermal diffusivity of the p-Si samples increased with increasing the annealing temperature. The thermal diffusivity of the Ag/p-Si samples, after reaching the maximum value of about 2.73 cm2/s at a temperature of 1200 °C, decreased due to the silver complete melt in the surface of the silicon.
Temperature dependent transport characteristics of graphene/n-Si diodes
International Nuclear Information System (INIS)
Parui, S.; Ruiter, R.; Zomer, P. J.; Wojtaszek, M.; Wees, B. J. van; Banerjee, T.
2014-01-01
Realizing an optimal Schottky interface of graphene on Si is challenging, as the electrical transport strongly depends on the graphene quality and the fabrication processes. Such interfaces are of increasing research interest for integration in diverse electronic devices as they are thermally and chemically stable in all environments, unlike standard metal/semiconductor interfaces. We fabricate such interfaces with n-type Si at ambient conditions and find their electrical characteristics to be highly rectifying, with minimal reverse leakage current (<10 −10 A) and rectification of more than 10 6 . We extract Schottky barrier height of 0.69 eV for the exfoliated graphene and 0.83 eV for the CVD graphene devices at room temperature. The temperature dependent electrical characteristics suggest the influence of inhomogeneities at the graphene/n-Si interface. A quantitative analysis of the inhomogeneity in Schottky barrier heights is presented using the potential fluctuation model proposed by Werner and Güttler
Ballistic electron emission spectroscopy on Ag/Si devices
Energy Technology Data Exchange (ETDEWEB)
Bannani, A; Bobisch, C A; Matena, M; Moeller, R [Department of Physics, Center for Nanointegration Duisburg-Essen, University of Duisburg-Essen, 47048 Duisburg (Germany)], E-mail: amin.bannani@uni-due.de
2008-09-17
In this work we report on ballistic electron emission spectroscopy (BEES) studies on epitaxial layers of silver grown on silicon surfaces, with either a Si(111)-(7 x 7) or Si(100)-(2 x 1) surface reconstruction. The experiments were done at low temperature and in ultra-high vacuum (UHV). In addition, BEES measurements on polycrystalline Ag films grown on hydrogen-terminated H:Si(111)-(1 x 1) and H:Si(100)-(2 x 1) surfaces were performed. The Schottky barrier heights were evaluated by BEES. The results are compared to the values for the barrier height reported for macroscopic Schottky diodes. We show that the barrier heights for the epitaxial films substantially differ from the values measured on polycrystalline Ag films, suggesting a strong effect of the interface on the barrier height.
Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.
1994-01-01
Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.
Energy Technology Data Exchange (ETDEWEB)
Liu, Jihong; Qiao, Shuang, E-mail: sqiao@hbu.edu.cn; Wang, Jianglong; Wang, Shufang, E-mail: sfwang@hbu.edu.cn; Fu, Guangsheng
2017-04-15
Graphical abstract: In this paper, the temperature dependence of the LPE has been experimentally studied under illumination of different lasers ranging from visible to infrared for the μc-SiOx:H/a-Si:H/c-Si p-i-n structure. It was found that the position sensitivity increases nearly linearly with wavelength from 405 nm to 980 nm in the whole temperature range, and the saturated position sensitivity decreased quickly from 32.4 mV/mm to a very low value of 1.26 mV/mm and the nonlinearity improved from 7.01% to 3.54% with temperature decreasing from 296 K to 80 K for 532 nm laser illumination. By comparing the experiment results of μc-SiOx:H/a-Si:H/c-Si and ITO/c-Si, it is suggest that the position sensitivity was mainly determined by the temperature-dependent SB and the nonlinearity was directly related to the decreased resistivity of conductive layer. When an external bias voltage was applied, the LPE improved greatly and the position sensitivity of 361.35 mV/mm under illumination of 80 mW at 80 K is 286.7 times as large as that without biased voltage. More importantly, both the position sensitivity and the nonlinearity were independent of temperature again, which can be ascribed to the large constant transmission probability and diffusion length induced by the greatly increased SB. Our research provides an essential insight on the bias voltage-modulated LPE at different temperatures, and this temperature-independent greatly improved LPE is thought to be very useful for developing novel photoelectric devices. - Highlights: • The LPE is proportional to the laser wavelength in the whole temperature range. • The LPE decreases gradually with decreasing temperature from 296 K to 80 K. • Nonlinearity of the LPV curve improves a little with decreasing temperature. • The LPE improves dramatically and is independent of temperature with the aid of a bias voltage. - Abstract: The lateral photovoltaic effect (LPE) in μc-SiOx:H/a-Si:H/c-Si p-i-n structure is studied
Energy Technology Data Exchange (ETDEWEB)
Yang, Zhimei; Ma, Yao; Gong, Min [Key Laboratory for Microelectronics, College of Physical Science and Technology, Sichuan University, Chengdu 610064 (China); Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Li, Yun [Key Laboratory for Microelectronics, College of Physical Science and Technology, Sichuan University, Chengdu 610064 (China); Huang, Mingmin [Key Laboratory for Microelectronics, College of Physical Science and Technology, Sichuan University, Chengdu 610064 (China); Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Gao, Bo [Key Laboratory for Microelectronics, College of Physical Science and Technology, Sichuan University, Chengdu 610064 (China); Zhao, Xin, E-mail: zhaoxin1234@scu.edu.cn [Key Laboratory for Microelectronics, College of Physical Science and Technology, Sichuan University, Chengdu 610064 (China)
2017-06-15
In this paper, the phenomenon that the recrystallization effects of swift heavy {sup 209}Bi ions irradiation can partially recovery damage with more than 1 × 10{sup 10} ions/cm{sup 2} is investigated by the degradation of the electrical characteristics of 4H-SiC Schottky barrier diode (SBD) with swift heavy ion irradiation. Deep level transient spectroscopy (DLTS) and Current-Voltage (I-V) measurements clearly indicated that E{sub 0.62} defect induced by swift heavy ion irradiation, which was a recombination center, could result in the increase of reverse leakage current (I{sub R}) at fluence less than 1 × 10{sup 9} ions/cm{sup 2} and the recovery of I{sub R} at fluence more than 1 × 10{sup 10} ions/cm{sup 2} in 4H-SiC SBD. The variation tendency of I{sub R} is consisted with the change of E{sub 0.62} defect. Furthermore, it is reasonable explanation that the damage or defect formed at low fluence in SiC may be recovered by further swift heavy ion irradiation with high fluence, which is due to the melting with the ion tracks of the amorphous zones through a thermal spike and subsequent epitaxial recrystallization initiated from the neighboring crystalline regions.
Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts
International Nuclear Information System (INIS)
Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.
2013-01-01
We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose
Li, Shengyang; She, Guangwei; Chen, Cheng; Zhang, Shaoyang; Mu, Lixuan; Guo, Xiangxin; Shi, Wensheng
2018-03-14
The Ni in the Ni/ n-Si photoanode can not only protect Si from corrosion, but also catalyze the water oxidation reaction. However, the high density of interface states at the Ni/ n-Si interface could pin the Fermi level of silicon, which will lower the Schottky barrier height of the Ni/ n-Si. As a result, a low photovoltage and consequent high onset potential of Ni/ n-Si photoanode for water oxidation were generated. In this study, the interfacial states of the Ni/ n-Si photoanodes were efficiently diminished through a rapid thermal process (RTP). Calculated from the Mott-Schottky plots, the Schottky barrier height of Ni/ n-Si was increased from 0.58 to 0.78 eV after RTP. Under the illumination of 100 mW cm -2 of the Xe lamp, the onset potential of the Ni/ n-Si photoanode for water oxidation was negatively shifted for 150 mV after RTP. Besides, the RTP-treated Ni/ n-Si photoanode exhibited a high stability during the PEC water oxidation of 8 h in 1 M KOH solution.
Lu, Weijie; Collins, W. Eugene
2005-01-01
The incorporation of nanostructured interfacial layers of CeO2 has been proposed to enhance the performances of Pd/SiC Schottky diodes used to sense hydrogen and hydrocarbons at high temperatures. If successful, this development could prove beneficial in numerous applications in which there are requirements to sense hydrogen and hydrocarbons at high temperatures: examples include monitoring of exhaust gases from engines and detecting fires. Sensitivity and thermal stability are major considerations affecting the development of high-temperature chemical sensors. In the case of a metal/SiC Schottky diode for a number of metals, the SiC becomes more chemically active in the presence of the thin metal film on the SiC surface at high temperature. This increase in chemical reactivity causes changes in chemical composition and structure of the metal/SiC interface. The practical effect of the changes is to alter the electronic and other properties of the device in such a manner as to degrade its performance as a chemical sensor. To delay or prevent these changes, it is necessary to limit operation to a temperature sensor structures. The present proposal to incorporate interfacial CeO2 films is based partly on the observation that nanostructured materials in general have potentially useful electrical properties, including an ability to enhance the transfer of electrons. In particular, nanostructured CeO2, that is CeO2 with nanosized grains, has shown promise for incorporation into hightemperature electronic devices. Nanostructured CeO2 films can be formed on SiC and have been shown to exhibit high thermal stability on SiC, characterized by the ability to withstand temperatures somewhat greater than 700 C for limited times. The exchanges of oxygen between CeO2 and SiC prevent the formation of carbon and other chemical species that are unfavorable for operation of a SiC-based Schottky diode as a chemical sensor. Consequently, it is anticipated that in a Pd/CeO2/SiC Schottky
PC operated acoustic transient spectroscopy of deep levels in MIS structures
International Nuclear Information System (INIS)
Bury, P.; Jamnicky, I.
1996-01-01
A new version of acoustic deep-level transient spectroscopy is presented to study the traps at the insulator-semiconductor interface. The acoustic deep-level transient spectroscopy uses an acoustoelectric response signal produced by the MIS structure interface when a longitudinal acoustic wave propagates through a structure. The acoustoelectric response signal is extremely sensitive to external conditions of the structure and reflects any changes in the charge distribution, connected also with charged traps. In comparison with previous version of acoustic deep-level transient spectroscopy that closely coincides with the principle of the original deep-level transient spectroscopy technique, the present technique is based on the computer-evaluated isothermal transients and represents an improved, more efficient and time saving technique. Many tests on the software used for calculation as well as on experimental setup have been performed. The improved acoustic deep-level transient spectroscopy method has been applied for the Si(p) MIS structures. The deep-level parameters as activation energy and capture cross-section have been determined. (authors)
Rahmani, Meisam; Ahmadi, Mohammad Taghi; Abadi, Hediyeh Karimi Feiz; Saeidmanesh, Mehdi; Akbari, Elnaz; Ismail, Razali
2013-01-30
Recent development of trilayer graphene nanoribbon Schottky-barrier field-effect transistors (FETs) will be governed by transistor electrostatics and quantum effects that impose scaling limits like those of Si metal-oxide-semiconductor field-effect transistors. The current-voltage characteristic of a Schottky-barrier FET has been studied as a function of physical parameters such as effective mass, graphene nanoribbon length, gate insulator thickness, and electrical parameters such as Schottky barrier height and applied bias voltage. In this paper, the scaling behaviors of a Schottky-barrier FET using trilayer graphene nanoribbon are studied and analytically modeled. A novel analytical method is also presented for describing a switch in a Schottky-contact double-gate trilayer graphene nanoribbon FET. In the proposed model, different stacking arrangements of trilayer graphene nanoribbon are assumed as metal and semiconductor contacts to form a Schottky transistor. Based on this assumption, an analytical model and numerical solution of the junction current-voltage are presented in which the applied bias voltage and channel length dependence characteristics are highlighted. The model is then compared with other types of transistors. The developed model can assist in comprehending experiments involving graphene nanoribbon Schottky-barrier FETs. It is demonstrated that the proposed structure exhibits negligible short-channel effects, an improved on-current, realistic threshold voltage, and opposite subthreshold slope and meets the International Technology Roadmap for Semiconductors near-term guidelines. Finally, the results showed that there is a fast transient between on-off states. In other words, the suggested model can be used as a high-speed switch where the value of subthreshold slope is small and thus leads to less power consumption.
International Nuclear Information System (INIS)
Das, Subhashis; Majumdar, Shubhankar; Kumar, Rahul; Ghosh, Saptarsi; Biswas, Dhrubes
2016-01-01
An AlGaN/GaN heterostructure based metal–semiconductor–metal symmetrically bi-directional Schottky diode sensor structure has been employed to investigate acetone sensing and to analyze thermodynamics of acetone adsorption at low temperatures. The AlGaN/GaN heterostructure has been grown by plasma-assisted molecular beam epitaxy on Si (111). Schottky diode parameters at different temperatures and acetone concentrations have been extracted from I–V characteristics. Sensitivity and change in Schottky barrier height have been studied. Optimum operating temperature has been established. Coverage of acetone adsorption sites at the AlGaN surface and the effective equilibrium rate constant of acetone adsorption have been explored to determine the endothermic nature of acetone adsorption enthalpy.
New Type Far IR and THz Schottky Barrier Detectors for Scientific and Civil Application
Directory of Open Access Journals (Sweden)
V. G. Ivanov
2011-01-01
Full Text Available The results of an experimental investigation into a new type of VLWIR detector based on hot electron gas emission and architecture of the detector are presented and discussed. The detectors (further referred to as HEGED take advantage of the thermionic emission current change effect in a semiconductor diode with a Schottky barrier (SB as a result of the direct transfer of the absorbed radiation energy to the system of electronic gas in the quasimetallic layer of the barrier. The possibility of detecting radiation having the energy of quantums less than the height of the Schottky diode potential barrier and of obtaining a substantial improvement of a cutoff wavelength to VLWIR of the PtSi/Si detector has been demonstrated. The complementary contribution of two physical mechanisms of emanation detection—“quantum” and hot electrons gas emission—has allowed the creation of a superwideband IR detector using standard silicon technology.
Energy Technology Data Exchange (ETDEWEB)
Aguas, H.; Perreira, L.; Silva, R.J.C.; Fortunato, E.; Martins, R
2004-06-15
In this work metal-insulator-semiconductor (MIS) photodiodes with a structure: Cr/a-Si:H(n{sup +})/a-Si:H(i)/oxide/Au were studied, where the main objective was to determine the influence of the oxide layer on the performance of the devices. The results achieved show that their performance is a function of both oxide thickness and oxide density. The a-Si:H oxidation method used was the immersion in H{sub 2}O{sub 2} solution. By knowledge of the oxide growth process it was possible to fabricate photodiodes exhibiting an open circuit voltage of 0.65 V and short circuit current density under AM1.5 illumination of 11 mA/cm{sup 2}, with a response times less than 1 {mu}s for load resistance <400 {omega}, and a signal to noise ratio of 1x10{sup 7}.
International Nuclear Information System (INIS)
Tengeler, Sven; Kaiser, Bernhard; Chaussende, Didier; Jaegermann, Wolfram
2017-01-01
Highlights: • Schottky behavior (Φ_B = 0.41 eV) and Fermi level pining were found pre annealing. • Ni_2Si formation was confirmed for 5 min at 850 °C. • 3C/Ni_2Si Fermi level alignment is responsible for ohmic contact behavior. • Wet chemical etching (Si–OH/C–H termination) does not impair Ni_2Si formation. - Abstract: The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni_2Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.
Low Temperature Hydrothermal Growth of ZnO Nanorod Films for Schottky Diode Application
International Nuclear Information System (INIS)
Singh, Shaivalini; Park, Si-Hyun
2016-01-01
The purpose of this research is to report on the fabrication and characterizations of Pd/ZnO nanorod-based Schottky diodes for optoelectronic applications. ZnO nanorods (NRs) were grown on silicon (Si) substrates by a two step hydrothermal method. In the first step, a seed layer of pure ZnO was deposited from a solution of zinc acetate and ethyl alcohol, and then in the second step, the main growth of the ZnO NRs was done over the seed layer. The structural morphology and optical properties of the ZnO NR films were characterized by x-ray diffraction (XRD), scanning electron microscopy (SEM) and UV-vis spectroscopy. The electrical characterization of the Pd/ZnO NR contacts was studied using a current-voltage (I-V) tool. The ZnO NR films exhibited a wurtzite ZnO structure,and the average length of the ZnO NRs were in the range of 750 nm to 800 nm. The values of ideality factor, turn-on voltage and reverse saturation current were calculated from the I-V characteristics of Pd/ZnO NR-based Schottky diodes. The study demonstrates that Pd/ZnO NR Schottky contacts fabricated by a simple and inexpensive method can be used as a substitute for conventional Schottky diodes for optoelectronic applications.
Longitudinal schottky spectra of a bunched Ne10+ ion beam at the CSRe
International Nuclear Information System (INIS)
Wen Weiqiang; Ma Xinwen; Zhang Dacheng
2013-01-01
The longitudinal Schottky spectra of a radio-frequency (RF) bunched and electron cooled 22Ne 10+ ion beam at 70 MeV/u have been studied by a newly installed resonant Schottky pick-up at the experimental cooler storage ring (CSRe), at IMP. For an RF-bunched ion beam, a longitudinal momentum spread of Δp/p=1.6 × 10 -5 has been reached with less than 107 stored ions. The reduction of momentum spread compared with a coasting ion beam was observed from Schottky noise signal of the bunched ion beam. In order to prepare the future laser cooling experiment at the CSRe, the RF-bunching power was modulated at 25 th , 50 th and 75 th harmonic of the revolution frequency, effective bunching amplitudes were extracted from the Schottky spectrum analysis. Applications of Schottky noise for measuring beam lifetime with ultra-low intensity of ion beams are presented, and it is relevant to upcoming experiments on laser cooling of relativistic heavy ion beams and nuclear physics at the CSRe. (authors)
Energy Technology Data Exchange (ETDEWEB)
Goekcen, M. [Physics Department, Faculty of Arts and Sciences, Gazi University, 06500 Teknikokullar, Ankara (Turkey); Tataroglu, A. [Physics Department, Faculty of Arts and Sciences, Gazi University, 06500 Teknikokullar, Ankara (Turkey)], E-mail: ademt@gazi.edu.tr; Altindal, S.; Buelbuel, M.M. [Physics Department, Faculty of Arts and Sciences, Gazi University, 06500 Teknikokullar, Ankara (Turkey)
2008-01-15
The effect of {sup 60}Co ({gamma}-ray) irradiation on the electrical properties of Au/SnO{sub 2}/n-Si (MIS) structures has been investigated using the capacitance-voltage (C-V) and conductance-voltage (G/{omega}-V) measurements in the frequency range 1 kHz to 1 MHz at room temperature. The MIS structures were exposed to {gamma}-rays at a dose rate of 2.12 kGy/h in water and the range of total dose was 0-500 kGy. It was found that the C-V and G/{omega}-V curves were strongly influenced with both frequency and the presence of the dominant radiation-induced defects, and the series resistance was increased with increasing dose. Also, the radiation-induced threshold voltage shift ({delta}V{sub T}) strongly depended on radiation dose and frequency, and the density of interface states N{sub ss} by Hill-Coleman method decreases with increasing radiation dose.
Energy Technology Data Exchange (ETDEWEB)
Tengeler, Sven, E-mail: stengeler@surface.tu-darmstadt.de [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Univ. Grenoble Alpes, CNRS, LMGP, F-38000 Grenoble (France); Kaiser, Bernhard [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Chaussende, Didier [Univ. Grenoble Alpes, CNRS, LMGP, F-38000 Grenoble (France); Jaegermann, Wolfram [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany)
2017-04-01
Highlights: • Schottky behavior (Φ{sub B} = 0.41 eV) and Fermi level pining were found pre annealing. • Ni{sub 2}Si formation was confirmed for 5 min at 850 °C. • 3C/Ni{sub 2}Si Fermi level alignment is responsible for ohmic contact behavior. • Wet chemical etching (Si–OH/C–H termination) does not impair Ni{sub 2}Si formation. - Abstract: The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni{sub 2}Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.
Mott-Schottky analysis of thin ZnO films
International Nuclear Information System (INIS)
Windisch, Charles F. Jr.; Exarhos, Gregory J.
2000-01-01
Thin ZnO films, both native and doped with secondary metal ions, have been prepared by sputter deposition and also by casting from solutions containing a range of precursor salts. The conductivity and infrared reflectivity of these films are subsequently enhanced chemically following treatment in H 2 gas at 400 degree sign C or by cathodic electrochemical treatment in a neutral (pH=7) phosphate buffer solution. While Hall-type measurements usually are used to evaluate the electrical properties of such films, the present study investigated whether a conventional Mott-Schottky analysis could be used to monitor the change in concentration of free carriers in these films before and after chemical and electrochemical reduction. The Mott-Schottky approach would be particularly appropriate for electrochemically modified films since the measurements could be made in the same electrolyte used for the post-deposition electrochemical processing. Results of studies on sputtered pure ZnO films in ferricyanide solution were promising. Mott-Schottky plots were linear and gave free carrier concentrations typical for undoped semiconductors. Film thicknesses estimated from the Mott-Schottky data were also reasonably close to thicknesses calculated from reflectance measurements. Studies on solution-deposited films were less successful. Mott-Schottky plots were nonlinear, apparently due to film porosity. A combination of dc polarization and atomic force microscopy measurements confirmed this conclusion. The results suggest that Mott-Schottky analysis would be suitable for characterizing solution-deposited ZnO films only after extensive modeling was performed to incorporate the effects of film porosity on the characteristics of the space-charge region of the semiconductor. (c) 2000 American Vacuum Society
Polycrystalline Diamond Schottky Diodes and Their Applications.
Zhao, Ganming
In this work, four-hot-filament CVD techniques for in situ boron doped diamond synthesis on silicon substrates were extensively studied. A novel tungsten filament shape and arrangement used to obtain large-area, uniform, boron doped polycrystalline diamond thin films. Both the experimental results and radiative heat transfer analysis showed that this technique improved the uniformity of the substrate temperature. XRD, Raman and SEM studies indicate that large area, uniform, high quality polycrystalline diamond films were obtained. Schottky diodes were fabricated by either sputter deposition of silver or thermal evaporation of aluminum or gold, on boron doped diamond thin films. High forward current density and a high forward-to-reverse current ratio were exhibited by silver on diamond Schottky diodes. Schottky barrier heights and the majority carrier concentrations of both aluminum and gold contacted diodes were determined from the C-V measurements. Furthermore, a novel theoretical C-V-f analysis of deep level boron doped diamond Schottky diodes was performed. The analytical results agree well with the experimental results. Compressive stress was found to have a large effect on the forward biased I-V characteristics of the diamond Schottky diodes, whereas the effect on the reverse biased characteristics was relatively small. The stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. This result shows that CVD diamond device has potential for mechanical transducer applications. The quantitative photoresponse characteristics of the diodes were studied in the spectral range of 300 -1050 nm. Semi-transparent gold contacts were used for better photoresponse. Quantum efficiency as high as 50% was obtained at 500 nm, when a reverse bias of over 1 volt was applied. The Schottky barrier heights between either gold or
International Nuclear Information System (INIS)
Paquin, L.; Wertheimer, M.R.; Sacher, E.; McIntyre, N.S.
1989-01-01
During investigations of Au - a-Si:H - Cr-Sb photovoltaic Schottky diodes, it was observed that photoconversion parameters (I sc ,, V oc , η), improved markedly with time for samples stored for several weeks in ambient air. This was always accompanied by apparent color changes in the area under the top (Au) electrode, from gold to deep purple, and by evolution of its surface conductivity σ from a highly conducting to an insulating state. Profilometry indicated that the colored area rose about 80 nm above the original surface during these changes. These diodes have been examined using depth-profiling surface analytical techniques, namely secondary-ion mass spectroscopy, Auger electron spectroscopy, and X-ray photoelectron spectroscopy (XPS), but primarily the latter. The XPS studies of the entire layer thickness on the gold electrode were carried out using erosion by Ar + ion bombardment. The XPS line positions were used to infer electrical properties of silicon and gold constituents. The results show that Si atoms first diffuse through the gold electrode, where they react with atmospheric constituents to form a thick layer composed mainly of SiO 2 . this layer is responsible for the observed changes in color, σ, and I sc . The latter change, which leads to a maximum rise in η of about 60%, is felt to result from the fact that the SiO 2 layer acts as an antireflection coating. Gold from the electrode layer also diffuses outward, mixed intimately with the silicon oxide. Further aging results in a degradation of the electrical continuity of the Au electrode, which is believed to be responsible for the observed slow drop in σ. (author). 14 refs., 9 figs
Optical and Electrical Properties of Al/(p)Bi2S3 Schottky Junction
International Nuclear Information System (INIS)
Kachari, T.; Wary, G.; Rahman, A.
2010-01-01
Thin film Al/(p)Bi 2 S 3 Schottky junctions were prepared by vacuum evaporation under pressure 10 -6 Torr. The p-type Bi 2 S 3 thin films with acceptor concentration (3.36-7.33)x10 16 /cm 3 were obtained by evaporating 'In' along with Bi 2 S 3 powder and then annealing the films at 453K for 5 hours. Different junction-parameters such as ideality factor, barrier height, effective Richardson's constant, short-circuit current, etc. were determined from I-V characteristics. The junctions exhibited rectifying I-V characteristics and also photovoltaic effect. Ideality factor was found to decrease with the increase of temperature. Proper doping, annealing, and hydrogenation are necessary to reduce the series resistance so as to achieve high carrier efficiency. More works are being carried out in this direction.
Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors
Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo
2018-04-01
The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.
Comparison of nickel, cobalt, palladium, and tungsten Schottky contacts on n-4H-silicon carbide
Gora, V. E.; Chawanda, A.; Nyamhere, C.; Auret, F. D.; Mazunga, F.; Jaure, T.; Chibaya, B.; Omotoso, E.; Danga, H. T.; Tunhuma, S. M.
2018-04-01
We have investigated the current-voltage (I-V) characteristics of nickel (Ni), cobalt (Co), tungsten (W) and palladium (Pd) Schottky contacts on n-type 4H-SiC in the 300-800 K temperature range. Results extracted from I-V measurements of Schottky barrier diodes showed that barrier height (ФBo) and ideality factor (n) were strongly dependent on temperature. Schottky barrier heights for contacts of all the metals showed an increase with temperature between 300 K and 800 K. This was attributed to barrier inhomogeneities at the interface between the metal and the semiconductor, which resulted in a distribution of barrier heights at the interface. Ideality factors of Ni, Co and Pd decreased from 1.6 to 1.0 and for W the ideality factor decreased from 1.1 to 1.0 when the temperature was increased from 300 K to 800 K respectively. The device parameters were compared to assess advantages and disadvantages of the metals for envisaged applications.
Energy Technology Data Exchange (ETDEWEB)
Altindal, Semsettin; Tataroglu, Adem; Dokme, Ilbilge [Faculty of Arts and Sciences, Physics Department, Gazi University, 06500, Ankara (Turkey)
2005-01-31
Dark and illuminated current-voltage (I-V) characteristics of Al/SiO{sub x}/p-Si metal-insulator-semiconductor (MIS) solar cells were measured at room temperature. In addition to capacitance-voltage (C-V) and conductance-voltage (G-V), characteristics are studied at a wide frequency range of 1kHz-10MHz. The dark I-V characteristics showed non-ideal behavior with an ideal factor of 3.2. The density of interface states distribution profiles as a function of (E{sub ss}-E{sub v}) deduced from the I-V measurements at room temperature for the MIS solar cells on the order of 10{sup 13}cm{sup -2}eV{sup -1}. These interface states were responsible for the non-ideal behavior of I-V, C-V and G-V characteristics. Frequency dispersion in capacitance for MIS solar cells can be interpreted only in terms of interface states. The interface states can follow the a.c. signal and yield an excess capacitance, which depends on the relaxation time of interface states and the frequency of the a.c. signal. It was observed that the excess capacitance C{sub o} caused by an interface state decreases with an increase of frequency. The capacitances characteristics of MIS solar cells are affected not only in interface states but also series resistance. Analysis of this data indicated that the high interface states and series resistance leads to lower values of open-circuit voltage, short-circuit current density, and fill factor. Experimental results show that the location of interface states and series resistance have a significant effect on I-V, C-V and G-V characteristics.
International Nuclear Information System (INIS)
Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko
2013-01-01
We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Schottky barriers based on metal nanoparticles deposited on InP epitaxial layers
Czech Academy of Sciences Publication Activity Database
Grym, Jan; Yatskiv, Roman
2013-01-01
Roč. 28, č. 4 (2013) ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Colloidal graphite * Epitaxial growth * Schottky barrier diodes Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.206, year: 2013
CVD-Based Valence-Mending Passivation for Crystalline-Si Solar Cells
Energy Technology Data Exchange (ETDEWEB)
Tao, Meng [Arizona State Univ., Mesa, AZ (United States)
2015-03-01
The objective of this project is to investigate a new surface passivation technique, valence-mending passivation, for its applications in crystalline-Si solar cells to achieve significant efficiency improvement and cost reduction. As the enabling technique, the project includes the development of chemical vapor deposition recipes to passivate textured Si(100) and multicrystalline-Si surfaces by sulfur and the characterization of the passivated Si surfaces, including thermal stability, Schottky barrier height, contact resistance and surface recombination. One important application is to replace the Ag finger electrode in Si cells with Al to reduce cost, by ~$0.1/Wp, and allow terawatt-scale deployment of crystalline-Si solar cells. These all-Al Si cells require a low-temperature metallization process for the Al electrode, to be compatible with valence-mending passivation and to prevent Al diffusion into n-type Si. Another application is to explore valence-mending passivation of grain boundaries in multicrystalline Si by diffusing sulfur into grain boundaries, to reduce the efficiency gas between monocrystalline-Si solar cells and multicrystalline-Si cells. The major accomplishments of this project include: 1) Demonstration of chemical vapor deposition processes for valence-mending passivation of both monocrystalline Si(100) and multicrystalline Si surfaces. Record Schottky barriers have been demonstrated, with the new record-low barrier of less than 0.08 eV between Al and sulfur-passivated n-type Si(100) and the new record-high barrier of 1.14 eV between Al and sulfur-passivated p-type Si(100). On the textured p-type monocrystalline Si(100) surface, the highest barrier with Al is 0.85 eV by valence-mending passivation. 2) Demonstration of a low-temperature metallization process for Al in crystalline-Si solar cells. The new metallization process is based on electroplating of Al in a room-temperature ionic liquid. The resistivity of the electroplated Al is ~7×10–6
Electronic Properties of DNA-Based Schottky Barrier Diodes in Response to Alpha Particles.
Al-Ta'ii, Hassan Maktuff Jaber; Periasamy, Vengadesh; Amin, Yusoff Mohd
2015-05-21
Detection of nuclear radiation such as alpha particles has become an important field of research in recent history due to nuclear threats and accidents. In this context; deoxyribonucleic acid (DNA) acting as an organic semiconducting material could be utilized in a metal/semiconductor Schottky junction for detecting alpha particles. In this work we demonstrate for the first time the effect of alpha irradiation on an Al/DNA/p-Si/Al Schottky diode by investigating its current-voltage characteristics. The diodes were exposed for different periods (0-20 min) of irradiation. Various diode parameters such as ideality factor, barrier height, series resistance, Richardson constant and saturation current were then determined using conventional, Cheung and Cheung's and Norde methods. Generally, ideality factor or n values were observed to be greater than unity, which indicates the influence of some other current transport mechanism besides thermionic processes. Results indicated ideality factor variation between 9.97 and 9.57 for irradiation times between the ranges 0 to 20 min. Increase in the series resistance with increase in irradiation time was also observed when calculated using conventional and Cheung and Cheung's methods. These responses demonstrate that changes in the electrical characteristics of the metal-semiconductor-metal diode could be further utilized as sensing elements to detect alpha particles.
International Nuclear Information System (INIS)
Uhrmann, T; Dimopoulos, T; Brueckl, H; Kovacs, A; Kohn, A; Weyers, S; Paschen, U; Smoliner, J
2009-01-01
In this work we present the electrical properties of sputter-deposited ferromagnetic (FM) Schottky diodes and MgO-based tunnelling diodes to n-doped (0 0 1) silicon. The effective Schottky barrier height (SBH) has been evaluated as a function of the FM electrode (Co 70 Fe 30 , Co 40 Fe 40 B 20 and Ni 80 Fe 20 ), the silicon doping density (10 15 to 10 18 cm -3 ), the MgO tunnelling barrier thickness (0, 1.5 and 2.5 nm) and post-deposition annealing up to 400 0 C. The ideality factors of the Schottky diodes are close to unity, indicating transport by thermionic emission and the absence of an interfacial oxide layer, which is confirmed by transmission electron microscopy. The effective SBH is found to be approximately 0.65 eV, independent of the FM material and decreasing with increasing doping density. The changes induced by high temperature annealing at the current-voltage characteristic of the Schottky diodes depend strongly on the FM electrode. The effective SBH for the tunnelling diodes is as low as 0.3 eV, which suggests a high density of oxide and interface traps. It is again independent of the FM electrode, decreasing with increasing doping density and annealing temperature. The inclusion of MgO leads to higher thermal stability of the tunnelling diodes. The measured contact resistance values are discussed with respect to the conductivity mismatch for spin injection and detection.
TCAD simulation for alpha-particle spectroscopy using SIC Schottky diode.
Das, Achintya; Duttagupta, Siddhartha P
2015-12-01
There is a growing requirement of alpha spectroscopy in the fields context of environmental radioactive contamination, nuclear waste management, site decommissioning and decontamination. Although silicon-based alpha-particle detection technology is mature, high leakage current, low displacement threshold and radiation hardness limits the operation of the detector in harsh environments. Silicon carbide (SiC) is considered to be excellent material for radiation detection application due to its high band gap, high displacement threshold and high thermal conductivity. In this report, an alpha-particle-induced electron-hole pair generation model for a reverse-biased n-type SiC Schottky diode has been proposed and verified using technology computer aided design (TCAD) simulations. First, the forward-biased I-V characteristics were studied to determine the diode ideality factor and compared with published experimental data. The ideality factor was found to be in the range of 1.4-1.7 for a corresponding temperature range of 300-500 K. Next, the energy-dependent, alpha-particle-induced EHP generation model parameters were optimised using transport of ions in matter (TRIM) simulation. Finally, the transient pulses generated due to alpha-particle bombardment were analysed for (1) different diode temperatures (300-500 K), (2) different incident alpha-particle energies (1-5 MeV), (3) different reverse bias voltages of the 4H-SiC-based Schottky diode (-50 to -250 V) and (4) different angles of incidence of the alpha particle (0°-70°).The above model can be extended to other (wide band-gap semiconductor) device technologies useful for radiation-sensing application. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.
Li, Yapeng; Li, Yingfeng; Zhang, Jianhua; Tong, Ting; Ye, Wei
2018-03-01
The ZnO films were fabricated on the surface of n-Si(1 1 1) substrate using the sol-gel method, and the graphene was then transferred to its surface for the fabrication of the graphene/ZnO Schottky contact. The results showed that ZnO films presented a strong (0 0 2) preferred direction, and that the particle sizes on the surface decreased as the doping concentration of B ions increased. The electrical properties of the graphene/ZnO Schottky contact were measured by using current-voltage measurements. It was found that the graphene/ZnO Schottky contact showed a fine rectification behavior when the doping concentration of B ions was increased. However, when the doping concentration of the B ions increased to 0.15 mol l-1, the leakage current increased and rectification behavior weakened. This was due to the Fermi level pinning caused by the presence of the O vacancy at the interface of the graphene/ZnO Schottky contact.
Field plate engineering for GaN-based Schottky barrier diodes
International Nuclear Information System (INIS)
Lei Yong; Shi Hongbiao; Lu Hai; Chen Dunjun; Zhang Rong; Zheng Youdou
2013-01-01
The practical design of GaN-based Schottky barrier diodes (SBDs) incorporating a field plate (FP) structure necessitates an understanding of their working mechanism and optimization criteria. In this work, the influences of the parameters of FPs upon breakdown of the diode are investigated in detail and the design rules of FP structures for GaN-based SBDs are presented for a wide scale of material and device parameters. By comparing three representative dielectric materials (SiO 2 , Si 3 N 4 and Al 2 O 3 ) selected for fabricating FPs, it is found that the product of dielectric permittivity and critical field strength of a dielectric material could be used as an index to predict its potential performance for FP applications. (semiconductor devices)
Energy Technology Data Exchange (ETDEWEB)
Nishiwaki, M.; Ueda, K., E-mail: k-ueda@numse.nagoya-u.ac.jp; Asano, H. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)
2015-05-07
High quality Schottky junctions using Co{sub 2}MnSi/diamond heterostructures were fabricated. Low temperature growth at ∼300–400 °C by using ion-beam assisted sputtering (IBAS) was necessary to obtain abrupt Co{sub 2}MnSi/diamond interfaces. Only the Co{sub 2}MnSi films formed at ∼300–400 °C showed both saturation magnetization comparable to the bulk values and large negative anisotropic magnetoresistance, which suggests half-metallic nature of the Co{sub 2}MnSi films, of ∼0.3% at 10 K. Schottky junctions formed using the Co{sub 2}MnSi films showed clear rectification properties with rectification ratio of more than 10{sup 7} with Schottky barrier heights of ∼0.8 eV and ideality factors (n) of ∼1.2. These results indicate that Co{sub 2}MnSi films formed at ∼300–400 °C by IBAS are a promising spin source for spin injection into diamond semiconductors.
Electrical Characterization of Graphite/InP Schottky Diodes by I-V-T and C-V Methods
Tiagulskyi, Stanislav; Yatskiv, Roman; Grym, Jan
2018-02-01
A rectifying junction was prepared by casting a drop of colloidal graphite on the surface of an InP substrate. The electrophysical properties of graphite/InP junctions were investigated in a wide temperature range. Temperature-dependent I-V characteristics of the graphite/InP junctions are explained by the thermionic emission mechanism. The Schottky barrier height (SBH) and the ideality factor were found to be 0.9 eV and 1.47, respectively. The large value of the SBH and its weak temperature dependence are explained by lateral homogeneity of the junction, which is related to the structure of the graphite layer. The moderate disagreement between the current-voltage and capacitance-voltage measurements is attributed to the formation of interfacial native oxide film on the InP surface.
International Nuclear Information System (INIS)
Windl, Wolfgang; Blue, Thomas
2013-01-01
In this project, we have designed a 4H-SiC Schottky diode detector device in order to monitor actinide concentrations in extreme environments, such as present in pyroprocessing of spent fuel. For the first time, we have demonstrated high temperature operation of such a device up to 500 °C in successfully detecting alpha particles. We have used Am-241 as an alpha source for our laboratory experiments. Along with the experiments, we have developed a multiscale model to study the phenomena controlling the device behavior and to be able to predict the device performance. Our multiscale model consists of ab initio modeling to understand defect energetics and their effect on electronic structure and carrier mobility in the material. Further, we have developed the basis for a damage evolution model incorporating the outputs from ab initio model in order to predict respective defect concentrations in the device material. Finally, a fully equipped TCAD-based device model has been developed to study the phenomena controlling the device behavior. Using this model, we have proven our concept that the detector is capable of performing alpha detection in a salt bath with the mixtures of actinides present in a pyroprocessing environment.
Energy Technology Data Exchange (ETDEWEB)
Windl, Wolfgang [The Ohio State Univ., Columbus, OH (United States); Blue, Thomas [The Ohio State Univ., Columbus, OH (United States)
2013-01-28
In this project, we have designed a 4H-SiC Schottky diode detector device in order to monitor actinide concentrations in extreme environments, such as present in pyroprocessing of spent fuel. For the first time, we have demonstrated high temperature operation of such a device up to 500 °C in successfully detecting alpha particles. We have used Am-241 as an alpha source for our laboratory experiments. Along with the experiments, we have developed a multiscale model to study the phenomena controlling the device behavior and to be able to predict the device performance. Our multiscale model consists of ab initio modeling to understand defect energetics and their effect on electronic structure and carrier mobility in the material. Further, we have developed the basis for a damage evolution model incorporating the outputs from ab initio model in order to predict respective defect concentrations in the device material. Finally, a fully equipped TCAD-based device model has been developed to study the phenomena controlling the device behavior. Using this model, we have proven our concept that the detector is capable of performing alpha detection in a salt bath with the mixtures of actinides present in a pyroprocessing environment.
The InP - SiO2 interface: Electron tunneling into oxide traps
International Nuclear Information System (INIS)
Prasad, S.J.; Owen, S.J.T.
1985-01-01
Indium Phosphide is an attractive material for high-speed devices. Though many successful devices have been built and demonstrated, InP MISFET's still suffer from drain current drift. From the data current drift measurements, the shift in the threshold voltage ΔV was computed for different times. It was found that a linear relationship exists between √ΔV and log(t). When a positive bias-stress was applied to the gate of an MIS capacitor for a time t, the C-V cure shifted by an amount ΔV and again, a linear relationship was observed between √ΔV and log(t). This was verified on four different gate insulators: pyrolytic SiO 2 at 320 0 C and 360 0 C, plasma oxide at 300 0 C and photo CVD oxide at 225 0 C. These results can only be explained by a model in which electrons tunnel from the substrate into oxide traps
International Nuclear Information System (INIS)
Şahin, Yılmaz; Aydoğan, Şakir; Ekinci, Duygu; Turut, Abdulmecit
2016-01-01
Some X-ray irradiation-induced electrical characteristics of the Au/anthraquinone/p-Si and the Au/pyridine/p-Si junction devices have been investigated. The experimental ideality factors increased for both devices with increasing irradiation dose from 25 Gy to 150 Gy. These values ranged from 1.10 to 1.52 for Au/anthraquinone/p-Si and from 1.46 to 1.77 for Au/pyridine/p-Si, respectively. Furthermore, the barrier height of Au/anthraquinone/p-Si increased with increasing irradiation dose from 0.75 to 0.91 eV, whereas it displayed about a constant value for Au/pyridine/p-Si. In addition, the series resistance of both devices increased with x-ray dose too. The increase in the series resistance with x-ray irradiation has been attributed to the decrease in the active dopant densities. It was seen that the ionization damage is effective on most of the junction characteristics. The leakage current of the Au/anthraquinone/p-Si device decreased with x-ray irradiation since the irradiation induced the formation of electron-hole pairs and hydroquinone structure, and thus some of them are trapped by the interface states. The degradation of the I-V curves of Au/pyridine/p-Si/Al device is attributed to the variation of the surface or interface states distribution for the devices. The reverse and forward bias currents relatively increased after x-ray irradiation because of the decrease in bulk lifetime. In addition, ATR-FTIR spectra of anthraquinone and pyridine films showed that pyridine is more stable than anthraquinone under x-ray irradiation. - Highlights: • Two junction devices based on organic materials were fabricated. • The effect of the x-ray irradiation on devices were examined. • Both devices showed x-irradiation-dependence.
Energy Technology Data Exchange (ETDEWEB)
Şahin, Yılmaz [Department of Physics, Faculty of Sciences, University of Atatürk, 25240 Erzurum (Turkey); Aydoğan, Şakir, E-mail: saydogan@atauni.edu.tr [Department of Physics, Faculty of Sciences, University of Atatürk, 25240 Erzurum (Turkey); Ekinci, Duygu [Department of Chemistry, Faculty of Sciences, University of Atatürk, 25240 Erzurum (Turkey); Turut, Abdulmecit [Department of Engineering Physics, Faculty of Sciences, Istanbul Medeniyet University (Turkey)
2016-11-01
Some X-ray irradiation-induced electrical characteristics of the Au/anthraquinone/p-Si and the Au/pyridine/p-Si junction devices have been investigated. The experimental ideality factors increased for both devices with increasing irradiation dose from 25 Gy to 150 Gy. These values ranged from 1.10 to 1.52 for Au/anthraquinone/p-Si and from 1.46 to 1.77 for Au/pyridine/p-Si, respectively. Furthermore, the barrier height of Au/anthraquinone/p-Si increased with increasing irradiation dose from 0.75 to 0.91 eV, whereas it displayed about a constant value for Au/pyridine/p-Si. In addition, the series resistance of both devices increased with x-ray dose too. The increase in the series resistance with x-ray irradiation has been attributed to the decrease in the active dopant densities. It was seen that the ionization damage is effective on most of the junction characteristics. The leakage current of the Au/anthraquinone/p-Si device decreased with x-ray irradiation since the irradiation induced the formation of electron-hole pairs and hydroquinone structure, and thus some of them are trapped by the interface states. The degradation of the I-V curves of Au/pyridine/p-Si/Al device is attributed to the variation of the surface or interface states distribution for the devices. The reverse and forward bias currents relatively increased after x-ray irradiation because of the decrease in bulk lifetime. In addition, ATR-FTIR spectra of anthraquinone and pyridine films showed that pyridine is more stable than anthraquinone under x-ray irradiation. - Highlights: • Two junction devices based on organic materials were fabricated. • The effect of the x-ray irradiation on devices were examined. • Both devices showed x-irradiation-dependence.
Implantation of P ions in SiO2 layers with embedded Si nanocrystals
International Nuclear Information System (INIS)
Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.
2004-01-01
The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs
Enhancement mode operation in AlInN/GaN (MIS)HEMTs on Si substrates using a fluorine implant
International Nuclear Information System (INIS)
Zaidi, Z H; Lee, K B; Qian, H; Jiang, S; Houston, P A; Guiney, I; Wallis, D J; Humphreys, C J
2015-01-01
We have demonstrated enhancement mode operation of AlInN/GaN (MIS)HEMTs on Si substrates using the fluorine treatment technique. The plasma RF power and treatment time was optimized to prevent the penetration of the fluorine into the channel region to maintain high channel conductivity and transconductance. An analysis of the threshold voltage was carried out which defined the requirement for the fluorine sheet concentration to exceed the charge at the dielectric/AlInN interface to achieve an increase in the positive threshold voltage after deposition of the dielectric. This illustrates the importance of control of both the plasma conditions and the interfacial charge for a reproducible threshold voltage. A positive threshold voltage of +3 V was achieved with a maximum drain current of 367 mA mm −1 at a forward gate bias of 10 V. (paper)
Phuc, Huynh V.; Hieu, Nguyen N.; Hoi, Bui D.; Phuong, Le T. T.; Hieu, Nguyen V.; Nguyen, Chuong V.
2017-12-01
In this paper, the electronic properties of graphene/monolayer antimonene (G/m-Sb) heterostructure have been studied using the density functional theory (DFT). The effects of out-of-plane strain (interlayer coupling) and electric field on the electronic properties and Schottky contact of the G/m-Sb heterostructure are also investigated. The results show that graphene is bound to m-Sb layer by a weak van-der-Waals interaction with the interlayer distance of 3.50 Å and the binding energy per carbon atom of -39.62 meV. We find that the n-type Schottky contact is formed at the G/m-Sb heterostructure with the Schottky barrier height (SBH) of 0.60 eV. By varying the interlayer distance between graphene and the m-Sb layer we can change the n-type and p-type SBH at the G/m-Sb heterostructure. Especially, we find the transformation from n-type to p-type Schottky contact with decreasing the interlayer distance. Furthermore, the SBH and the Schottky contact could be controlled by applying the perpendicular electric field. With the positive electric field, electrons can easily transfer from m-Sb to graphene layer, leading to the transition from n-type to p-type Schottky contact.
Design and Investigation of SST/nc-Si:H/M (M = Ag, Au, Ni and M/nc-Si:H/M Multifunctional Devices
Directory of Open Access Journals (Sweden)
A. F. Qasrawi
2013-01-01
Full Text Available Hydrogenated nanocrystalline Silicon thin films prepared by the very high frequency chemical vapor deposition technique (VHF-CVD on stainless steel (SST substrates are used to design Schottky point contact barriers for the purpose of solar energy conversion and passive electronic component applications. In this process, the contact performance between SST and M (M = Ag, Au, and Ni and between Ag, Au, and Ni electrodes was characterized by means of current-voltage, capacitance-voltage, and light intensity dependence of short circuit ( current and open circuit voltage ( of the contacts. Particularly, the devices ideality factors, barrier heights were evaluated by the Schottky method and compared to the Cheung's. Best Schottky device performance with lowest ideality factor suitable for electronic applications was observed in the SST/nc-Si:H/Ag structure. This device reflects a of 229 mV with an of 1.6 mA/cm2 under an illumination intensity of ~40 klux. On the other hand, the highest being 9.0 mA/cm2 and the of 53.1 mV were observed for Ni/nc-Si:H/Au structure. As these voltages represent the maximum biasing voltage for some of the designed devices, the SST/nc-Si:H/M and M/nc-Si:H/M can be regarded as multifunctional self-energy that provided electronic devices suitable for active or passive applications.
Growth of InGaAs/GaAsP multiple quantum well solar cells on mis-orientated GaAs substrates
Energy Technology Data Exchange (ETDEWEB)
Sodabanlu, Hassanet, E-mail: sodabanlu@hotaka.t.u-tokyo.ac.jp; Wang, Yunpeng; Watanabe, Kentaroh [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Sugiyama, Masakazu [Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Institute of Engineering Innovation, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Nakano, Yoshiaki [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)
2014-06-21
The effects of growth temperature on the properties of InGaAs/GaAsP multiple quantum well (MQW) solar cells on various mis-orientated GaAs substrates were studied using metalorganic vapor phase epitaxy. Thickness modulation effect caused by mismatch strain of InGaAs/GaAsP could be suppressed by low growth temperature. Consequently, abrupt MQWs with strong light absorption could be deposited on mis-oriented substrates. However, degradation in crystal quality and impurity incorporation are the main drawbacks with low temperature growth because they tend to strongly degraded carrier transport and collection efficiency. MQW solar cells grown at optimized temperature showed the better conversion efficiency. The further investigation should focus on improvement of crystal quality and background impurities.
Electrical characterization of CdTe pixel detectors with Al Schottky anode
International Nuclear Information System (INIS)
Turturici, A.A.; Abbene, L.; Gerardi, G.; Principato, F.
2014-01-01
Pixelated Schottky Al/p-CdTe/Pt detectors are very attractive devices for high-resolution X-ray spectroscopic imaging, even though they suffer from bias-induced time instability (polarization). In this work, we present the results of the electrical characterization of a (4×4) pixelated Schottky Al/p-CdTe/Pt detector. Current–voltage (I–V) characteristics and current transients were investigated at different temperatures. The results show deep levels that play a dominant role in the charge transport mechanism. The conduction mechanism is dominated by the space charge limited current (SCLC) both under forward bias and at high reverse bias. Schottky barrier height of the Al/CdTe contact was estimated by using the thermionic-field emission model at low reverse bias voltages. Activation energy of the deep levels was measured through the analysis of the reverse current transients at different temperatures. Finally, we employed an analytical method to determine the density and the energy distribution of the traps from SCLC current–voltage characteristics
Schottky spectra and crystalline beams
International Nuclear Information System (INIS)
Pestrikov, D.V.
1996-01-01
In this paper we revise the current dependence of the Schottky noise power of a cooled proton beam previously measured at NAP-M. More careful study of experimental data indicates a linear decrease in the inverse Schottky noise power with an increase in the beam intensity (N). The root of this function determines a threshold current which occurs at N = N th ≅1.2 x 10 8 particles. The inspection of measured Schottky spectra shows that this threshold does not correspond to some collective instability of the measured harmonic of the linear beam density. The found value of N th does not depend on the longitudinal beam temperature. For the case of NAP-M lattice, the study of the spectral properties of the Schottky noise in the crystalline string predicts the current dependence of the equilibrium momentum spread of the beam, which qualitatively agrees with that, recalculated from the NAP-M data. (orig.)
Electrical characterization of organic-on-inorganic semiconductor Schottky structures
International Nuclear Information System (INIS)
Guellue, Oe; Tueruet, A; Asubay, S
2008-01-01
We prepared a methyl red/p-InP organic-inorganic (OI) Schottky device formed by evaporation of an organic compound solution directly to a p-InP semiconductor wafer. The value of the optical band gap energy of the methyl red organic film on a glass substrate was obtained as 2.0 eV. It was seen that the Al/methyl red/p-InP contacts showed a good rectifying behavior. An ideality factor of 2.02 and a barrier height (Φ b ) of 1.11 eV for the Al/methyl red/p-InP contact were determined from the forward bias I-V characteristics. It was seen that the value of 1.11 eV obtained for Φ b for the Al/methyl red/p-InP contact was significantly larger than the value of 0.83 eV for conventional Al/p-InP Schottky diodes. Modification of the interfacial potential barrier for the Al/p-InP diode was achieved using a thin interlayer of the methyl red organic semiconductor. This ascribed to the fact that the methyl red interlayer increases the effective Φ b by influencing the space charge region of InP
Thickness dependent formation and properties of GdSi2/Si(100) interfaces
International Nuclear Information System (INIS)
Peto, G.; Molnar, G.; Dozsa, L.; Horvath, Z.E.; Horvath, Zs.J.; Zsoldos, E.; Dimitriadis, C.A.; Papadimitriou, L.
2005-01-01
Epitaxial and polycrystalline orthorhombic GdSi 2 films were grown on Si(100) substrates by solid phase reaction between Si and Gd films at different thicknesses of the Gd film. The most important property of these GdSi 2 /Si interfaces was defect formation. This was investigated by studying the properties of the Schottky barriers by means of current voltage and capacitance-voltage characteristics, deep level transient spectroscopy by double crystal X-ray diffractometry, and transmission electron microscopy. Epitaxial growth of the silicide layer ensured a relatively low interface defect density (about 10 10 cm -2 ), while the non-epitaxial growth induced defects of a much higher density (about 10 12 cm -2 ). The defects generated during the silicide formation are located within a depth of about 10 nm from the GdSi 2 /Si interface. (orig.)
Energy Technology Data Exchange (ETDEWEB)
Prasanna Lakshmi, B.; Rajagopal Reddy, V.; Janardhanam, V. [Sri Venkateswara University, Department of Physics, Tirupati (India); Siva Pratap Reddy, M.; Lee, Jung-Hee [Kyungpook National University, School of Electrical Engineering and Computer Science, Daegu (Korea, Republic of)
2013-11-15
We report on the effect of an annealing temperature on the electrical properties of Au/Ta{sub 2}O{sub 5}/n-GaN metal-insulator-semiconductor (MIS) structure by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The measured Schottky barrier height ({Phi} {sub bo}) and ideality factor n values of the as-deposited Au/Ta{sub 2}O{sub 5}/n-GaN MIS structure are 0.93 eV (I-V) and 1.19. The barrier height (BH) increases to 1.03 eV and ideality factor decreases to 1.13 upon annealing at 500 {sup circle} C for 1 min under nitrogen ambient. When the contact is annealed at 600 {sup circle} C, the barrier height decreases and the ideality factor increases to 0.99 eV and 1.15. The barrier heights obtained from the C-V measurements are higher than those obtained from I-V measurements, and this indicates the existence of spatial inhomogeneity at the interface. Cheung's functions are also used to calculate the barrier height ({Phi} {sub bo}), ideality factor (n), and series resistance (R{sub s}) of the Au/Ta{sub 2}O{sub 5}/n-GaN MIS structure. Investigations reveal that the Schottky emission is the dominant mechanism and the Poole-Frenkel emission occurs only in the high voltage region. The energy distribution of interface states is determined from the forward bias I-V characteristics by taking into account the bias dependence of the effective barrier height. It is observed that the density value of interface states for the annealed samples with interfacial layer is lower than that of the density value of interface states of the as-deposited sample. (orig.)
Cumulative dose 60Co gamma irradiation effects on AlGaN/GaN Schottky diodes and its area dependence
Sharma, Chandan; Laishram, Robert; Rawal, Dipendra Singh; Vinayak, Seema; Singh, Rajendra
2018-04-01
Cumulative dose gamma radiation effects on current-voltage characteristics of GaN Schottky diodes have been investigated. The different area diodes have been fabricated on AlGaN/GaN high electron mobility transistor (HEMT) epi-layer structure grown over SiC substrate and irradiated with a dose up to the order of 104 Gray (Gy). Post irradiation characterization shows a shift in the turn-on voltage and improvement in reverse leakage current. Other calculated parameters include Schottky barrier height, ideality factor and reverse saturation current. Schottky barrier height has been decreased whereas reverse saturation current shows an increase in the value post irradiation with improvement in the ideality factor. Transfer length measurement (TLM) characterization shows an improvement in the contact resistance. Finally, diodes with larger area have more variation in the calculated parameters due to the induced local heating effect.
Mis\\`ere Games and Mis\\`ere Quotients
Siegel, Aaron N.
2006-01-01
These lecture notes are based on a short course on mis\\`ere quotients offered at the Weizmann Institute of Science in Rehovot, Israel, in November 2006. They include an introduction to impartial games, starting from the beginning; the basic mis\\`ere quotient construction; a proof of the Guy--Smith--Plambeck Periodicity Theorem; and statements of some recent results and open problems in the subject.
Li, Wei; Wang, Tian-Xing; Dai, Xian-Qi; Wang, Xiao-Long; Ma, Ya-Qiang; Chang, Shan-Shan; Tang, Ya-Nan
2017-04-01
Using density functional theory calculations, we investigate the electronic properties of arsenene/graphene van der Waals (vdW) heterostructures by applying external electric field perpendicular to the layers. It is demonstrated that weak vdW interactions dominate between arsenene and graphene with their intrinsic electronic properties preserved. We find that an n-type Schottky contact is formed at the arsenene/graphene interface with a Schottky barrier of 0.54 eV. Moreover, the vertical electric field can not only control the Schottky barrier height but also the Schottky contacts (n-type and p-type) and Ohmic contacts (n-type) at the interface. Tunable p-type doping in graphene is achieved under the negative electric field because electrons can transfer from the Dirac point of graphene to the conduction band of arsenene. The present study would open a new avenue for application of ultrathin arsenene/graphene heterostructures in future nano- and optoelectronics.
SCHOTTKY MEASUREMENTS DURING RHIC 2000
International Nuclear Information System (INIS)
CAMERON, P.; CUPOLO, J.; DEGEN, C.; HAMMONS, L.; KESSELMAN, M.; LEE, R.; MEYER, A.; SIKORA, R.
2001-01-01
The 2GHz Schottky system was a powerful diagnostic during RHIC 2000 commissioning. A continuous monitor without beam excitation, it provided betatron tune, chromaticity, momentum spread relative emittance, and synchrotron tune. It was particularly useful during transition studies. In addition, a BPM was resonated at 230MHz for Schottky measurements
Film, ruum ja narratiiv : "Mis juhtus Andres Lapeteusega?" ning "Viini postmark" / Eva Näripea
Näripea, Eva, 1980-
2011-01-01
Analüüsitakse narratiivi aegruumi loomise viise juhtumi analüüsi vormis, vaadeldes kaht eesti mängufilmi : G. Kromanovi "Mis juhtus Andres Lapeteusega?" (Tallinnfilm, 1966) ja Veljo Käsperi "Viini postmark" (Tallinnfilm, 1967)
Film, ruum ja narratiiv : "Mis juhtus Andres Lapeteusega?" ning "Viini postmark" / Eva Näripea
Näripea, Eva
2006-01-01
Analüüsitakse narratiivi aegruumi loomise viise juhtumi analüüsi vormis, vaadeldes kaht eesti mängufilmi : G. Kromanovi "Mis juhtus Andres Lapeteusega?" (Tallinnfilm, 1966) ja Veljo Käsperi "Viini postmark" (Tallinnfilm, 1967)
Longitudinal Schottky noise of intense beam
International Nuclear Information System (INIS)
Pestrikov, D.V.
1990-01-01
Some phenomena, which can be observed in the longitudinal Schottky spectra in storage ring with electron cooling as well as some technical details, which can be useful for the models of fitting are reviewed. Results shows that both the spectra and the power of the Schottky noise of the coasting beam are very sensitive to collective behaviour of the beam. This can be used for fitting of Schottky noise measurements and recalculation of beam parameters, parameters of cooling device. 9 refs.; 4 figs
Electronic Properties of DNA-Based Schottky Barrier Diodes in Response to Alpha Particles
Directory of Open Access Journals (Sweden)
Hassan Maktuff Jaber Al-Ta'ii
2015-05-01
Full Text Available Detection of nuclear radiation such as alpha particles has become an important field of research in recent history due to nuclear threats and accidents. In this context; deoxyribonucleic acid (DNA acting as an organic semiconducting material could be utilized in a metal/semiconductor Schottky junction for detecting alpha particles. In this work we demonstrate for the first time the effect of alpha irradiation on an Al/DNA/p-Si/Al Schottky diode by investigating its current-voltage characteristics. The diodes were exposed for different periods (0–20 min of irradiation. Various diode parameters such as ideality factor, barrier height, series resistance, Richardson constant and saturation current were then determined using conventional, Cheung and Cheung’s and Norde methods. Generally, ideality factor or n values were observed to be greater than unity, which indicates the influence of some other current transport mechanism besides thermionic processes. Results indicated ideality factor variation between 9.97 and 9.57 for irradiation times between the ranges 0 to 20 min. Increase in the series resistance with increase in irradiation time was also observed when calculated using conventional and Cheung and Cheung’s methods. These responses demonstrate that changes in the electrical characteristics of the metal-semiconductor-metal diode could be further utilized as sensing elements to detect alpha particles.
Tengeler, Sven; Kaiser, Bernhard; Chaussende, Didier; Jaegermann, Wolfram
2017-04-01
The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni2Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.
Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals
Energy Technology Data Exchange (ETDEWEB)
Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I
2004-08-01
The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.
Schottky Noise and Beam Transfer Functions
Energy Technology Data Exchange (ETDEWEB)
Blaskiewicz M.; Blaskiewicz M.
2016-12-01
Beam transfer functions (BTF)s encapsulate the stability properties of charged particle beams. In general one excites the beam with a sinusoidal signal and measures the amplitude and phase of the beam response. Most systems are very nearly linear and one can use various Fourier techniques to reduce the number of measurements and/or simulations needed to fully characterize the response. Schottky noise is associated with the finite number of particles in the beam. This signal is always present. Since the Schottky current drives wakefields, the measured Schottky signal is influenced by parasitic impedances.
International Nuclear Information System (INIS)
Ergen, Onur; Gibb, Ashley; Vazquez-Mena, Oscar; Zettl, Alex; Regan, William Raymond
2015-01-01
We demonstrate cuprous oxide (Cu 2 O) based metal insulator semiconductor Schottky (MIS-Schottky) solar cells with efficiency exceeding 3%. A unique direct growth technique is employed in the fabrication, and hexagonal boron nitride (h-BN) serves simultaneously as a passivation and insulation layer on the active Cu 2 O layer. The devices are the most efficient of any Cu 2 O based MIS-Schottky solar cells reported to date
Schottky signal analysis: tune and chromaticity computation
Chanon, Ondine
2016-01-01
Schottky monitors are used to determine important beam parameters in a non-destructive way. The Schottky signal is due to the internal statistical fluctuations of the particles inside the beam. In this report, after explaining the different components of a Schottky signal, an algorithm to compute the betatron tune is presented, followed by some ideas to compute machine chromaticity. The tests have been performed with offline and/or online LHC data.
Magnetic field induced suppression of the forward bias current in Bi2Se3/Si Schottky barrier diodes
Jin, Haoming; Hebard, Arthur
Schottky diodes formed by van der Waals bonding between freshly cleaved flakes of the topological insulator Bi2Se3 and doped silicon substrates show electrical characteristics in good agreement with thermionic emission theory. The motivation is to use magnetic fields to modulate the conductance of the topologically protected conducting surface state. This surface state in close proximity to the semiconductor surface may play an important role in determining the nature of the Schottky barrier. Current-voltage (I-V) and capacitance-voltage (C-V) characteristics were obtained for temperatures in the range 50-300 K and magnetic fields, both perpendicular and parallel to the interface, as high as 7 T. The I-V curve shows more than 6 decades linearity on semi-logarithmic plots, allowing extraction of parameters such as ideality (η), zero-voltage Schottky barrier height (SBH), and series resistance (Rs). In forward bias we observe a field-induced decrease in current which becomes increasingly more pronounced at higher voltages and lower temperature, and is found to be correlated with changes in Rs rather than other barrier parameters. A comparison of changes in Rs in both field direction will be made with magnetoresistance in Bi2Se3 transport measurement. The work is supported by NSF through DMR 1305783.
Energy Technology Data Exchange (ETDEWEB)
Xia, Congxin, E-mail: xiacongxin@htu.edu.cn; Xue, Bin; Wang, Tianxing; Peng, Yuting [Department of Physic, Henan Normal University, Xinxiang 453007 (China); Jia, Yu [School of Physics and Engineering, Zhengzhou University, Zhengzhou 450052 (China)
2015-11-09
The electronic characteristics of arsenene-graphene van der Waals (vdW) heterostructures are studied by using first-principles methods. The results show that a linear Dirac-like dispersion relation around the Fermi level can be quite well preserved in the vdW heterostructures. Moreover, the p-type Schottky barrier (0.18 eV) to n-type Schottky barrier (0.31 eV) transition occurs when the interlayer distance increases from 2.8 to 4.5 Å, which indicates that the Schottky barrier can be tuned effectively by the interlayer distance in the vdW heterostructures.
The novel eutectic microstructures of Si-Mn-P ternary alloy
International Nuclear Information System (INIS)
Wu Yaping; Liu Xiangfa
2010-01-01
The microstructures of Si-Mn-P alloy manufactured by the technique of combining phosphorus transportation and alloy melting were investigated using electron probe micro-analyzer (EPMA). The phase compositions were determined by energy spectrum and the varieties of eutectic morphologies were discussed. It is found that there is no ternary compound but Si, MnP and MnSi 1.75-x could appear when the Si-Mn-P alloy's composition is proper. Microstructure is greatly refined by rapid solidification technique and the amount of eutectic phases change with faster cooling rates. Moreover, primary Si or MnP are surrounded firstly by the binary eutectic (Si + MnP) and then the ternary eutectic (Si + MnSi 1.75-x + MnP) which also exhibit binary structures due to divorced eutectic determined by the particularity of some Si-Mn-P alloys.
Microprocessing of ITO and a-Si thin films using ns laser sources
Molpeceres, C.; Lauzurica, S.; Ocaña, J. L.; Gandía, J. J.; Urbina, L.; Cárabe, J.
2005-06-01
Selective ablation of thin films for the development of new photovoltaic panels and sensoring devices based on amorphous silicon (a-Si) is an emerging field, in which laser micromachining systems appear as appropriate tools for process development and device fabrication. In particular, a promising application is the development of purely photovoltaic position sensors. Standard p-i-n or Schottky configurations using transparent conductive oxides (TCO), a-Si and metals are especially well suited for these applications, appearing selective laser ablation as an ideal process for controlled material patterning and isolation. In this work a detailed study of laser ablation of a widely used TCO, indium-tin-oxide (ITO), and a-Si thin films of different thicknesses is presented, with special emphasis on the morphological analysis of the generated grooves. Excimer (KrF, λ = 248 nm) and DPSS lasers (λ = 355 and λ = 1064 nm) with nanosecond pulse duration have been used for material patterning. Confocal laser scanning microscopy (CLSM) and scanning electron microscopy (SEM) techniques have been applied for the characterization of the ablated grooves. Additionally, process parametric windows have been determined in order to assess this technology as potentially competitive to standard photolithographic processes. The encouraging results obtained, with well-defined ablation grooves having thicknesses in the order of 10 µm both in ITO and in a-Si, open up the possibility of developing a high-performance double Schottky photovoltaic matrix position sensor.
Energy Technology Data Exchange (ETDEWEB)
Ergen, Onur; Gibb, Ashley; Vazquez-Mena, Oscar; Zettl, Alex, E-mail: azettl@berkeley.edu [Department of Physics, University of California at Berkeley, Berkeley, California 94720 (United States); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Kavli Energy Nanosciences Institute at the University of California, Berkeley, and the Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Regan, William Raymond [Department of Physics, University of California at Berkeley, Berkeley, California 94720 (United States); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)
2015-03-09
We demonstrate cuprous oxide (Cu{sub 2}O) based metal insulator semiconductor Schottky (MIS-Schottky) solar cells with efficiency exceeding 3%. A unique direct growth technique is employed in the fabrication, and hexagonal boron nitride (h-BN) serves simultaneously as a passivation and insulation layer on the active Cu{sub 2}O layer. The devices are the most efficient of any Cu{sub 2}O based MIS-Schottky solar cells reported to date.
Photic zone changes in the north-west Pacific Ocean from MIS 4–5e
Directory of Open Access Journals (Sweden)
G. E. A. Swann
2015-01-01
Full Text Available In comparison to other sectors of the marine system, the palaeoceanography of the subarctic North Pacific Ocean is poorly constrained. New diatom isotope records of δ13C, δ18O, δ30Si (δ13Cdiatom, δ18Odiatom, and δ30Sidiatom are presented alongside existing geochemical and isotope records to document changes in photic zone conditions, including nutrient supply and the efficiency of the soft-tissue biological pump, between Marine Isotope Stage (MIS 4 and MIS 5e. Peaks in opal productivity in MIS 5b/c and MIS 5e are both associated with the breakdown of the regional halocline stratification and increased nutrient supply to the photic zone. Whereas the MIS 5e peak is associated with low rates of nutrient utilisation, the MIS 5b/c peak is associated with significantly higher rates of nutrient utilisation. Both peaks, together with other smaller increases in productivity in MIS 4 and 5a, culminate with a significant increase in freshwater input which strengthens/re-establishes the halocline and limits further upwelling of sub-surface waters to the photic zone. Whilst δ30Sidiatom and previously published records of diatom δ15N (δ15Ndiatom (Brunelle et al., 2007, 2010 show similar trends until the latter half of MIS 5a, the records become anti-correlated after this juncture and into MIS 4, suggesting a possible change in photic zone state such as may occur with a shift to iron or silicon limitation.
Investigation of significantly high barrier height in Cu/GaN Schottky diode
Directory of Open Access Journals (Sweden)
Manjari Garg
2016-01-01
Full Text Available Current-voltage (I-V measurements combined with analytical calculations have been used to explain mechanisms for forward-bias current flow in Copper (Cu Schottky diodes fabricated on Gallium Nitride (GaN epitaxial films. An ideality factor of 1.7 was found at room temperature (RT, which indicated deviation from thermionic emission (TE mechanism for current flow in the Schottky diode. Instead the current transport was better explained using the thermionic field-emission (TFE mechanism. A high barrier height of 1.19 eV was obtained at room temperature. X-ray photoelectron spectroscopy (XPS was used to investigate the plausible reason for observing Schottky barrier height (SBH that is significantly higher than as predicted by the Schottky-Mott model for Cu/GaN diodes. XPS measurements revealed the presence of an ultrathin cuprous oxide (Cu2O layer at the interface between Cu and GaN. With Cu2O acting as a degenerate p-type semiconductor with high work function of 5.36 eV, a high barrier height of 1.19 eV is obtained for the Cu/Cu2O/GaN Schottky diode. Moreover, the ideality factor and barrier height were found to be temperature dependent, implying spatial inhomogeneity of barrier height at the metal semiconductor interface.
Axial Ge/Si nanowire heterostructure tunnel FETs.
Energy Technology Data Exchange (ETDEWEB)
Dayeh, Shadi A. (Los Alamos National Laboratory); Gin, Aaron V.; Huang, Jian Yu; Picraux, Samuel Thomas (Los Alamos National Laboratory)
2010-03-01
}20{sup o} off the <111> axis at about 300 nm away from the Ge/Si interface. This provides a natural marker for placing the gate contact electrodes and gate metal at appropriate location for desired high-on current and reduced ambipolarity as shown in Fig. 2. The 1D heterostructures allow band-edge engineering in the transport direction, not easily accessible in planar devices, providing an additional degree of freedom for designing tunnel FETs (TFETs). For instance, a Ge tunnel source can be used for efficient electron/hole tunneling and a Si drain can be used for reduced back-tunneling and ambipolar behavior. Interface abruptness on the other hand (particularly for doping) imposes challenges in these structures and others for realizing high performance TFETs in p-i-n junctions. Since the metal-semiconductor contacts provide a sharp interface with band-edge control, we use properly designed Schottky contacts (aided by 3D Silvaco simulations) as the tunnel barriers both at the source and drain and utilize the asymmetry in the Ge/Si channel bandgap to reduce ambipolar transport behavior generally observed in TFETs. Fig. 3 shows the room-temperature transfer curves of a Ge/Si heterostructure TFET (H-TFET) for different V{sub DS} values showing a maximum on-current of {approx}7 {micro}A, {approx}170 mV/decade inverse subthreshold slope and 5 orders of magnitude I{sub on}/I{sub off} ratios for all V{sub DS} biases considered here. This high on-current value is {approx}1750 X higher than that obtained with Si p-i-n{sup +} NW TFETs and {approx}35 X higher than that obtained with CNT TFET. The I{sub on}/I{sub off} ratio and inverse subthreshold slope compare favorably to that of Si {approx} 10{sup 3} I{sub on}/I{sub off} and {approx} 800 mV/decade SS{sup -1} but lags behind those of CNT TFET due to poor PECVD nitride gate oxide quality ({var_epsilon}{sub r} {approx} 3-4). The asymmetry in the Schottky barrier heights used here eliminates the stringent requirements of abrupt
International Nuclear Information System (INIS)
Liang, S M; Schmid-Fetzer, R
2016-01-01
The eutectic microstructure in hypoeutectic Al-Si cast alloys is strongly influenced by AlP particles which are potent nuclei for the eutectic (Si) phase. The solidification sequence of AlP and (Si) phases is, thus, crucial for the nucleation of eutectic silicon with marked impact on its morphology. This study presents this interdependence between Si- and P-compositions, relevant for Al-Si cast alloys, on the solidification sequence of AlP and (Si). These data are predicted from a series of thermodynamic calculations. The predictions are based on a self-consistent thermodynamic description of the Al-Si-P ternary alloy system developed recently. They are validated by independent experimental studies on microstructure and undercooling in hypoeutectic Al-Si alloys. A constrained Scheil solidification simulation technique is applied to predict the undercooling under clean heterogeneous nucleation conditions, validated by dedicated experimental observations on entrained droplets. These specific undercooling values may be very large and their quantitative dependence on Si and P content of the Al alloy is presented. (paper)
Electronic parameters of high barrier Au/Rhodamine-101/n-Inp Schottky diode with organic ınterlayer
International Nuclear Information System (INIS)
Güllü, Ö.; Aydoğan, S.; Türüt, A.
2012-01-01
In this work, we present that Rhodamine-101 (Rh-101) organic molecules can control the electrical characteristics of conventional Au/n-InP metal–semiconductor contacts. An Au/n-InP Schottky junction with Rh-101 interlayer has been formed by using a simple cast process. A potential barrier height as high as 0.88 eV has been achieved for Au/Rh-101/n-InP Schottky diodes, which have good current–voltage (I–V) characteristics. This good performance is attributed to the effect of formation of interfacial organic thin layer between Au and n-InP. By using capacitance-voltage measurement of the Au/Rh-101/n-InP Schottky diode the diffusion potential and the barrier height have been calculated as 0.78 V and 0.88 eV, respectively. From the I–V measurement of the diode under illumination, short circuit current and open circuit voltage have been extracted as 1.70 μA and 240 mV, respectively.
GaAsP solar cells on GaP/Si with low threading dislocation density
International Nuclear Information System (INIS)
Yaung, Kevin Nay; Vaisman, Michelle; Lang, Jordan; Lee, Minjoo Larry
2016-01-01
GaAsP on Si tandem cells represent a promising path towards achieving high efficiency while leveraging the Si solar knowledge base and low-cost infrastructure. However, dislocation densities exceeding 10"8 cm"−"2 in GaAsP cells on Si have historically hampered the efficiency of such approaches. Here, we report the achievement of low threading dislocation density values of 4.0–4.6 × 10"6 cm"−"2 in GaAsP solar cells on GaP/Si, comparable with more established metamorphic solar cells on GaAs. Our GaAsP solar cells on GaP/Si exhibit high open-circuit voltage and quantum efficiency, allowing them to significantly surpass the power conversion efficiency of previous devices. The results in this work show a realistic path towards dual-junction GaAsP on Si cells with efficiencies exceeding 30%.
Heyer, René
2012-01-01
On n’imagine pas de justice sans possibilité de faire miséricorde ; inversement, une miséricorde qui ne tiendrait pas compte de la justice ne serait plus la miséricorde. Les deux notions sont liées, mais sur un socle inégal : car la justice inspirée par la loi naturelle déborde la justice positive, de même que la misère des miséreux ne se laisse pas ramener au mal commis par le misérable. En découlent des variations où l’on peut voir se profiler trois moments : 1. La loi coutumière est bouscu...
Gan, Liyong; Cheng, Yingchun; Schwingenschlö gl, Udo; Zhang, Qingyun
2013-01-01
We study the ferromagnet/semiconductor contacts formed by transition metal dichalcogenide monolayers, focusing on semiconducting MoS2 and WS2 and ferromagnetic VS2. We investigate the degree of p-type doping and demonstrate tuning of the Schottky barrier height by vertical compressive pressure. An analytical model is presented for the barrier heights that accurately describes the numerical findings and is expected to be of general validity for all transition metal dichalcogenide metal/semiconductor contacts. Furthermore, magnetic proximity effects induce a 100% spin polarization at the Fermi level in the semiconductor where the spin splitting increases up to 0.70 eV for increasing pressure.
Gan, Liyong
2013-09-26
We study the ferromagnet/semiconductor contacts formed by transition metal dichalcogenide monolayers, focusing on semiconducting MoS2 and WS2 and ferromagnetic VS2. We investigate the degree of p-type doping and demonstrate tuning of the Schottky barrier height by vertical compressive pressure. An analytical model is presented for the barrier heights that accurately describes the numerical findings and is expected to be of general validity for all transition metal dichalcogenide metal/semiconductor contacts. Furthermore, magnetic proximity effects induce a 100% spin polarization at the Fermi level in the semiconductor where the spin splitting increases up to 0.70 eV for increasing pressure.
Gate Modulation of Graphene-ZnO Nanowire Schottky Diode.
Liu, Ren; You, Xu-Chen; Fu, Xue-Wen; Lin, Fang; Meng, Jie; Yu, Da-Peng; Liao, Zhi-Min
2015-05-06
Graphene-semiconductor interface is important for the applications in electronic and optoelectronic devices. Here we report the modulation of the electric transport properties of graphene/ZnO nanowire Schottky diode by gate voltage (Vg). The ideality factor of the graphene/ZnO nanowire Schottky diode is ~1.7, and the Schottky barrier height is ~0.28 eV without external Vg. The Schottky barrier height is sensitive to Vg due to the variation of Fermi level of graphene. The barrier height increases quickly with sweeping Vg towards the negative value, while decreases slowly towards the positive Vg. Our results are helpful to understand the fundamental mechanism of the electric transport in graphene-semiconductor Schottky diode.
Directory of Open Access Journals (Sweden)
Xiao-Lu Zhou
Full Text Available A novel Si-18Mn-16Ti-11P master alloy has been developed to refine primary Si to 14.7 ± 1.3 μm, distributed uniformly in Al-27Si alloy. Comparing with traditional Cu-14P and Al-3P, Si-18Mn-16Ti-11P provided a much better refining effect, with in-situ highly active AlP. The refined Al-27Si alloy exhibited a CTE of 16.25 × 10−6/K which is slightly higher than that of Sip/Al composites fabricated by spray deposition. The UTS and elongation of refined Al-27Si alloy were increased by 106% and 235% comparing with those of unrefined alloy. It indicates that the novel Si-18Mn-16Ti-11P alloy is more suitable for high-Si Al-Si alloys and may be a candidate for refining hypereutectic Al-Si alloy for electronic packaging applications. Moreover, studies showed that TiP is the only P-containing phase in Si-18Mn-16Ti-11P master alloy. A core-shell reaction model was established to reveal mechanism of the transformation of TiP to AlP in Al-Si melts. The transformation is a liquid-solid diffusion reaction driven by chemical potential difference and the reaction rate is controlled by diffusion. It means sufficient holding time is necessary for Si-18Mn-16Ti-11P master alloy to achieve better refining effect. Keywords: Hypereutectic Al-Si alloy, Primary Si, Refinement, AlP, Thermal expansion behavior, Si-18Mn-16Ti-11P master alloy
Reconstructing dust fluxes and paleoproductivity at the southern Agulhas Plateau since MIS-6
Frenkel, M. M.; Anderson, R. F.; Winckler, G.
2017-12-01
Understanding the mechanisms underlying glacial-interglacial cycles requires characterizing the role of oceanic feedbacks in climatic changes. For example, increased aeolian iron fluxes to Fe-limited regions of the ocean and corresponding changes in marine productivity could have improved biological pump efficiency and resulted in CO2 drawdown. Here we explore these feedbacks using marine sediment core MDO2-2588 collected from the southern Agulhas Plateau (SAP; 41°S, 26°E), located beneath the modern subtropical front. Today, diatom productivity in this region is Si-limited because high Si utilization south of the polar front (PF) means that water advected northward to our study site is Si-depleted. However, previous work has suggested that extended sea ice cover during glacial periods may have limited diatom productivity south of the PF while frontal systems shifted northward, allowing more Si to reach thermocline of the SAP. Meanwhile, increased glacial dust flux to the SAP may have simultaneously supplied more Fe, contributing to higher glacial productivity. This hypothesis has been supported by observations of higher LGM and MIS-6 productivity at MD02-2588 using bulk biogenic content and diatom assemblages (Romero et al., Paleoceanography, 30 (2015) 118-132). Gradients in d13C between benthic and planktic foraminifera have also been used to support Fe fertilization at this site on millennial timescales (Ziegler et al., Nature Geoscience, 6 (2013) 457-461). Yet, studies have yet to produce coordinated records of dust flux and export production for the SAP. Here, we present records of dust, based on 230Th-normalized 232Th fluxes, and export production using 230Th-normalized excess-Ba and opal fluxes and authigenic U through MIS-6. Preliminary results show that lithogenic fluxes to MD02-2588 were approximately twice as high during MIS-6 as MIS-5e and were concurrent with a two-fold increase in excess-Ba flux. However, this relative increase in lithogenic flux
Sensitivity of the Greenland Ice Sheet to Interglacial Climate Forcing: MIS 5e Versus MIS 11
Rachmayani, Rima; Prange, Matthias; Lunt, Daniel J.; Stone, Emma J.; Schulz, Michael
2017-11-01
The Greenland Ice Sheet (GrIS) is thought to have contributed substantially to high global sea levels during the interglacials of Marine Isotope Stage (MIS) 5e and 11. Geological evidence suggests that the mass loss of the GrIS was greater during the peak interglacial of MIS 11 than MIS 5e, despite a weaker boreal summer insolation. We address this conundrum by using the three-dimensional thermomechanical ice sheet model Glimmer forced by Community Climate System Model version 3 output for MIS 5e and MIS 11 interglacial time slices. Our results suggest a stronger sensitivity of the GrIS to MIS 11 climate forcing than to MIS 5e forcing. Besides stronger greenhouse gas radiative forcing, the greater MIS 11 GrIS mass loss relative to MIS 5e is attributed to a larger oceanic heat transport toward high latitudes by a stronger Atlantic meridional overturning circulation. The vigorous MIS 11 ocean overturning, in turn, is related to a stronger wind-driven salt transport from low to high latitudes promoting North Atlantic Deep Water formation. The orbital insolation forcing, which causes the ocean current anomalies, is discussed.
Schottky Barriers in Bilayer Phosphorene Transistors.
Pan, Yuanyuan; Dan, Yang; Wang, Yangyang; Ye, Meng; Zhang, Han; Quhe, Ruge; Zhang, Xiuying; Li, Jingzhen; Guo, Wanlin; Yang, Li; Lu, Jing
2017-04-12
It is unreliable to evaluate the Schottky barrier height (SBH) in monolayer (ML) 2D material field effect transistors (FETs) with strongly interacted electrode from the work function approximation (WFA) because of existence of the Fermi-level pinning. Here, we report the first systematical study of bilayer (BL) phosphorene FETs in contact with a series of metals with a wide work function range (Al, Ag, Cu, Au, Cr, Ti, Ni, and Pd) by using both ab initio electronic band calculations and quantum transport simulation (QTS). Different from only one type of Schottky barrier (SB) identified in the ML phosphorene FETs, two types of SBs are identified in BL phosphorene FETs: the vertical SB between the metallized and the intact phosphorene layer, whose height is determined from the energy band analysis (EBA); the lateral SB between the metallized and the channel BL phosphorene, whose height is determined from the QTS. The vertical SBHs show a better consistency with the lateral SBHs of the ML phosphorene FETs from the QTS compared than that of the popular WFA. Therefore, we develop a better and more general method than the WFA to estimate the lateral SBHs of ML semiconductor transistors with strongly interacted electrodes based on the EBA for its BL counterpart. In terms of the QTS, n-type lateral Schottky contacts are formed between BL phosphorene and Cr, Al, and Cu electrodes with electron SBH of 0.27, 0.31, and 0.32 eV, respectively, while p-type lateral Schottky contacts are formed between BL phosphorene and Pd, Ti, Ni, Ag, and Au electrodes with hole SBH of 0.11, 0.18, 0.19, 0.20, and 0.21 eV, respectively. The theoretical polarity and SBHs are in good agreement with available experiments. Our study provides an insight into the BL phosphorene-metal interfaces that are crucial for designing the BL phosphorene device.
Energy Technology Data Exchange (ETDEWEB)
Toffoletti, Lorenzo; Landesfeind, Johannes; Klein, Wilhelm; Gasteiger, Hubert A.; Faessler, Thomas F. [Department of Chemistry, Technische Universitaet Muenchen, Lichtenbergstrasse 4, 85747, Garching bei Muenchen (Germany); Kirchhain, Holger; Wuellen, Leo van [Department of Physics, University of Augsburg, Universitaetsstrasse 1, 86159, Augsburg (Germany)
2016-12-05
The need to improve electrodes and Li-ion conducting materials for rechargeable all-solid-state batteries has drawn enhanced attention to the investigation of lithium-rich compounds. The study of the ternary system Li-Si-P revealed a series of new compounds, two of which, Li{sub 8}SiP{sub 4} and Li{sub 2}SiP{sub 2}, are presented. Both phases represent members of a new family of Li ion conductors that display Li ion conductivity in the range from 1.15(7) x 10{sup -6} Scm{sup -1} at 0 C to 1.2(2) x 10{sup -4} Scm{sup -1} at 75 C (Li{sub 8}SiP{sub 4}) and from 6.1(7) x 10{sup -8} Scm{sup -1} at 0 C to 6(1) x 10{sup -6} Scm{sup -1} at 75 C (Li{sub 2}SiP{sub 2}), as determined by impedance measurements. Temperature-dependent solid-state {sup 7}Li NMR spectroscopy revealed low activation energies of about 36 kJ mol{sup -1} for Li{sub 8}SiP{sub 4} and about 47 kJ mol{sup -1} for Li{sub 2}SiP{sub 2}. Both compounds were structurally characterized by X-ray diffraction analysis (single crystal and powder methods) and by {sup 7}Li, {sup 29}Si, and {sup 31}P MAS NMR spectroscopy. Both phases consist of tetrahedral SiP{sub 4} anions and Li counterions. Li{sub 8}SiP{sub 4} contains isolated SiP{sub 4} units surrounded by Li atoms, while Li{sub 2}SiP{sub 2} comprises a three-dimensional network based on corner-sharing SiP{sub 4} tetrahedra, with the Li ions located in cavities and channels. (copyright 2016 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)
Gate Modulation of Graphene-ZnO Nanowire Schottky Diode
Liu, Ren; You, Xu-Chen; Fu, Xue-Wen; Lin, Fang; Meng, Jie; Yu, Da-Peng; Liao, Zhi-Min
2015-01-01
Graphene-semiconductor interface is important for the applications in electronic and optoelectronic devices. Here we report the modulation of the electric transport properties of graphene/ZnO nanowire Schottky diode by gate voltage (Vg). The ideality factor of the graphene/ZnO nanowire Schottky diode is ~1.7, and the Schottky barrier height is ~0.28?eV without external Vg. The Schottky barrier height is sensitive to Vg due to the variation of Fermi level of graphene. The barrier height increa...
Positive magnetoresistance in Co40Fe40B20/SiO2/Si heterostructure
Zhang, Y.
2016-07-20
Current-perpendicular-to-plane electronic transport properties and magnetoresistance of amorphous Co40Fe40B20/SiO2/Si heterostructures are investigated systematically. A backward diode-like rectifying behavior was observed due to the formation of a Schottky barrier between Co40Fe40B20 and Si. The junction resistance shows a metal-insulator transition with decreasing temperature in both the forward and reverse ranges. A large positive magnetoresistance (MR) of ∼2300% appears at 200 K. The positive MR can be attributed to the magnetic-field-controlled impact ionization process of carriers. MR shows a temperature-peak-type character under a constant bias current, which is related to the spin-dependent barrier in the Si near the interface. © CopyrightEPLA, 2016.
Positive magnetoresistance in Co40Fe40B20/SiO2/Si heterostructure
Zhang, Y.; Mi, W. B.; Zhang, Xixiang
2016-01-01
Current-perpendicular-to-plane electronic transport properties and magnetoresistance of amorphous Co40Fe40B20/SiO2/Si heterostructures are investigated systematically. A backward diode-like rectifying behavior was observed due to the formation of a Schottky barrier between Co40Fe40B20 and Si. The junction resistance shows a metal-insulator transition with decreasing temperature in both the forward and reverse ranges. A large positive magnetoresistance (MR) of ∼2300% appears at 200 K. The positive MR can be attributed to the magnetic-field-controlled impact ionization process of carriers. MR shows a temperature-peak-type character under a constant bias current, which is related to the spin-dependent barrier in the Si near the interface. © CopyrightEPLA, 2016.
Self-assembled patches in PtSi/n-Si (111) diodes
Afandiyeva, I. M.; Altιndal, Ş.; Abdullayeva, L. K.; Bayramova, A. İ.
2018-05-01
Using the effect of the temperature on the capacitance–voltage (C–V) and conductance–voltage (G/ω–V) characteristics of PtSi/n-Si (111) Schottky diodes the profile of apparent doping concentration (N Dapp), the potential difference between the Fermi energy level and the bottom of the conduction band (V n), apparent barrier height (Φ Bapp), series resistance (R s) and the interface state density N ss have been investigated. From the temperature dependence of (C–V) it was found that these parameters are non-uniformly changed with increasing temperature in a wide temperature range of 79–360 K. The voltage and temperature dependences of apparent carrier distribution we attributed to the existence of self-assembled patches similar the quantum wells, which formed due to the process of PtSi formation on semiconductor and the presence of hexagonal voids of Si (111).
Directory of Open Access Journals (Sweden)
Chao-Wei Lin
2012-01-01
Full Text Available This study examines the praseodymium-oxide- (Pr2O3- passivated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs with high dielectric constant in which the AlGaN Schottky layers are treated with P2S5/(NH42SX + ultraviolet (UV illumination. An electron-beam evaporated Pr2O3 insulator is used instead of traditional plasma-assisted chemical vapor deposition (PECVD, in order to prevent plasma-induced damage to the AlGaN. In this work, the HEMTs are pretreated with P2S5/(NH42SX solution and UV illumination before the gate insulator (Pr2O3 is deposited. Since stable sulfur that is bound to the Ga species can be obtained easily and surface oxygen atoms are reduced by the P2S5/(NH42SX pretreatment, the lowest leakage current is observed in MIS-HEMT. Additionally, a low flicker noise and a low surface roughness (0.38 nm are also obtained using this novel process, which demonstrates its ability to reduce the surface states. Low gate leakage current Pr2O3 and high-k AlGaN/GaN MIS-HEMTs, with P2S5/(NH42SX + UV illumination treatment, are suited to low-noise applications, because of the electron-beam-evaporated insulator and the new chemical pretreatment.
Plasmonic thin film InP/graphene-based Schottky-junction solar cell using nanorods
Directory of Open Access Journals (Sweden)
Abedin Nematpour
2018-03-01
Full Text Available Herein, the design and simulation of graphene/InP thin film solar cells with a novel periodic array of nanorods and plasmonic back-reflectors of the nano-semi sphere was proposed. In this structure, a single-layer of the graphene sheet was placed on the vertical nanorods of InP to form a Schottky junction. The electromagnetic field was determined using solving three-dimensional Maxwell's equations discretized by the finite difference method (FDM. The enhancement of light trapping in the absorbing layer was illustrated, thereby increasing the short circuit current to a maximum value of 31.57 mA/cm2 with nanorods having a radius of 400 nm, height of 1250 nm, and nano-semi sphere radius of 50 nm, under a solar irradiation of AM1.5G. The maximum ultimate efficiency was determined to be 45.8% for an angle of incidence of 60°. This structure has shown a very good light trapping ability when graphene and ITO layers were used at the top and as a back-reflector in the proposed photonic crystal structure of the InP nanorods. Thence, this structure improves the short-circuit current density and the ultimate efficiency of 12% and 2.7%, respectively, in comparison with the InP-nanowire solar cells.
Energy Technology Data Exchange (ETDEWEB)
Saxena, Pooja; Ranjan, Kirti [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhardwaj, Ashutosh, E-mail: abhardwaj@physics.du.ac.in [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Shivpuri, R.K.; Bhattacharya, Satyaki [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India)
2011-12-01
Silicon Detector (SiD) is one of the proposed detectors for the future International Linear Collider (ILC). In the innermost vertex of the ILC, Si micro-strip sensors will be exposed to the neutron background of around 1-1.6 Multiplication-Sign 10{sup 10} 1 MeV equivalent neutrons cm{sup -2} year{sup -1}. The p{sup +}n{sup -}n{sup +} double-sided Si strip sensors are supposed to be used as position sensitive sensors for SiD. The shortening due to electron accumulation on the n{sup +}n{sup -} side of these sensors leads to uniform spreading of signal over all the n{sup +} strips and thus ensuring good isolation between the n{sup +} strips becomes one of the major issues in these sensors. One of the possible solutions is the use of floating p-type implants introduced between the n{sup +} strips (p-stops) and another alternative is the use of uniform layer of p-type implant on the entire n-side (p-spray). However, pre-breakdown micro-discharge is reported because of the high electric field at the edge of the p-stop/p-spray. An optimization of the implant dose profile of the p-stop and p-spray is required to achieve good electrical isolation while ensuring satisfactory breakdown performance of the Si sensors. Preliminary results of the simulation study performed on the n{sup +}n{sup -} Si sensors having p-stop and p-spray using device simulation program, ATLAS, are presented.
Poole-Frenkel behavior in amorphous oxide thin-film transistors prepared on SiOC
International Nuclear Information System (INIS)
Oh, Teresa
2014-01-01
The electron behavior in amorphous indium-gallium-zinc-oxide thin film transistors (a-IGZO TFTs) depends on the polar characteristics of SiOC, which is used as a gate dielectric. The properties of the interface between the semiconductor and SiOC were defined by using a Schottky contact with a low potential barrier and Poole-Frenkel contacts with a high potential barrier. The leakage current of SiOC, which was used as a gate insulator, decreased at the Poole-Frenkel contacts because of the high potential barrier. The ambipolar properties in the field effect transistor were observed to depend on the various characteristics of SiOC, which ranged from its behaving as an ideal insulator or as a material with a high dielectric constant. The resistance of the a-IGZO channel changed from positive to negative at SiOC, which had the lowest polarity. As to the conduction due to the diffusion current, the mobility increased with increasing carrier concentrations. However, the drift carrier conduction was related to the reduced mobility at higher carrier concentrations. The performance of the transistors was enhanced by the tunneling and the diffusion currents Rather than by the drift current caused by trapping. The Schottky contact and the Poole-Frenkel (PF) contacts at an interface between the IGZO channel and the SiOC were defined according to the heights of potential barriers caused by the depletion layer. The leakage current was very low about 10 -12 A at SiOC with PF contacts because of the height of potential barrier was double that with a Schottky contact because the tunneling conductance due to the diffusion current originated from the PF contacts of non-polar SiOC.
Poole-Frenkel behavior in amorphous oxide thin-film transistors prepared on SiOC
Energy Technology Data Exchange (ETDEWEB)
Oh, Teresa [Cheongju University, Cheongju (Korea, Republic of)
2014-05-15
The electron behavior in amorphous indium-gallium-zinc-oxide thin film transistors (a-IGZO TFTs) depends on the polar characteristics of SiOC, which is used as a gate dielectric. The properties of the interface between the semiconductor and SiOC were defined by using a Schottky contact with a low potential barrier and Poole-Frenkel contacts with a high potential barrier. The leakage current of SiOC, which was used as a gate insulator, decreased at the Poole-Frenkel contacts because of the high potential barrier. The ambipolar properties in the field effect transistor were observed to depend on the various characteristics of SiOC, which ranged from its behaving as an ideal insulator or as a material with a high dielectric constant. The resistance of the a-IGZO channel changed from positive to negative at SiOC, which had the lowest polarity. As to the conduction due to the diffusion current, the mobility increased with increasing carrier concentrations. However, the drift carrier conduction was related to the reduced mobility at higher carrier concentrations. The performance of the transistors was enhanced by the tunneling and the diffusion currents Rather than by the drift current caused by trapping. The Schottky contact and the Poole-Frenkel (PF) contacts at an interface between the IGZO channel and the SiOC were defined according to the heights of potential barriers caused by the depletion layer. The leakage current was very low about 10{sup -12} A at SiOC with PF contacts because of the height of potential barrier was double that with a Schottky contact because the tunneling conductance due to the diffusion current originated from the PF contacts of non-polar SiOC.
High-Sensitivity and Low-Power Flexible Schottky Hydrogen Sensor Based on Silicon Nanomembrane.
Cho, Minkyu; Yun, Jeonghoon; Kwon, Donguk; Kim, Kyuyoung; Park, Inkyu
2018-04-18
High-performance and low-power flexible Schottky diode-based hydrogen sensor was developed. The sensor was fabricated by releasing Si nanomembrane (SiNM) and transferring onto a plastic substrate. After the transfer, palladium (Pd) and aluminum (Al) were selectively deposited as a sensing material and an electrode, respectively. The top-down fabrication process of flexible Pd/SiNM diode H 2 sensor is facile compared to other existing bottom-up fabricated flexible gas sensors while showing excellent H 2 sensitivity (Δ I/ I 0 > 700-0.5% H 2 concentrations) and fast response time (τ 10-90 = 22 s) at room temperature. In addition, selectivity, humidity, and mechanical tests verify that the sensor has excellent reliability and robustness under various environments. The operating power consumption of the sensor is only in the nanowatt range, which indicates its potential applications in low-power portable and wearable electronics.
Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki
2012-11-01
We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.
International Nuclear Information System (INIS)
Hearne, S.M.; Lay, M.D.H.; Jamieson, D.N.
2004-01-01
Full text: The Ion Beam Induced Charge (IBIC) technique is a very sensitive tool for investigating the electronic properties of semiconductor materials and devices. However, obtaining quantitative information from IBIC experiments requires an accurate model of the materials properties. The interaction of high energy ions with crystalline materials is known to create point defects within the crystal. A significant proportion of defects introduced by the interaction of the ion with the crystal are electrically active and are therefore an important consideration when undertaking an IBIC experiment. The goal of this work is to investigate the possibility of including the relevant defect parameters in computer simulations of the IBIC experiment implemented using Technology Computer Aided Design (TCAD) software. We will present the results from an IBIC study on Si Schottky diodes using 1 MeV alphas. A reduction of greater than 50% in the detected IBIC signal was observed for fluences greater than 5x10 10 He + /cm 2 . The trap parameters following ion irradiation were determined experimentally using DLTS. Comparisons between the experimental IBIC results and TCAD simulations will be discussed
A study of Al/Si interface by photoemission, Auger electron yield and Auger electron spectroscopies
International Nuclear Information System (INIS)
Kobayashi, K.L.I.; Barth, J.; Gerken, F.; Kunz, C.; Deutsches Elektronen-Synchrotron
1980-06-01
Photoemission, Auger electron yield and Auger electron spectra were observed for Al/Si(111) interfaces with various Al coverage prepared by successive deposition using a molecular beam source. The Al 3p derived states are introduced at around the top of the valence band by the Al coverage of less than one monolayer. The Al surface layer behaves as a 'metal' and the Fermi level is stabilized in the Al 3p derived states at about 0.3 eV above the top of the valence band of Si. The Schottky barrier height in this stage is about 0.8 eV and further increase in Al coverage does not change the barrier height. A covalent bonding model of the Al/Si interface based on the experimental results is proposed. The present result favors the on-top geometry of Al atoms on Si(111) surface among the geometries used in the pseudopotential calculation by Zhang and Schlueter. (orig.)
International Nuclear Information System (INIS)
Wang, Y.; Ali, G.N.; Mikhov, M.K.; Vaidyanathan, V.; Skromme, B.J.; Raghothamachar, B.; Dudley, M.
2005-01-01
Defects in SiC degrade the electrical properties and yield of devices made from this material. This article examines morphological defects in 4H-SiC and defects visible in electron beam-induced current (EBIC) images and their effects on the electrical characteristics of Schottky diodes. Optical Nomarski microscopy and atomic force microscopy were used to observe the morphological defects, which are classified into 26 types based on appearance alone. Forward and reverse current-voltage characteristics were used to extract barrier heights, ideality factors, and breakdown voltages. Barrier heights decrease about linearly with increasing ideality factor, which is explained by discrete patches of low barrier height within the main contact. Barrier height, ideality, and breakdown voltage all degrade with increasing device diameter, suggesting that discrete defects are responsible. Electroluminescence was observed under reverse bias from microplasmas associated with defects containing micropipes. EBIC measurements reveal several types of features corresponding to recombination centers. The density of dark spots observed by EBIC correlates strongly with ideality factor and barrier height. Most morphological defects do not affect the reverse characteristics when no micropipes are present, but lower the barrier height and worsen the ideality factor. However, certain multiple-tailed defects, irregularly shaped defects and triangular defects with 3C inclusions substantially degrade both breakdown voltage and barrier height, and account for most of the bad devices that do not contain micropipes. Micropipes in these wafers are also frequently found to be of Type II, which do not run parallel to the c axis
International Nuclear Information System (INIS)
Ponpon, J.-P.
1979-01-01
The formation of the barrier height and the aging of metal-semiconductor contacts during exposure to air have been studied. The evolution of the electrical characteristics, especially the barrier height, of silicon Schottky diodes results from the diffusion of oxygen through the electrode and its accumulation at the interface. The diffusion coefficient of oxygen has been deduced for each metal used. In a first step the oxygen neutralize a fixed positive charge which remains at the semiconductor surface after etching; then, as silicon is oxidized, a MIS device is formed. Similar results have been obtained in the case of germanium, while no aging appears with cadmium telluride. In this case the barrier height seems to be determined by chemical reactions at the interface [fr
Mis on mustad kirjutised? / Maie Tuulik
Tuulik, Maie, 1941-
2011-01-01
Mustad kirjutised on Cambridge'i ja Oxfordi kirjandusteadlaste kriitilised kirjutised sõjajärgsetel aastatel Inglismaal poolehoidu pälvinud informaalse kooli ohtudest. Eesti praegustest kasvatusseisukohtadest ja haridusteooriatest, milles domineerib lapsekesksus, mis paraku ei vii soovitud eesmärkideni
International Nuclear Information System (INIS)
Ahaitouf, Ali; Ahaitouf, Abdelaziz; Salvestrini, Jean Paul; Srour, Hussein
2011-01-01
Based on current voltage (I—V g ) and capacitance voltage (C—V g ) measurements, a reliable procedure is proposed to determine the effective surface potential V d (V g ) in Schottky diodes. In the framework of thermionic emission, our analysis includes both the effect of the series resistance and the ideality factor, even voltage dependent. This technique is applied to n-type indium phosphide (n-InP) Schottky diodes with and without an interfacial layer and allows us to provide an interpretation of the observed peak on the C—V g measurements. The study clearly shows that the depletion width and the flat band barrier height deduced from C—V g , which are important parameters directly related to the surface potential in the semiconductor, should be estimated within our approach to obtain more reliable information. (semiconductor devices)
Time-to-failure analysis of 5 nm amorphous Ru(P) as a copper diffusion barrier
International Nuclear Information System (INIS)
Henderson, Lucas B.; Ekerdt, John G.
2009-01-01
Evaluation of chemical vapor deposited amorphous ruthenium-phosphorous alloy as a copper interconnect diffusion barrier is reported. Approximately 5 nm-thick Ru(P) and TaN films in Cu/Ru(P)/SiO 2 /p-Si and Cu/TaN/SiO 2 /p-Si stacks are subjected to bias-temperature stress at electric fields from 2.0 MV/cm to 4.0 MV/cm and temperatures from 200 deg. C to 300 deg. C . Time-to-failure measurements suggest that chemical vapor deposited Ru(P) is comparable to physical vapor deposited TaN in preventing Cu diffusion. The activation energy of failure for stacks using Ru(P) as a liner is determined to be 1.83 eV in the absence of an electric field. Multiple models of dielectric failure, including the E and Schottky-type √E models indicate that Ru(P) is acceptable for use as a diffusion barrier at conditions likely in future technology generations
InP-based photonic integrated circuit platform on SiC wafer.
Takenaka, Mitsuru; Takagi, Shinichi
2017-11-27
We have numerically investigated the properties of an InP-on-SiC wafer as a photonic integrated circuit (PIC) platform. By bonding a thin InP-based semiconductor on a SiC wafer, SiC can be used as waveguide cladding, a heat sink, and a support substrate simultaneously. Since the refractive index of SiC is sufficiently low, PICs can be fabricated using InP-based strip and rib waveguides with a minimum bend radius of approximately 7 μm. High-thermal-conductivity SiC underneath an InP-based waveguide core markedly improves heat dissipation, resulting in superior thermal properties of active devices such as laser diodes. The InP-on-SiC wafer has significantly smaller thermal stress than InP-on-SiO 2 /Si wafer, which prevents the thermal degradation of InP-based devices during high-temperature processes. Thus, InP on SiC provides an ideal platform for high-performance PICs.
Thermal stability study of semimetal graphite n-InP and n-GaN Schottky diodes
Czech Academy of Sciences Publication Activity Database
Yatskiv, Roman; Grym, Jan
2013-01-01
Roč. 28, č. 5 (2013) ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Gallium nitride * Schottky barrier diodes * Graphite Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.206, year: 2013
A new technique to modify hypereutectic Al-24%Si alloys by a Si-P master alloy
Energy Technology Data Exchange (ETDEWEB)
Wu Yaping; Wang Shujun; Li Hui [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, 73 Jingshi Road, Jinan 250061 (China); Liu Xiangfa [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, 73 Jingshi Road, Jinan 250061 (China)], E-mail: xfliu@sdu.edu.cn
2009-05-27
The modification effect of a Si-P master alloy on Al-24%Si alloy was investigated by using electron probe micro-analyzer (EPMA) and optical microscopy (OM). The dissolution problem of the Si-P master alloys was solved by changing the sequence of addition. When the Si-P master alloy was added into Al melt before the addition of silicon, the best modification effect could be achieved. The modification parameters of the master alloy on Al-24%Si alloy were optimized through designing and analyzing the orthogonal experiment, and their influences on the modification effect were discussed. The results show that the influence of temperature on the modification effect is the greatest, followed by the addition level, and the holding time is the least. The optimized modification parameters are the modification temperature of 810 deg. C, the addition level of 0.35 wt.%, the holding time of 30 min + 50 min whose meaning is that the Si-P master alloy is added firstly to the molten Al, and silicon is added 30 min later, then holding another 50 min. In addition, the modification mechanism of the Si-P master alloy on Al-24%Si alloy was also discussed.
Study of Ni/Si(1 0 0) solid-state reaction with Al addition
International Nuclear Information System (INIS)
Huang Yifei; Jiang Yulong; Ru Guoping; Li Bingzong
2008-01-01
The characteristics of Ni/Si(1 0 0) solid-state reaction with Al addition (Ni/Al/Si(1 0 0), Ni/Al/Ni/Si(1 0 0) and Al/Ni/Si(1 0 0)) is studied. Ni and Al films were deposited on Si(1 0 0) substrate by ion beam sputtering. The solid-state reaction between metal films and Si was performed by rapid thermal annealing. The sheet resistance of the formed silicide film was measured by four-point probe method. The X-ray diffraction (XRD) was employed to detect the phases in the silicide film. The Auger electron spectroscopy was applied to reveal the element profiles in depth. The influence of Al addition on the Schottky barrier heights of the formed silicide/Si diodes was investigated by current-voltage measurements. The experimental results show that NiSi forms even with the addition of Al, although the formation temperature correspondingly changes. It is revealed that Ni silicidation is accompanied with Al diffusion in Ni film toward the film top surface and Al is the dominant diffusion species in Ni/Al system. However, no Ni x Al y phase is detected in the films and no significant Schottky barrier height modulation by the addition of Al is observed
Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy
Energy Technology Data Exchange (ETDEWEB)
Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)
2016-11-15
Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.
Directory of Open Access Journals (Sweden)
H. von Wenckstern
2014-04-01
Full Text Available n-type binary compound semiconductors such as InN, InAs, or In2O3 are especial because the branch-point energy or charge neutrality level lies within the conduction band. Their tendency to form a surface electron accumulation layer prevents the formation of rectifying Schottky contacts. Utilizing a reactive sputtering process in an oxygen-containing atmosphere, we demonstrate Schottky barrier diodes on indium oxide thin films with rectifying properties being sufficient for space charge layer spectroscopy. Conventional non-reactive sputtering resulted in ohmic contacts. We compare the rectification of Pt, Pd, and Au Schottky contacts on In2O3 and discuss temperature-dependent current-voltage characteristics of Pt/In2O3 in detail. The results substantiate the picture of oxygen vacancies being the source of electrons accumulating at the surface, however, the position of the charge neutrality level and/or the prediction of Schottky barrier heights from it are questioned.
Durability of PEDOT: PSS-pentacene Schottky diode
International Nuclear Information System (INIS)
Kang, K S; Lim, H K; Cho, K Y; Han, K J; Kim, Jaehwan
2008-01-01
The durability and failure cause of a polymer Schottky diode made with PEDOT : PSS-pentacene were investigated. A polymer Schottky diode was fabricated by dissolving pentacene in N-methylpyrrolidone (NMP) and mixing with PEDOT : PSS. Pentacene solution having a maximum concentration of approximately 9.7 mmoles was prepared by simply stirring the solution at room temperature for 36 h. As the pentacene concentration increased, the absorption of the broad UV regime increased dramatically. However, absorption peaks of pentacene at 301 and 260 nm were not observed for the PEDOT : PSS-pentacene. A three-layered polymer Schottky diode was fabricated and its current-voltage (I-V) characteristic was evaluated. The current was reduced by 7% in the first 50 min and then stabilized during biased electrical field sweeps. After 500 and 800 min, catastrophic failure occurred. FESEM images revealed that the electrode damage caused catastrophic failure of the Schottky diode. (fast track communication)
Thin-barrier enhancement-mode AlGaN/GaN MIS-HEMT using ALD Al2O3 as gate insulator
International Nuclear Information System (INIS)
Wang Zheli; Zhou Jianjun; Kong Yuechan; Kong Cen; Dong Xun; Yang Yang; Chen Tangsheng
2015-01-01
A high-performance enhancement-mode (E-mode) gallium nitride (GaN)-based metal–insulator–semiconductor high electron mobility transistor (MIS-HEMT) that employs a 5-nm-thick aluminum gallium nitride (Al 0.3 Ga 0.7 N) as a barrier layer and relies on silicon nitride (SiN) passivation to control the 2DEG density is presented. Unlike the SiN passivation, aluminum oxide (Al 2 O 3 ) by atomic layer deposition (ALD) on AlGaN surface would not increase the 2DEG density in the heterointerface. ALD Al 2 O 3 was used as gate insulator after the depletion by etching of the SiN in the gate region. The E-mode MIS-HEMT with gate length (L G ) of 1 μm showed a maximum drain current density (I DS ) of 657 mA/mm, a maximum extrinsic transconductance (g m ) of 187 mS/mm and a threshold voltage (V th ) of 1 V. Comparing with the corresponding E-mode HEMT, the device performances had been greatly improved due to the insertion of Al 2 O 3 gate insulator. This provided an excellent way to realize E-mode AlGaN/GaN MIS-HEMTs with both high V th and I DS . (paper)
Electroluminescence of erbium in Al/α-Si:H(Er)/p-c-Si/Al structure
International Nuclear Information System (INIS)
Kon'kov, I.O.; Kuznetsov, A.N.; Pak, P.E.; Terukov, E.I.; Granitsyna, L.S.
2001-01-01
It is informed for the first time on the observation of the erbium intensive electroluminescence from the amorphous hydrated silicon layer by application of the Al/α-Si:H(Er)/p-c-Si/Al structure in the direct shift mode. The above structure is the n-p-heterostructure with the barrier values of 0.3-0.4 eV for the electrons and 0.9-1.1 eV for the holes. The electroluminescence efficiency is evaluated at the level ∼ 2 x 10 -5 . The electroluminescence effect in the Al/α-Si:H(Er)/p-c-Si/Al structure is connected with the hole tunneling from the crystal silicon by the amorphous silicon localized states with the subsequent release into the valent zone [ru
Evaluation and Reliability Assessment of GaN-on-Si MIS-HEMT for Power Switching Applications
Directory of Open Access Journals (Sweden)
Po-Chien Chou
2017-02-01
Full Text Available This paper reports an extensive analysis of the physical mechanisms responsible for the failure of GaN-based metal–insulator–semiconductor (MIS high electron mobility transistors (HEMTs. When stressed under high applied electric fields, the traps at the dielectric/III-N barrier interface and inside the III-N barrier cause an increase in dynamic on-resistance and a shift of threshold voltage, which might affect the long term stability of these devices. More detailed investigations are needed to identify epitaxy- or process-related degradation mechanisms and to understand their impact on electrical properties. The present paper proposes a suitable methodology to characterize the degradation and failure mechanisms of GaN MIS-HEMTs subjected to stress under various off-state conditions. There are three major stress conditions that include: VDS = 0 V, off, and off (cascode-connection states. Changes of direct current (DC figures of merit in voltage step-stress experiments are measured, statistics are studied, and correlations are investigated. Hot electron stress produces permanent change which can be attributed to charge trapping phenomena and the generation of deep levels or interface states. The simultaneous generation of interface (and/or bulk and buffer traps can account for the observed degradation modes and mechanisms. These findings provide several critical characteristics to evaluate the electrical reliability of GaN MIS-HEMTs which are borne out by step-stress experiments.
Temperature dependent transport characteristics of graphene/n-Si diodes
Parui, S.; Ruiter, R.; Zomer, P. J.; Wojtaszek, M.; van Wees, B. J.; Banerjee, T.
2014-01-01
Realizing an optimal Schottky interface of graphene on Si is challenging, as the electrical transport strongly depends on the graphene quality and the fabrication processes. Such interfaces are of increasing research interest for integration in diverse electronic devices as they are thermally and
Assessment of laser ablation techniques in a-si technologies for position-sensor development
Molpeceres, C.; Lauzurica, S.; Ocana, J. L.; Gandia, J. J.; Urbina, L.; Carabe, J.
2005-07-01
Laser micromachining of semiconductor and Transparent Conductive Oxides (TCO) materials is very important for the practical applications in photovoltaic industry. In particular, a problem of controlled ablation of those materials with minimum of debris and small heat affected zone is one of the most vital for the successful implementation of laser micromachining. In particular, selective ablation of thin films for the development of new photovoltaic panels and sensoring devices based on amorphous silicon (a-Si) is an emerging field, in which laser micromachining systems appear as appropriate tools for process development and device fabrication. In particular, a promising application is the development of purely photovoltaic position sensors. Standard p-i-n or Schottky configurations using Transparent Conductive Oxides (TCO), a-Si and metals are especially well suited for these applications, appearing selective laser ablation as an ideal process for controlled material patterning and isolation. In this work a detailed study of laser ablation of a widely used TCO, Indium-tin-oxide (ITO), and a-Si thin films of different thicknesses is presented, with special emphasis on the morphological analysis of the generated grooves. The profiles of ablated grooves have been studied in order to determine the best processing conditions, i.e. laser pulse energy and wavelength, and to asses this technology as potentially competitive to standard photolithographic processes. The encouraging results obtained, with well defined ablation grooves having thicknesses in the order of 10 μm both in ITO and a-Si, open up the possibility of developing a high-performance double Schottky photovoltaic matrix position sensor.
International Nuclear Information System (INIS)
Chen, J M; Lu, K T; Lee, J M; Chou, T L; Chen, H C; Chen, S A; Haw, S C; Chen, T H
2008-01-01
The state-selective dissociation dynamics for anionic and excited neutral fragments of gaseous SiCl 4 following Cl 2p and Si 2p core-level excitations were characterized by combining measurements of the photon-induced anionic dissociation, x-ray absorption and UV/visible dispersed fluorescence. The transitions of core electrons to high Rydberg states/doubly excited states in the vicinity of both Si 2p and Cl 2p ionization thresholds of gaseous SiCl 4 lead to a remarkably enhanced production of anionic, Si - and Cl - , fragments and excited neutral atomic, Si*, fragments. This enhancement via core-level excitation near the ionization threshold of gaseous SiCl 4 is explained in terms of the contributions from the Auger decay of doubly excited states, shake-modified resonant Auger decay, or/and post-collision interaction. These complementary results provide insight into the state-selective anionic and excited neutral fragmentation of gaseous molecules via core-level excitation.
First-principle study of the AlP/Si interfacial adhesion
Energy Technology Data Exchange (ETDEWEB)
Dai Hongshang [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, 73 Jingshi Road, Jinan 250061 (China); Du Jing [School of Science, Shandong Jianzhu University, Jinan 250101 (China); Wang Li; Peng Chuanxiao [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, 73 Jingshi Road, Jinan 250061 (China); Liu Xiangfa, E-mail: xfliu@sdu.edu.c [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, 73 Jingshi Road, Jinan 250061 (China); Shandong Binzhou Bohai Piston Co. Ltd., Binzhou 256602, Shandong (China)
2010-01-15
AlP is heterogeneous nucleation substrate of primary Si in hypereutectic Al-Si alloys, while studies on the nucleation mechanism at atomic level are absent. The pseudopotential-based DFT calculations have been carried out to investigate the atomic and electronic structure, bonding and adhesion of the AlP/Si interface. In total, eight geometries have been investigated, in which the interfacial stacking sequence is different. The favorable interfaces can be deduced for the reason that adhesive interface energies (W{sub ad}) are different, which cannot be obtained from the traditional mismatch theory. The interfacial density of states and Mulliken population are also investigated. It is found that the main bond between AlP and Si is covalent Al-Si or P-Si bond, accompanying some ionic characteristic.
Technology CAD of silicided Schottky barrier MOSFET for elevated source-drain engineering
International Nuclear Information System (INIS)
Saha, A.R.; Chattopadhyay, S.; Bose, C.; Maiti, C.K.
2005-01-01
Technology CAD has been used to study the performance of a silicided Schottky barrier (SB) MOSFET with gate, source and drain contacts realized with nickel-silicide. Elevated source-drain structures have been used towards the S/D engineering of CMOS devices. A full process-to-device simulation has been employed to predict the performance of sub-micron SB n-MOSFETs for the first time. A model for the diffusion and alloy growth kinetics has been incorporated in SILVACO-ATLAS and ATHENA to explore the processing and design parameter space for the Ni-silicided MOSFETs. The temperature and concentration dependent diffusion model for NiSi have been developed and necessary material parameters for nickel-silicide and epitaxial-Si have been incorporated through the C-interpreter function. Two-dimensional (2D) process-to-device simulations have also been used to study the dc and ac (RF) performance of silicided Schottky barrier (SB) n-MOSFETs. The extracted sheet resistivity, as a function of annealing temperature of the silicided S/D contacts, is found to be lower than the conventional contacts currently in use. It is also shown that the Technology CAD has the full capability to predict the possible dc and ac performance enhancement of a MOSFET with elevated S/D structures. While the simulated dc performance shows a clear enhancement, the RF analyses show no performance degradation in the cut-off frequency/propagation delay and also improve the ac performance due to the incorporation of silicide contacts in the S/D region
Technology CAD of silicided Schottky barrier MOSFET for elevated source-drain engineering
Energy Technology Data Exchange (ETDEWEB)
Saha, A.R. [Department of Electronics and ECE, IIT, Kharagpur 721302 (India)]. E-mail: ars.iitkgp@gmail.com; Chattopadhyay, S. [Department of Electronics and ECE, IIT, Kharagpur 721302 (India); School of Electrical, Electronics and Computer Engineering, University of Newcastle, Newcastle upon Tyne (United Kingdom); Bose, C. [Department of Electronics and Telecommunication Engineering, Jadavpur University, Calcutta 700032 (India); Maiti, C.K. [Department of Electronics and ECE, IIT, Kharagpur 721302 (India)
2005-12-05
Technology CAD has been used to study the performance of a silicided Schottky barrier (SB) MOSFET with gate, source and drain contacts realized with nickel-silicide. Elevated source-drain structures have been used towards the S/D engineering of CMOS devices. A full process-to-device simulation has been employed to predict the performance of sub-micron SB n-MOSFETs for the first time. A model for the diffusion and alloy growth kinetics has been incorporated in SILVACO-ATLAS and ATHENA to explore the processing and design parameter space for the Ni-silicided MOSFETs. The temperature and concentration dependent diffusion model for NiSi have been developed and necessary material parameters for nickel-silicide and epitaxial-Si have been incorporated through the C-interpreter function. Two-dimensional (2D) process-to-device simulations have also been used to study the dc and ac (RF) performance of silicided Schottky barrier (SB) n-MOSFETs. The extracted sheet resistivity, as a function of annealing temperature of the silicided S/D contacts, is found to be lower than the conventional contacts currently in use. It is also shown that the Technology CAD has the full capability to predict the possible dc and ac performance enhancement of a MOSFET with elevated S/D structures. While the simulated dc performance shows a clear enhancement, the RF analyses show no performance degradation in the cut-off frequency/propagation delay and also improve the ac performance due to the incorporation of silicide contacts in the S/D region.
BatMis: a fast algorithm for k-mismatch mapping.
Tennakoon, Chandana; Purbojati, Rikky W; Sung, Wing-Kin
2012-08-15
Second-generation sequencing (SGS) generates millions of reads that need to be aligned to a reference genome allowing errors. Although current aligners can efficiently map reads allowing a small number of mismatches, they are not well suited for handling a large number of mismatches. The efficiency of aligners can be improved using various heuristics, but the sensitivity and accuracy of the alignments are sacrificed. In this article, we introduce Basic Alignment tool for Mismatches (BatMis)--an efficient method to align short reads to a reference allowing k mismatches. BatMis is a Burrows-Wheeler transformation based aligner that uses a seed and extend approach, and it is an exact method. Benchmark tests show that BatMis performs better than competing aligners in solving the k-mismatch problem. Furthermore, it can compete favorably even when compared with the heuristic modes of the other aligners. BatMis is a useful alternative for applications where fast k-mismatch mappings, unique mappings or multiple mappings of SGS data are required. BatMis is written in C/C++ and is freely available from http://code.google.com/p/batmis/
Enhancement of the Si p-n diode NIR photoresponse by embedding β-FeSi2 nanocrystallites.
Shevlyagin, A V; Goroshko, D L; Chusovitin, E A; Galkin, K N; Galkin, N G; Gutakovskii, A K
2015-10-05
By using solid phase epitaxy of thin Fe films and molecular beam epitaxy of Si, a p(+)-Si/p-Si/β-FeSi2 nanocrystallites/n-Si(111) diode structure was fabricated. Transmission electron microscopy data confirmed a well-defined multilayered structure with embedded nanocrystallites of two typical sizes: 3-4 and 15-20 nm, and almost coherent epitaxy of the nanocrystallites with the Si matrix. The diode at zero bias conditions exhibited a current responsivity of 1.7 mA/W, an external quantum efficiency of about 0.2%, and a specific detectivity of 1.2 × 10(9) cm × Hz(1/2)/W at a wavelength of 1300 nm at room temperature. In the avalanche mode, the responsivity reached up to 20 mA/W (2% in terms of efficiency) with a value of avalanche gain equal to 5. The data obtained indicate that embedding of β-FeSi2 nanocrystallites into the depletion region of the Si p-n junction results in expansion of the spectral sensitivity up to 1600 nm and an increase of the photoresponse by more than two orders of magnitude in comparison with a conventional Si p-n junction. Thereby, fabricated structure combines advantage of the silicon photodiode functionality and simplicity with near infrared light detection capability of β-FeSi2.
Low-pressure CVD-grown β-Ga2O3 bevel-field-plated Schottky barrier diodes
Joishi, Chandan; Rafique, Subrina; Xia, Zhanbo; Han, Lu; Krishnamoorthy, Sriram; Zhang, Yuewei; Lodha, Saurabh; Zhao, Hongping; Rajan, Siddharth
2018-03-01
We report (010)-oriented β-Ga2O3 bevel-field-plated mesa Schottky barrier diodes grown by low-pressure chemical vapor deposition (LPCVD) using a solid Ga precursor and O2 and SiCl4 sources. Schottky diodes with good ideality and low reverse leakage were realized on the epitaxial material. Edge termination using beveled field plates yielded a breakdown voltage of -190 V, and maximum vertical electric fields of 4.2 MV/cm in the center and 5.9 MV/cm at the edge were estimated, with extrinsic R ON of 3.9 mΩ·cm2 and extracted intrinsic R ON of 0.023 mΩ·cm2. The reported results demonstrate the high quality of homoepitaxial LPCVD-grown β-Ga2O3 thin films for vertical power electronics applications, and show that this growth method is promising for future β-Ga2O3 technology.
Leakage current reduction of vertical GaN junction barrier Schottky diodes using dual-anode process
Hayashida, Tetsuro; Nanjo, Takuma; Furukawa, Akihiko; Watahiki, Tatsuro; Yamamuka, Mikio
2018-04-01
The origin of the leakage current of a trench-type vertical GaN diode was discussed. We found that the edge of p-GaN is the main leakage spot. To reduce the reverse leakage current at the edge of p-GaN, a dual-anode process was proposed. As a result, the reverse blocking voltage defined at the leakage current density of 1 mA/cm2 of a vertical GaN junction barrier Schottky (JBS) diode was improved from 780 to 1,190 V, which is the highest value ever reported for vertical GaN Schottky barrier diodes (SBDs).
Directory of Open Access Journals (Sweden)
Zdansky Karel
2011-01-01
Full Text Available Abstract Depositions on surfaces of semiconductor wafers of InP and GaN were performed from isooctane colloid solutions of palladium (Pd nanoparticles (NPs in AOT reverse micelles. Pd NPs in evaporated colloid and in layers deposited electrophoretically were monitored by SEM. Diodes were prepared by making Schottky contacts with colloidal graphite on semiconductor surfaces previously deposited with Pd NPs and ohmic contacts on blank surfaces. Forward and reverse current-voltage characteristics of the diodes showed high rectification ratio and high Schottky barrier heights, giving evidence of very small Fermi level pinning. A large increase of current was observed after exposing diodes to flow of gas blend hydrogen in nitrogen. Current change ratio about 700,000 with 0.1% hydrogen blend was achieved, which is more than two orders-of-magnitude improvement over the best result reported previously. Hydrogen detection limit of the diodes was estimated at 1 ppm H2/N2. The diodes, besides this extremely high sensitivity, have been temporally stable and of inexpensive production. Relatively more expensive GaN diodes have potential for functionality at high temperatures.
A novel ITO/AZO/SiO2/p-Si frame SIS heterojunction fabricated by magnetron sputtering
International Nuclear Information System (INIS)
He, Bo; Wang, HongZhi; Li, YaoGang; Ma, ZhongQuan; Xu, Jing; Zhang, QingHong; Wang, ChunRui; Xing, HuaiZhong; Zhao, Lei; Rui, YiChuan
2013-01-01
Highlights: •Because the ITO/AZO double films lead to a great decrease of the lateral resistance. •The photon current can easily flow through top film entering the Cu front contact. •High photocurrent is obtained under a reverse bias. -- Abstract: The novel ITO/AZO/SiO 2 /p-Si SIS heterojunction has been fabricated by low temperature thermal oxidation an ultrathin silicon dioxide and RF sputtering deposition ITO/AZO double films on p-Si (1 0 0) polished substrate. The microstructural, optical and electrical properties of the ITO/AZO antireflection films were characterized by XRD, SEM, UV–VIS spectrophotometer, four point probe and Hall effect measurement, respectively. The results show that ITO/AZO films are of good quality. And XPS was carried out on the ultrathin SiO 2 film. The heterojunction shows strong rectifying behavior under a dark condition, which reveals that formation of a diode between AZO and p-Si. The ideality factor and the saturation current of this diode is 2.7 and 8.68 × 10 −5 A, respectively. High photocurrent is obtained under a reverse bias when the crystalline quality of ITO/AZO double films is good enough to transmit the light into p-Si. We can see that under reverse bias conditions the photocurrent of ITO/AZO/SiO 2 /p-Si SIS heterojunction is much higher than the photocurrent of AZO/SiO 2 /p-Si SIS heterojunction. Because the high quality crystallite and the good conductivity of ITO film which prepared by magnetron-sputtering on AZO film lead to a great decrease of the lateral resistance. The photon induced current can easily flow through ITO layer entering the Cu front contact. Thus, high photocurrent is obtained under a reverse bias
Properties of MIS structures based on graded-gap HgCdTe grown by molecular beam epitaxy
International Nuclear Information System (INIS)
Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadookh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Sidorov, Yu. G.; Vasiliev, V. V.
2008-01-01
The effect of near-surface graded-gap layers on the electrical characteristics of MIS structures fabricated based on heteroepitaxial Hg 1-x Cd x Te films grown by molecular beam epitaxy with a two-layer SiO 2 /Si 3 N 4 insulator and anodic oxide film is studied experimentally. It is shown that a larger modulation of capacitance (depth and width of the valley) is observed compared with the structures without the graded-gap layer. The field dependences of photovoltage of MIS structures with the graded-gap layers had a classical form and were characterized by a drop only in the enrichment region. For the structures without the graded-gap layer with x = 0.22, a drop in the voltage dependence of the photocurrent is observed in the region of pronounced inversion. This drop is governed by limitation of the space charge region by processes of tunneling generation via deep levels. The properties of the HgCdTe-insulator interfaces are studied.
A methodology of SiP testing based on boundary scan
Qin, He; Quan, Haiyang; Han, Yifei; Zhu, Tianrui; Zheng, Tuo
2017-10-01
System in Package (SiP) play an important role in portable, aerospace and military electronic with the microminiaturization, light weight, high density, and high reliability. At present, SiP system test has encountered the problem on system complexity and malfunction location with the system scale exponentially increase. For SiP system, this paper proposed a testing methodology and testing process based on the boundary scan technology. Combining the character of SiP system and referencing the boundary scan theory of PCB circuit and embedded core test, the specific testing methodology and process has been proposed. The hardware requirement of the under test SiP system has been provided, and the hardware platform of the testing has been constructed. The testing methodology has the character of high test efficiency and accurate malfunction location.
Energy Technology Data Exchange (ETDEWEB)
Goto, Masaki; Amano, Ryo; Shimoda, Naotaka [Graduate School of Automotive Science, Kyushu University, Nishiku, Fukuoka 819-0395 (Japan); Kato, Yoshimine, E-mail: yoshimine.kato@zaiko.kyushu-u.ac.jp [Department of Materials Science and Engineering, Kyushu University, Nishiku, Fukuoka 819-0395 (Japan); Teii, Kungen [Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan)
2014-04-14
Highly rectifying heterojunctions of n-type nanocrystalline diamond (NCD) films to p-type 4H-SiC substrates are fabricated to develop p-n junction diodes operable at high temperatures. In reverse bias condition, a potential barrier for holes at the interface prevents the injection of reverse leakage current from the NCD into the SiC and achieves the high rectification ratios of the order of 10{sup 7} at room temperature and 10{sup 4} even at 570 K. The mechanism of the forward current injection is described with the upward shift of the defect energy levels in the NCD to the conduction band of the SiC by forward biasing. The forward current shows different behavior from typical SiC Schottky diodes at high temperatures.
Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy
International Nuclear Information System (INIS)
Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.
2006-01-01
Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods
Comparison between Si/SiO_2 and InP/Al_2O_3 based MOSFETs
International Nuclear Information System (INIS)
Akbari Tochaei, A.; Arabshahi, H.; Benam, M. R.; Vatan-Khahan, A.; Abedininia, M.
2016-01-01
Electron transport properties of InP-based MOSFET as a new channel material with Al_2O_3 as a high-k dielectric oxide layer in comparison with Si-based MOSFET are studied by the ensemble Monte Carlo simulation method in which the conduction band valleys in InP are based on three valley models with consideration of quantum effects (effective potential approach). I_d–V_d characteristics for Si-based MOSFET are in good agreement with theoretical and experimental results. Our results show that I_d of InP-based MOSFET is about 2 times that of Si-based MOSFET. We simulated the diagrams of longitudinal and transverse electric fields, conduction band edge, average electron velocity, and average electron energy for Si-based MOSFET and compared the results with those for InP-based MOSFET. Our results, as was expected, show that the transverse electric field, the conduction band edge, the electron velocity, and the electron energy in a channel in the InP-based MOSFET are greater than those for Si-based MOSFET. But the longitudinal electric field behaves differently at different points of the channel.
Sánchez Goñi, M. F.; Llave, E.; Oliveira, D.; Naughton, F.; Desprat, S.; Ducassou, E.; Hodell, D. A.; Hernández-Molina, F. J.
2016-01-01
Grain size analysis and physical properties of Sites U1388, U1389 and U1390 collected in the Contourite Depositional System of the Gulf of Cádiz during the Integrated Ocean Drilling Program (IODP) Expedition 339 "Mediterranean Outflow" reveal relative changes in bottom current strength, a tracer of the dynamics of the Mediterranean Outflow Water (MOW), before and after the Middle Pleistocene Transition (MPT). The comparison of MOW behavior with climate changes identified by the pollen analysis and δ18O benthic foraminifera measurements of Site U1385, the Shackleton Site, collected in the south western Iberian margin shows that the interval MIS 31-MIS 30, ~ 1.1-1.05 million years ago (Ma), before the MPT, was marked by wetter climate and weaker bottom current than the interval MIS 12-MIS 11 (0.47-0.39 Ma), after the MPT. Similarly, the increase in fine particles from these glacials to interglacials and in coarse fraction from interglacials to glacials was coeval with forest and semi-desert expansions, respectively, indicating the lowering/enhancement of MOW strength during periods of regional increase/decrease of moisture. While these findings may not necessarily apply to all glacial/interglacial cycles, they nonetheless serve as excellent supporting examples of the hypothesis that aridification can serve as a good tracer for MOW intensity. The strongest regional aridity during MIS 12 coincides with a remarkable increase of coarse grain size deposition and distribution that we interpret as a maximum in MOW strength. This MOW intensification may have pre-conditioned the North Atlantic by increasing salinity, thereby triggering the strong resumption of the Meridional Overturning Circulation that could contribute to the great warmth that characterizes the MIS 11c super-interglacial.
MD 2408: Study of Schottky Monitors for Q' Measurement at Injection
Tydecks, Tobias; Levens, Tom; Wendt, Manfred; Wenninger, Jorg; CERN. Geneva. ATS Department
2018-01-01
The Schottky monitors installed at the LHC enable the detection of Schottky noise of the two circulating proton / ion beams. From Schottky noise, beam parameters like tune, chromaticity, and relative emittance, can be extracted in a non-destructive and purely parasitic method of measurement. The primary goal of this MD was to study the Schottky monitors capability to reliably and accurately determine the beam chromaticities at injection energy. Furthermore, the possibility to track the beam emittance has been investigated.
Photosensitive thin-film In/p-Pb{sub x}Sn{sub 1-x}S Schottky barriers: Fabrication and properties
Energy Technology Data Exchange (ETDEWEB)
Gremenok, V. F., E-mail: gremenok@ifttp.bas-net.by [Scientific-Practical Center of the National Academy of Sciences of Belarus State Scientific and Production Association (Belarus); Rud' , V. Yu., E-mail: rudvas.spb@gmail.com [St. Petersburg State Polytechnic University (Russian Federation); Rud' , Yu. V. [Russian Academy of Sciences, Ioffe Physical Technical Institute (Russian Federation); Bashkirov, S. A.; Ivanov, V. A. [Scientific-Practical Center of the National Academy of Sciences of Belarus State Scientific and Production Association (Belarus)
2011-08-15
Thin Pb{sub x}Sn{sub 1-x}S films are obtained by the 'hot-wall' method at substrate temperatures of 210-330 Degree-Sign C. The microstructure, composition, morphology, and electrical characteristics of films are investigated. On the basis of the obtained films, photosensitive In/p-Pb{sub x}Sn{sub 1-x}S Schottky barriers are fabricated for the first time. The photosensivity spectra of these structures are investigated, and the character of interband transitions and the band-gap values are determined from them. The conclusion is drawn that Pb{sub x}Sn{sub 1-x}S thin polycrystalline films may be used in solar-energy converters.
Gigantic Enhancement in Sensitivity Using Schottky Contacted Nanowire Nanosensor
Wei, Te-Yu; Yeh, Ping-Hung; Lu, Shih-Yuan; Wang, Zhong Lin
2009-01-01
A new single nanowire based nanosensor is demonstrated for illustrating its ultrahigh sensitivity for gas sensing. The device is composed of a single ZnO nanowire mounted on Pt electrodes with one end in Ohmic contact and the other end in Schottky contact. The Schottky contact functions as a "gate" that controls the current flowing through the entire system. By tuning the Schottky barrier height through the responsive variation of the surface chemisorbed gases and the amplification role played by the nanowire to Schottky barrier effect, an ultrahigh sensitivity of 32 000% was achieved using the Schottky contacted device operated in reverse bias mode at 275 °C for detection of 400 ppm CO, which is 4 orders of magnitude higher than that obtained using an Ohmic contact device under the same conditions. In addition, the response time and reset time have been shortened by a factor of 7. The methodology and principle illustrated in the paper present a new sensing mechanism that can be readily and extensively applied to other gas sensing systems. © 2009 American Chemical Society.
Gigantic Enhancement in Sensitivity Using Schottky Contacted Nanowire Nanosensor
Wei, Te-Yu
2009-12-09
A new single nanowire based nanosensor is demonstrated for illustrating its ultrahigh sensitivity for gas sensing. The device is composed of a single ZnO nanowire mounted on Pt electrodes with one end in Ohmic contact and the other end in Schottky contact. The Schottky contact functions as a "gate" that controls the current flowing through the entire system. By tuning the Schottky barrier height through the responsive variation of the surface chemisorbed gases and the amplification role played by the nanowire to Schottky barrier effect, an ultrahigh sensitivity of 32 000% was achieved using the Schottky contacted device operated in reverse bias mode at 275 °C for detection of 400 ppm CO, which is 4 orders of magnitude higher than that obtained using an Ohmic contact device under the same conditions. In addition, the response time and reset time have been shortened by a factor of 7. The methodology and principle illustrated in the paper present a new sensing mechanism that can be readily and extensively applied to other gas sensing systems. © 2009 American Chemical Society.
Carbon nanotube Schottky diode: an atomic perspective
International Nuclear Information System (INIS)
Bai, P; Li, E; Kurniawan, O; Koh, W S; Lam, K T
2008-01-01
The electron transport properties of semiconducting carbon nanotube (SCNT) Schottky diodes are investigated with atomic models using density functional theory and the non-equilibrium Green's function method. We model the SCNT Schottky diode as a SCNT embedded in the metal electrode, which resembles the experimental set-up. Our study reveals that the rectification behaviour of the diode is mainly due to the asymmetric electron transmission function distribution in the conduction and valence bands and can be improved by changing metal-SCNT contact geometries. The threshold voltage of the diode depends on the electron Schottky barrier height which can be tuned by altering the diameter of the SCNT. Contrary to the traditional perception, the metal-SCNT contact region exhibits better conductivity than the other parts of the diode
How Are MIS-5e And MIS-11 Different From Other Interglacials And the Future?
Yin, Q.; Berger, A.
2017-12-01
MIS-5e and MIS-11 appear in many proxy records as the warmest interglacials of the last million years although their astronomical configurations are very different. To investigate how they are different from other interglacials and between themselves, the climate of nine interglacials of the past 800,000 years has been simulated using both snapshot and transient experiments. These simulations allow to investigate the relative contributions of insolation and CO2 to the intensity and duration of each interglacial as well as the differences and similarities between the interglacials. The transient simulations which cover a large range of precession, obliquity and eccentricity allow to investigate the response of different climate variables and different regions to the three astronomical parameters. My presentation will focus on the characteristics of the climate forcing and response of MIS-5e and MIS-11 in comparison with the other intergalcials. Their duration and intensity at global and regional scales will be shown and the causes will be discussed. Unique features in astronomical forcing as well as in regional climate response are found in MIS-5e and MIS-11, which might help to understand why they appear to be among the warmest interglacials. The model results also show that the warm interval of MIS-11 is the longest, confirming its long duration as found in many proxy records. The long duration of MIS-11 is related to a particular combination of eccentricity, obliquity and precession as well as to its long-lasting high CO2 concentration. The differences between the seasonal behaviour of the past interglacials highlight the importance of seasonal climate reconstruction and therefore the necessity to obtain seasonal proxies. The simulated climate of MIS-5e and MIS-11 will also be compared with the climate of today and of the future to investigate the differences and similarities between the past warm conditions and the projected future warming. Part of the results
Nguyen, Chuong V.
2018-04-01
In this paper, the electronic properties and Schottky contact in graphene/MoS2 (G/MoS2) heterostructure under an applied electric field are investigated by means of the density functional theory. It can be seen that the electronic properties of the G/MoS2 heterostructure are preserved upon contacting owing to the weak van der Waals interaction. We found that the n-type Schottky contact is formed in the G/MoS2 heterostructure with the Schottky barrier height of 0.49 eV. Furthermore, both Schottky contact and Schottky barrier height in the G/MoS2 heterostructure could be controlled by the applied electric field. If a positive electric field of 4 V/nm is applied to the system, a transformation from the n-type Schottky contact to the p-type one was observed, whereas the system keeps an n-type Schottky contact when a negative electric field is applied. Our results may provide helpful information to design, fabricate, and understand the physics mechanism in the graphene-based two-dimensional van der Waals heterostructures like as G/MoS2 heterostructure.
Faber, E.J.; Sparreboom, W.; Groeneveld, W.; Smet, de L.C.P.M.; Bomer, J.; Olthuis, W.; Zuilhof, H.; Sudhölter, E.J.R.; Bergveld, P.; Berg, van den A.
2007-01-01
The electrochemical behavior of SiC linked organic monolayers is studied in electrolyte-insulator-Si devices, under conditions normally encountered in potentiometric biosensors, to gain fundamental knowledge on the behavior of such Si electrodes under practical conditions. This is done via titration
Leclaire, A.; Raveau, B.
1988-08-01
A germanosilicophosphate Ge 3P 6Si 2O 25 has been isolated. Its structure was solved from a single-crystal study in the space group P overline31c . Its cell parameters are a = b = 7.994(1) Å, c = 16.513(2) Å, Z = 2. The refinement by full-matrix least-squares calculations leads to R = 0.043 with 686 independent reflections. The structure of this oxide is built up from corner-sharing PO 4 and SiO 4 tetrahedra and GeO 6 octahedra. One observes a feature common to several silicophosphates: the presence of the structural unit P 6Si 2O 25 built up from a disilicate group sharing its corners with six PO 4 tetrahedra. The structural relationships between this oxide and the silicophosphates AMo 3P 6Si 2O 25 and Si 3P 6Si 2O 25 (or Ge 3P 6 Ge 2O 25) are described.
Schottky barrier tuning of the graphene/SnS2 van der Waals heterostructures through electric field
Zhang, Fang; Li, Wei; Ma, Yaqiang; Dai, Xianqi
2018-03-01
Combining the electronic structures of two-dimensional monolayers in ultrathin hybrid nanocomposites is expected to display new properties beyond their single components. The effects of external electric field (Eext) on the electronic structures of monolayer SnS2 with graphene hybrid heterobilayers are studied by using the first-principle calculations. It is demonstrated that the intrinsic electronic properties of SnS2 and graphene are quite well preserved due to the weak van der Waals (vdW) interactions. We find that the n-type Schottky contacts with the significantly small Schottky barrier are formed at the graphene/SnS2 interface. In the graphene/SnS2 heterostructure, the vertical Eext can control not only the Schottky barriers (n-type and p-type) but also contact types (Schottky contact or Ohmic contact) at the interface. The present study would open a new avenue for application of ultrathin graphene/SnS2 heterostructures in future nano- and optoelectronics.
Comparative study of SiC- and Si-based photovoltaic inverters
Ando, Yuji; Oku, Takeo; Yasuda, Masashi; Shirahata, Yasuhiro; Ushijima, Kazufumi; Murozono, Mikio
2017-01-01
This article reports comparative study of 150-300 W class photovoltaic inverters (Si inverter, SiC inverter 1, and SiC inverter 2). In these sub-kW class inverters, the ON-resistance was considered to have little influence on the efficiency. The developed SiC inverters, however, have exhibited an approximately 3% higher direct current (DC)-alternating current (AC) conversion efficiency as compared to the Si inverter. Power loss analysis indicated a reduction in the switching and reverse recovery losses of SiC metal-oxide-semiconductor field-effect transistors used for the DC-AC converter is responsible for this improvement. In the SiC inverter 2, an increase of the switching frequency up to 100 kHz achieved a state-of-the-art combination of the weight (1.25 kg) and the volume (1260 cm3) as a 150-250 W class inverter. Even though the increased switching frequency should cause the increase of the switching losses, the SiC inverter 2 exhibited an efficiency comparable to the SiC inverter 1 with a switching frequency of 20 kHz. The power loss analysis also indicated a decreased loss of the DC-DC converter built with SiC Schottky barrier diodes led to the high efficiency for its increased switching frequency. These results clearly indicated feasibility of SiC devices even for sub-kW photovoltaic inverters, which will be available for the applications where compactness and efficiency are of tremendous importance.
Supersensitive, Fast-Response Nanowire Sensors by Using Schottky Contacts
Hu, Youfan
2010-05-31
A Schottky barrier can be formed at the interface between a metal electrode and a semiconductor. The current passing through the metal-semiconductor contact is mainly controlled by the barrier height and barrier width. In conventional nanodevices, Schottky contacts are usually avoided in order to enhance the contribution made by the nanowires or nanotubes to the detected signal. We present a key idea of using the Schottky contact to achieve supersensitive and fast response nanowire-based nanosensors. We have illustrated this idea on several platforms: UV sensors, biosensors, and gas sensors. The gigantic enhancement in sensitivity of up to 5 orders of magnitude shows that an effective usage of the Schottky contact can be very beneficial to the sensitivity of nanosensors. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Dual-gate operation and carrier transport in SiGe p-n junction nanowires
Delker, C. J.; Yoo, J. Y.; Bussmann, E.; Swartzentruber, B. S.; Harris, C. T.
2017-11-01
We investigate carrier transport in silicon-germanium nanowires with an axial p-n junction doping profile by fabricating these wires into transistors that feature separate top gates over each doping segment. By independently biasing each gate, carrier concentrations in the n- and p-side of the wire can be modulated. For these devices, which were fabricated with nickel source-drain electrical contacts, holes are the dominant charge carrier, with more favorable hole injection occurring on the p-side contact. Channel current exhibits greater sensitivity to the n-side gate, and in the reverse biased source-drain configuration, current is limited by the nickel/n-side Schottky contact.
Electrical characterization of defects introduced in n-Si during electron beam deposition of Pt
Energy Technology Data Exchange (ETDEWEB)
Auret, F.D.; Coelho, S.M.M.; Nel, J.M.; Meyer, W.E. [Physics Department, University of Pretoria, Pretoria (South Africa)
2012-10-15
We have used deep level transient spectroscopy (DLTS) and high resolution DLTS to characterize the defects introduced in epitaxially grown n-type, P-doped, Si during electron beam deposition (EBD) of Pt for Schottky contact formation. The identity of some of these defects could be established by comparing their properties to those of well-known defects introduced by high energy electron irradiation of the same material. The most prominent EBD-induced defects thus identified were the E-center (VP center), the A-center (VO center), interstitial carbon (C{sub i}), and the interstitial carbon-substitutional carbon (C{sub i}C{sub s}) pair. EBD also introduced some defects that were not observed after high energy electron irradiation. DLTS depth profiling revealed that the main defects, VO and VP, could be detected up to 0.5 {mu}m below the metal-Si interface. Shielding the sample from particles originating in the region of the electron beam significantly reduced defect introduction and resulted in Schottky contacts with improved rectification properties. Finally, we have found that exposing the sample to EBD conditions, without actually depositing metal, introduced a different set of electron traps, not introduced by the EBD process. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Analysis of the High Conversion Efficiencies β-FeSi2 and BaSi2 n-i-p Thin Film Solar Cells
International Nuclear Information System (INIS)
Huang, J.Sh.; Lee, K.W.; Tseng, Y.H.
2014-01-01
Both β-FeSi 2 and BaSi 2 are silicides and have large absorption coefficients; thus they are very promising Si-based new materials for solar cell applications. In this paper, the dc I-V characteristics of n-Si/i-βFeSi 2 /p-Si and n-Si/i-BaSi 2 /p-Si thin film solar cells are investigated by solving the charge transport equations with optical generations. The diffusion current densities of free electron and hole are calculated first. Then the drift current density in the depletion regions is obtained. The total current density is the sum of diffusion and drift current densities. The conversion efficiencies are obtained from the calculated I-V curves. The optimum conversion efficiency of n-Si/i-βFeSi 2 /p-Si thin film solar cell is 27.8% and that of n-Si/i-BaSi 2 /p-Si thin film solar cell is 30.4%, both are larger than that of Si n-i-p solar cell (η is 20.6%). These results are consistent with their absorption spectrum. The calculated conversion efficiency of Si n-i-p solar cell is consistent with the reported researches. Therefore, these calculation results are valid in this work.
Analysis of the High Conversion Efficiencies β-FeSi2 and BaSi2 n-i-p Thin Film Solar Cells
Directory of Open Access Journals (Sweden)
Jung-Sheng Huang
2014-01-01
Full Text Available Both β-FeSi2 and BaSi2 are silicides and have large absorption coefficients; thus they are very promising Si-based new materials for solar cell applications. In this paper, the dc I-V characteristics of n-Si/i-βFeSi2/p-Si and n-Si/i-BaSi2/p-Si thin film solar cells are investigated by solving the charge transport equations with optical generations. The diffusion current densities of free electron and hole are calculated first. Then the drift current density in the depletion regions is obtained. The total current density is the sum of diffusion and drift current densities. The conversion efficiencies are obtained from the calculated I-V curves. The optimum conversion efficiency of n-Si/i-βFeSi2/p-Si thin film solar cell is 27.8% and that of n-Si/i-BaSi2/p-Si thin film solar cell is 30.4%, both are larger than that of Si n-i-p solar cell (η is 20.6%. These results are consistent with their absorption spectrum. The calculated conversion efficiency of Si n-i-p solar cell is consistent with the reported researches. Therefore, these calculation results are valid in this work.
Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi
2018-02-01
We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.
Fabrication of p-type porous GaN on silicon and epitaxial GaN
Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm
2013-01-01
Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...
The MIS 11 – MIS 1 analogy, southern European vegetation, atmospheric methane and the
Directory of Open Access Journals (Sweden)
P. C. Tzedakis
2010-03-01
Full Text Available Marine Isotope Stage (MIS 11 has been considered a potential analogue for the Holocene and its future evolution. However, a dichotomy has emerged over the precise chronological alignment of the two intervals, with one solution favouring a synchronization of the precession signal and another of the obliquity signal. The two schemes lead to different implications over the natural length of the current interglacial and the underlying causes of the evolution of greenhouse gas concentrations. Here, the close coupling observed between changes in southern European tree populations and atmospheric methane concentrations in previous interglacials is used to evaluate the natural vs. anthropogenic contribution to Holocene methane emissions and assess the two alignment schemes. Comparison of the vegetation trends in MIS 1 and MIS 11 favours a precessional alignment, which would suggest that the Holocene is nearing the end of its natural course. This, combined with the divergence between methane concentrations and temperate tree populations after 5 kyr BP, provides some support for the notion that the Holocene methane trend may be anomalous compared to previous interglacials. In contrast, comparison of MIS 1 with MIS 19, which may represent a closer astronomical analogue than MIS 11, leads to substantially different conclusions on the projected natural duration of the current interglacial and the extent of the anthropogenic contribution to the Holocene methane budget. As answers vary with the choice of analogue, resolution of these issues using past interglacials remains elusive.
Jiao, Tianpeng; Liu, Jian; Wei, Dapeng; Feng, Yanhui; Song, Xuefen; Shi, Haofei; Jia, Shuming; Sun, Wentao; Du, Chunlei
2015-09-16
The conventional graphene-silicon Schottky junction solar cell inevitably involves the graphene growth and transfer process, which results in complicated technology, loss of quality of the graphene, extra cost, and environmental unfriendliness. Moreover, the conventional transfer method is not well suited to conformationally coat graphene on a three-dimensional (3D) silicon surface. Thus, worse interfacial conditions are inevitable. In this work, we directly grow graphene nanowalls (GNWs) onto the micropyramidal silicon (MP) by the plasma-enhanced chemical vapor deposition method. By controlling growth time, the cell exhibits optimal pristine photovoltaic performance of 3.8%. Furthermore, we improve the conductivity of the GNW electrode by introducing the silver nanowire (AgNW) network, which could achieve lower sheet resistance. An efficiency of 6.6% has been obtained for the AgNWs-GNWs-MP solar cell without any chemical doping. Meanwhile, the cell exhibits excellent stability exposed to air. Our studies show a promising way to develop simple-technology, low-cost, high-efficiency, and stable Schottky junction solar cells.
International Nuclear Information System (INIS)
Therani, A.H.; Decoster, D.; Vilcot, J.P.; Razeghi, M.
1988-01-01
We present a monolithic integrated circuit associating a Schottky photodiode and a field-effect transistor which has been fabricated, for the first time, on Ga/sub 0.49/In/sub 0.51/P/Ga/sub 0.47/In/sub 0.53/As strained heteroepitaxial material. Static, dynamic, and noise properties of the Schottky photodiode, the field-effect transistor, and the integrated circuit have been investigated and are reported. As an example, dynamic responsivity up to 50 A/W can be achieved at 1.3-μm wavelength for the integrated photoreceiver. The performance of the device is discussed, taking into account the integrated circuit design and the main characteristics of the material
Identification of photoluminescence P line in indium doped silicon as In{sub Si}-Si{sub i} defect
Energy Technology Data Exchange (ETDEWEB)
Lauer, Kevin, E-mail: klauer@cismst.de; Möller, Christian [CiS Forschungsinstitut für Mikrosensorik und Photovoltaik GmbH, Konrad-Zuse-Str. 14, 99099 Erfurt (Germany); Schulze, Dirk [TU Ilmenau, Institut für Physik, Weimarer Str. 32, 98693 Ilmenau (Germany); Ahrens, Carsten [Infineon Technologies AG, Am Campeon 1-12, 85579 Neubiberg (Germany)
2015-01-15
Indium and carbon co-implanted silicon was investigated by low-temperature photoluminescence spectroscopy. A photoluminescence peak in indium doped silicon (P line) was found to depend on the position of a silicon interstitial rich region, the existence of a SiN{sub x}:H/SiO{sub x} stack and on characteristic illumination and annealing steps. These results led to the conclusion that silicon interstitials are involved in the defect and that hydrogen impacts the defect responsible for the P line. By applying an unique illumination and annealing cycle we were able to link the P line defect with a defect responsible for degradation of charge carrier lifetime in indium as well as boron doped silicon. We deduced a defect model consisting of one acceptor and one silicon interstitial atom denoted by A{sub Si}-Si{sub i}, which is able to explain the experimental data of the P line as well as the light-induced degradation in indium and boron doped silicon. Using this model we identified the defect responsible for the P line as In{sub Si}-Si{sub i} in neutral charge state and C{sub 2v} configuration.
International Nuclear Information System (INIS)
Zolotarev, K.I.
2014-10-01
Cross section data for "2"8Si(n,p)"2"8Al, "3"1P(n,p)"3"1Si and "1"1"3In(n,γ)"1"1"4"mIn reactions are needed for solving a wide spectrum of scientific and technical tasks. The excitation function of "2"8Si(n,p)"2"8Al reaction refers to the nuclear data involved in fusion reactor design calculations. The "2"8Si(n,p)"2"8Al reaction is interesting also as the monitor reaction for measurements at fusion facilities. Activation detectors on the basis of the 31P(n,p)31Si reaction are commonly used in the reactor dosimetry. The "1"1"3In(n,γ)"1"1"4"mIn reaction is promising regarding reactor dosimetry application for two reasons. First, due to the "1"1"4"mIn decay parameters which are rather suitable for activation measurements. Half-life of "1"1"4"mIn is equal to T_1/_2 = (49.51 ± 0.01) days and gamma spectrum accompanying decay has only one line with energy 190.27 keV and intensity (15.56 ± 0.15)%. Second, the "1"1"3In(n,γ)"1"1"4"mIn reaction rate may be measured by using one activation detector simultaneously with the "1"1"5In(n,γ)"1"1"6"mIn reaction. Preliminary analysis of existing evaluated excitation functions for "2"8Si(n,p)"2"8Al, "3"1P(n,p)"3"1Si and "1"1"3In(n,γ)"1"1"4"mIn reactions show that new evaluations are needed for all above mentioned reactions. This report is devoted to the preparation of the new evaluations of cross sections data and related covariance matrixes of uncertainties for the "2"8Si(n,p)"2"8Al, "3"1P(n,p)"3"1Si and "1"1"3In(n,γ)"1"1"4"mIn reactions.
High density plasma via hole etching in SiC
International Nuclear Information System (INIS)
Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.
2001-01-01
Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining
International Nuclear Information System (INIS)
Morel, D.L.; Moustakas, T.D.
1981-01-01
The diode properties of reactively sputtered hydrogenated amorphous silicon Schottky barrier structures (a-SiH/sub x/ /Pt) have been investigated. We find a systematic relation between the changes in the open circuit voltage, the barrier height, and the diode quality factor. These results are accounted for by assuming that hydrogen incorporation into the amorphous silicon network removes states from the top of the valence band and sharpens the valence-band tail. Interfacial oxide layers play a significant role in the low hydrogen content, and low band-gap regime
Irradiation of optically activated SI-GaAs high-voltage switches with low and high energy protons
Bertolucci, Ennio; Mettivier, G; Russo, P; Bisogni, M G; Bottigli, U; Fantacci, M E; Stefanini, A; Cola, A; Quaranta, F; Vasanelli, L; Stefanini, G
1999-01-01
Semi-Insulating Gallium Arsenide (SI-GaAs) devices have been tested for radiation hardness with 3-4 MeV or 24 GeV proton beams. These devices can be operated in dc mode as optically activated electrical switches up to 1 kV. Both single switches (vertical Schottky diodes) and multiple (8) switches (planar devices) have been studied, by analyzing their current-voltage (I-V) reverse characteristics in the dark and under red light illumination, both before and after irradiation. We propose to use them in the system of high-voltage (-600 V) switches for the microstrip gas chambers for the CMS experiment at CERN. Low energy protons (3-4 MeV) were used in order to produce a surface damage below the Schottky contact: their fluence (up to 2.6*10/sup 15/ p/cm/sup 2/) gives a high-dose irradiation. The high energy proton irradiation (energy: 24 GeV, fluence: 1.1*10/sup 14/ p/cm/sup 2/) reproduced a ten years long proton exposure of the devices in CMS experiment conditions. For low energy irradiation, limited changes of ...
Rogalla, M
1999-01-01
A model for the electric field distribution beneath the Schottky contact in semi-insulating (SI) GaAs particle detectors is developed. The model is based on a field-enhanced electron capture of the EL2-defect. The influence of the compensation mechanism in SI-GaAs on the field distribution, leakage current density and charge collection properties of the detectors will be discussed. The detailed understanding allows then a device optimization. (author)
Shen, Jun; Liu, Xiangzhi; Song, Xuefen; Li, Xinming; Wang, Jun; Zhou, Quan; Luo, Shi; Feng, Wenlin; Wei, Xingzhan; Lu, Shirong; Feng, Shuanglong; Du, Chunlei; Wang, Yuefeng; Shi, Haofei; Wei, Dapeng
2017-05-11
Schottky heterojunctions based on graphene-silicon structures are promising for high-performance photodetectors. However, existing fabrication processes adopt transferred graphene as electrodes, limiting process compatibility and generating pollution because of the metal catalyst. In this report, photodetectors are fabricated using directly grown graphene nanowalls (GNWs) as electrodes. Due to the metal-free growth process, GNWs-Si heterojunctions with an ultralow measured current noise of 3.1 fA Hz -1/2 are obtained, and the as-prepared photodetectors demonstrate specific detectivities of 5.88 × 10 13 cm Hz 1/2 W -1 and 2.27 × 10 14 cm Hz 1/2 W -1 based on the measured and calculated noise current, respectively, under ambient conditions. These are among the highest reported values for planar silicon Schottky photodetectors. In addition, an on/off ratio of 2 × 10 7 , time response of 40 μs, cut-off frequency of 8.5 kHz and responsivity of 0.52 A W -1 are simultaneously realized. The ultralow current noise is attributed to the excellent junction quality with a barrier height of 0.69 eV and an ideal factor of 1.18. Furthermore, obvious infrared photoresponse is observed in blackbody tests, and potential applications based on the photo-thermionic effect are discussed.
Spatial fluctuations in barrier height at the graphene-silicon carbide Schottky junction.
Rajput, S; Chen, M X; Liu, Y; Li, Y Y; Weinert, M; Li, L
2013-01-01
When graphene is interfaced with a semiconductor, a Schottky contact forms with rectifying properties. Graphene, however, is also susceptible to the formation of ripples upon making contact with another material. Here we report intrinsic ripple- and electric field-induced effects at the graphene semiconductor Schottky junction, by comparing chemical vapour-deposited graphene transferred on semiconductor surfaces of opposite polarization-the hydrogen-terminated silicon and carbon faces of hexagonal silicon carbide. Using scanning tunnelling microscopy/spectroscopy and first-principles calculations, we show the formation of a narrow Schottky dipole barrier approximately 10 Å wide, which facilitates the observed effective electric field control of the Schottky barrier height. We further find atomic-scale spatial fluctuations in the Schottky barrier that directly follow the undulation of ripples on both graphene-silicon carbide junctions. These findings reveal fundamental properties of the graphene/semiconductor Schottky junction-a key component of vertical graphene devices that offer functionalities unattainable in planar device architecture.
Nanomechanical properties of thick porous silicon layers grown on p- and p+-type bulk crystalline Si
International Nuclear Information System (INIS)
Charitidis, C.A.; Skarmoutsou, A.; Nassiopoulou, A.G.; Dragoneas, A.
2011-01-01
Highlights: → The nanomechanical properties of bulk crystalline Si. → The nanomechanical properties of porous Si. → The elastic-plastic deformation of porous Si compared to bulk crystalline quantified by nanoindentation data analysis. - Abstract: The nanomechanical properties and the nanoscale deformation of thick porous Si (PSi) layers of two different morphologies, grown electrochemically on p-type and p+-type Si wafers were investigated by the depth-sensing nanoindentation technique over a small range of loads using a Berkovich indenter and were compared with those of bulk crystalline Si. The microstructure of the thick PSi layers was characterized by field emission scanning electron microscopy. PSi layers on p+-type Si show an anisotropic mesoporous structure with straight vertical pores of diameter in the range of 30-50 nm, while those on p-type Si show a sponge like mesoporous structure. The effect of the microstructure on the mechanical properties of the layers is discussed. It is shown that the hardness and Young's modulus of the PSi layers exhibit a strong dependence on their microstructure. In particular, PSi layers with the anisotropic straight vertical pores show higher hardness and elastic modulus values than sponge-like layers. However, sponge-like PSi layers reveal less plastic deformation and higher wear resistance compared with layers with straight vertical pores.
Khurelbaatar, Zagarzusem; Kil, Yeon-Ho; Shim, Kyu-Hwan; Cho, Hyunjin; Kim, Myung-Jong; Lee, Sung-Nam; Jeong, Jae-chan; Hong, Hyobong; Choi, Chel-Jong
2016-03-01
We investigated the electrical properties of chemical vapor deposition-grown monolayer graphene/n-type germanium (Ge) Schottky barrier diodes (SBD) using current-voltage (I-V) characteristics and low frequency noise measurements. The Schottky barrier parameters of graphene/n-type Ge SBDs, such as Schottky barrier height (VB), ideality factor (n), and series resistance (Rs), were extracted using the forward I-V and Cheung's methods. The VB and n extracted from the forward ln(I)-V plot were found to be 0.63 eV and 1.78, respectively. In contrast, from Cheung method, the VB and n were calculated to be 0.53 eV and 1.76, respectively. Such a discrepancy between the values of VB calculated from the forward I-V and Cheung's methods indicated a deviation from the ideal thermionic emission of graphene/n-type Ge SBD associated with the voltage drop across graphene. The low frequency noise measurements performed at the frequencies in the range of 10 Hz-1 kHz showed that the graphene/n-type Ge SBD had 1/f γ frequency dependence, with γ ranging from 1.09 to 1.12, regardless of applied forward biases. Similar to forward-biased SBDs operating in the thermionic emission mode, the current noise power spectral density of graphene/n-type Ge SBD was linearly proportional to the forward current.
Luminance compensation for AMOLED displays using integrated MIS sensors
Vygranenko, Yuri; Fernandes, Miguel; Louro, Paula; Vieira, Manuela
2017-05-01
Active-matrix organic light-emitting diodes (AMOLEDs) are ideal for future TV applications due to their ability to faithfully reproduce real images. However, pixel luminance can be affected by instability of driver TFTs and aging effect in OLEDs. This paper reports on a pixel driver utilizing a metal-insulator-semiconductor (MIS) sensor for luminance control of the OLED element. In the proposed pixel architecture for bottom-emission AMOLEDs, the embedded MIS sensor shares the same layer stack with back-channel etched a Si:H TFTs to maintain the fabrication simplicity. The pixel design for a large-area HD display is presented. The external electronics performs image processing to modify incoming video using correction parameters for each pixel in the backplane, and also sensor data processing to update the correction parameters. The luminance adjusting algorithm is based on realistic models for pixel circuit elements to predict the relation between the programming voltage and OLED luminance. SPICE modeling of the sensing part of the backplane is performed to demonstrate its feasibility. Details on the pixel circuit functionality including the sensing and programming operations are also discussed.
Study on the low leakage current of an MIS structure fabricated by ICP-CVD
Energy Technology Data Exchange (ETDEWEB)
Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw
2008-03-15
As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.
Finke, P. A.; Yu, Y.; Yin, Q.; Bernardini, N. J.
2016-12-01
Objective Proxy records indicate that MIS5 (about 120 ka ago) was warmer than MIS13 (about 500 ka ago). Nevertheless, MIS13-soils in the Chinese loess plateau (105 -115°E and 30-40°N) are stronger developed than MIS5-soils. This has been attributed to a stronger East Asian summer monsoon. Other differences are interglacial lengths and loess deposition rates. We aimed to find explanations for soil development differences by using a soil formation model (SoilGen) with climatic inputs obtained from an earth system model (LOVECLIM). Material and Methods The LOVECLIM model is driven by time-varying insolation and greenhouse gas concentrations and was run to give monthly values for temperature, precipitation and evaporation as well the dominant vegetation type. Model results for were corrected for systematic differences between present-day observation data and simulation. Reconstructions were made for both interglacials of the amount of inblown loess, and the mineralogy and grain size distribution of the initial loess as well as the dust. These data were fed into the SoilGen model, which was used to calculate various soil parameters with depth and over time. Results Simulations show a stronger developed MIS13 soil, in terms of weathering (loss of anorthite), and redistribution of calcite, gypsum and clay. This corresponds to observed paleosoils. MIS13-soils are more leached. As simulated temperatures and annual precipitation between MIS5 and MIS13 did not vary strongly, the greater length of MIS13 seemed the main explanation for the stronger leaching and weathering. Closer analysis however showed a larger number of months in MIS13 with a precipitation surplus, even when only considering the first 22 ka. Only in such months significant leaching can occur. Conclusion Using simulation models it was demonstrated that the stronger soil expression in MIS13 than in MIS5 is likely caused by more months with a precipitation surplus, in combination with a longer duration of MIS
Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO
Directory of Open Access Journals (Sweden)
Shampa Mondal
2012-01-01
Full Text Available Zinc oxide (ZnO thin films were deposited on p-silicon (Si substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M of zincate bath and fixed pH (11.00-11.10. Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD indicates the formation of polycrystalline single phase ZnO with strong c-axis orientation. The structural characteristics of the films were found to be a sensitive function of film thickness. The degree of orientation was found to be a function of film thickness and a maximum was found at around 2.2 µm. Scanning electron microscopy (SEM reveals the formation of sub-micrometer crystallites on silicon substrate. The coverage of crystallites (grains on substrate surface increases with number of dipping. Dense film containing grains distributed throughout the surface is obtained at large thicknesses. The ohmic nature of silver (Ag on ZnO and Aluminum (Al on p-Si was confirmed by I-V measurements. I-V characteristic of the p-Si/n-ZnO heterojunction was studied and rectification was observed. The maximum value of forward to reverse current ratio was ~15 at 3.0 V.
Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO
Directory of Open Access Journals (Sweden)
Shampa Mondal
2013-02-01
Full Text Available Zinc oxide (ZnO thin films were deposited on p-silicon (Si substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M of zincate bath and fixed pH (11.00-11.10. Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD indicates the formation of polycrystalline single phase ZnO with strong c-axis orientation. The structural characteristics of the films were found to be a sensitive function of film thickness. The degree of orientation was found to be a function of film thickness and a maximum was found at around 2.2 µm. Scanning electron microscopy (SEM reveals the formation of sub-micrometer crystallites on silicon substrate. The coverage of crystallites (grains on substrate surface increases with number of dipping. Dense film containing grains distributed throughout the surface is obtained at large thicknesses. The ohmic nature of silver (Ag on ZnO and Aluminum (Al on p-Si was confirmed by I-V measurements. I-V characteristic of the p-Si/n-ZnO heterojunction was studied and rectification was observed. The maximum value of forward to reverse current ratio was ~15 at 3.0 V.
Response of Ni/4H-SiC Schottky barrier diodes to alpha-particle irradiation at different fluences
Energy Technology Data Exchange (ETDEWEB)
Omotoso, E., E-mail: ezekiel.omotoso@up.ac.za [Department of Physics, University of Pretoria, Private Bag X20, Hatfield 0028 (South Africa); Departments of Physics, Obafemi Awolowo University, Ile-Ife 220005 (Nigeria); Meyer, W.E.; Auret, F.D.; Diale, M.; Ngoepe, P.N.M. [Department of Physics, University of Pretoria, Private Bag X20, Hatfield 0028 (South Africa)
2016-01-01
Irradiation experiments have been carried out on 1.9×10{sup 16} cm{sup −3} nitrogen-doped 4H-SiC at room temperature using 5.4 MeV alpha-particle irradiation over a fluence ranges from 2.6×10{sup 10} to 9.2×10{sup 11} cm{sup −2}. Current–voltage (I–V), capacitance–voltage (C–V) and deep level transient spectroscopy (DLTS) measurements have been carried out to study the change in characteristics of the devices and free carrier removal rate due to alpha-particle irradiation, respectively. As radiation fluence increases, the ideality factors increased from 1.20 to 1.85 but the Schottky barrier height (SBH{sub I–V}) decreased from 1.47 to 1.34 eV. Free carrier concentration, N{sub d} decreased with increasing fluence from 1.7×10{sup 16} to 1.1×10{sup 16} cm{sup −2} at approximately 0.70 μm depth. The reduction in N{sub d} shows that defects were induced during the irradiation and have effect on compensating the free carrier. The free carrier removal rate was estimated to be 6480±70 cm{sup −1}. Alpha-particle irradiation introduced two electron traps (E{sub 0.39} and E{sub 0.62}), with activation energies of 0.39±0.03 eV and 0.62±0.08 eV, respectively. The E{sub 0.39} as attribute related to silicon or carbon vacancy, while the E{sub 0.62} has the attribute of Z{sub 1}/Z{sub 2}.
The strain effect in the surface barrier structures prepared on the basis of n-Si and p-Si
International Nuclear Information System (INIS)
Mamatkarimov, O.O.; Tuychiev, U.A.
2004-01-01
Full text: One of the ways of creation of large deformations in small volume of the semiconductor is the deformation created by a needle. At insignificant change of external influence the large deformation under a needle in small volume of the semiconductor the significant change of electrophysical parameters of the semiconductor in small volume is created. Therefore, in the present work the results of researches of local pressure influence on physical properties of surface barrier structures has been performed on the basis of silicon with Ni and Mn impurity. The relative changes of a direct current made on the basis n-Si and p-Si from a different degree of compensation are given depending on size of local pressure are shown. Change of current in structures Au-Si -Sb with specific resistance of base ρ=80 Ω·cm and ρ=200 Ω·cm are I p /I 0 =3-3.5 times and I P /I ) =2-2.5 times at pressure P=1.6·10 8 Pa respectively. These data show, that in structures received on the basis of initial silicon, change of a direct current with pressure is in inverse proportion to size of resistance of base of the diode. And in structures Au-Si -Sb with specific resistance of base ρ=5·10 2 Ω·cm and ρ=3·10 3 Ω·cm these changes accordingly are I P /I 0 =7 and I P /I 0 =14. Changes of direct current relative to initial value for structures on the basis p-Si with specific resistance ρ=7·10 2 Ω·cm and ρ=4·10 3 Ω·cm) are I P /I 0 =9 and I P /I 0 =16 respectively. The same changes of direct current of structures on the basis P-Si at local pressure are I P /I 0 =2-2.5. The given values I P /I 0 testify that as in structures Au-Si -Sb, and structures Sb-p-Si -Au, unlike structures on the basis of initial silicon, the values I P /I 0 are increased with increase of specific resistance of base of structures
Analysing black phosphorus transistors using an analytic Schottky barrier MOSFET model.
Penumatcha, Ashish V; Salazar, Ramon B; Appenzeller, Joerg
2015-11-13
Owing to the difficulties associated with substitutional doping of low-dimensional nanomaterials, most field-effect transistors built from carbon nanotubes, two-dimensional crystals and other low-dimensional channels are Schottky barrier MOSFETs (metal-oxide-semiconductor field-effect transistors). The transmission through a Schottky barrier-MOSFET is dominated by the gate-dependent transmission through the Schottky barriers at the metal-to-channel interfaces. This makes the use of conventional transistor models highly inappropriate and has lead researchers in the past frequently to extract incorrect intrinsic properties, for example, mobility, for many novel nanomaterials. Here we propose a simple modelling approach to quantitatively describe the transfer characteristics of Schottky barrier-MOSFETs from ultra-thin body materials accurately in the device off-state. In particular, after validating the model through the analysis of a set of ultra-thin silicon field-effect transistor data, we have successfully applied our approach to extract Schottky barrier heights for electrons and holes in black phosphorus devices for a large range of body thicknesses.
The thermal neutron detection using 4H-SiC detectors with 6LiF conversion layer
International Nuclear Information System (INIS)
Zatko, B.; Bohacek, P.; Sekacova, M.; Arbet, J.; Sagatova, A.; Necas, V.
2016-01-01
In this paper we have examined 4H-SiC detector using a thermal neutron source and studied its detection properties. The detector was exposed to neutrons generated by 238 Pu-Be radiation source. The detection properties of 4H-SiC detectors were evaluated considering the use of the 6 LiF conversion. We prepared 4H-SiC Schottky contact detectors based on high-quality of epitaxial layer. The current-voltage characteristic show operating region between 100 V and 400 V. The detector was connected to the spectrometric set-up and used for detection of alpha particles from 241 Am. Following the 6 LiF conversion layer was applied on the Schottky contact of detector and the detection of thermal neutrons was performed. We are able to resolve alpha particles and tritons which are products of nuclear reaction between thermal neutrons and conversion layer. Also bare detector was used for neutron detection to clearly show significant influence of the used conversion layer.(authors)
Syntheses and characterization of novel P/Si polysilsesquioxanes/epoxy nanocomposites
International Nuclear Information System (INIS)
Chiu Yiechan; Liu Fangyi; Ma, C.-C.M.; Chou, I.-C.; Riang Linawati; Chiang, C.-L.; Yang, J.-C.
2008-01-01
Phosphorus-containing polysilsesquioxane (PSSQ) was introduced into diglycidyl ether of bisphenol A epoxy (DGEBA) to generate a novel P/Si PSSQ nanocomposite. A series of nanocomposites was fabricated by changing the content of the 2-(diphenylphosphino)ethyltriethoxysilane (DPPETES) monomer or P/Si PSSQ cured with DGEBA epoxy and modified epoxy. The structure, thermal properties and flame-retardancy of the P/Si PSSQ nanocomposites were characterized by FT-IR, solid-state 29 Si NMR, thermogravimetric analysis (TGA) and limited oxygen index (LOI) instruments. The nano-sizes of the particles in P/Si PSSQ were approximately 30-50 nm, and the polarity of nanocomposites might generate the nanophase-separated structure from transmission electron microscopy (TEM). The urethane-like side group of the modified epoxy and the fabrication of oligomers in the curing reaction affected the T d5 values of nanocomposites. TGA and LOI results indicated that the char yield (29 wt%) increased and the nanocomposites were not very flammable (LOI = 30). The hybrid materials also exhibited high thermal stability, good flame-retardance and a lack of phase separation
Electron Excess Doping and Effective Schottky Barrier Reduction on the MoS2/h-BN Heterostructure.
Joo, Min-Kyu; Moon, Byoung Hee; Ji, Hyunjin; Han, Gang Hee; Kim, Hyun; Lee, Gwanmu; Lim, Seong Chu; Suh, Dongseok; Lee, Young Hee
2016-10-12
Layered hexagonal boron nitride (h-BN) thin film is a dielectric that surpasses carrier mobility by reducing charge scattering with silicon oxide in diverse electronics formed with graphene and transition metal dichalcogenides. However, the h-BN effect on electron doping concentration and Schottky barrier is little known. Here, we report that use of h-BN thin film as a substrate for monolayer MoS 2 can induce ∼6.5 × 10 11 cm -2 electron doping at room temperature which was determined using theoretical flat band model and interface trap density. The saturated excess electron concentration of MoS 2 on h-BN was found to be ∼5 × 10 13 cm -2 at high temperature and was significantly reduced at low temperature. Further, the inserted h-BN enables us to reduce the Coulombic charge scattering in MoS 2 /h-BN and lower the effective Schottky barrier height by a factor of 3, which gives rise to four times enhanced the field-effect carrier mobility and an emergence of metal-insulator transition at a much lower charge density of ∼1.0 × 10 12 cm -2 (T = 25 K). The reduced effective Schottky barrier height in MoS 2 /h-BN is attributed to the decreased effective work function of MoS 2 arisen from h-BN induced n-doping and the reduced effective metal work function due to dipole moments originated from fixed charges in SiO 2 .
EELS measurements of boron concentration profiles in p-a-Si and nip a-Si solar cells
DEFF Research Database (Denmark)
Van Aken, Bas B.; Duchamp, Martial; Boothroyd, Chris
2012-01-01
The p-type Si layer in a-Si and μc-Si solar cells on foil needs to fulfil several important requirements. The layer is necessary to create the electric field that separates the photo-generated charge carriers; the doping also increases the conductivity to conduct the photocurrent to the front......-3, using core-loss EELS combined with numerical analysis. We control the band gap and activation energy of p-a-SiC by varying the B2H6 and CH4 flow during deposition in the process chamber. We have found a linear relation between the activation energy of the dark conductivity Eact and the optical...... band gap E04. Modelling shows that the optimum efficiency in nip solar cells is obtained when the p-a-SiC band gap is slightly larger than the band gap of the absorber layer. We have assessed the potential of core-loss EELS for detecting B and C concentrations as low as 1020cm-3 in a spatially resolved...
Weld microstructure in cast AlSi9/SiC(p metal matrix composites
Directory of Open Access Journals (Sweden)
J. Wysocki
2009-04-01
Full Text Available Welded joint in cast AlSi9/SiC/20(p metal matrix composite by manual TIG arc welding using AlMg5 filler metal has been described inhis paper. Cooling curves have been stated, and the influence in distribution of reinforced particles on crystallization and weldmicrostructure. Welded joint mechanical properties have been determined: hardness and tensile.
PtSi Clustering in Silicon Probed by Transport Spectroscopy
Directory of Open Access Journals (Sweden)
Massimo Mongillo
2013-12-01
Full Text Available Metal silicides formed by means of thermal annealing processes are employed as contact materials in microelectronics. Control of the structure of silicide/silicon interfaces becomes a critical issue when the characteristic size of the device is reduced below a few tens of nanometers. Here, we report on silicide clustering occurring within the channel of PtSi/Si/PtSi Schottky-barrier transistors. This phenomenon is investigated through atomistic simulations and low-temperature resonant-tunneling spectroscopy. Our results provide evidence for the segregation of a PtSi cluster with a diameter of a few nanometers from the silicide contact. The cluster acts as a metallic quantum dot giving rise to distinct signatures of quantum transport through its discrete energy states.
Peltroche-Llacsahuanga, H; Schmidt, S; Lütticken, R; Haase, G
2000-12-01
Candida (Torulopsis) glabrata is frequently isolated in cases of fungal infection and commonly shows acquired or innate fluconazole resistance. Saccharomyces cerevisiae, an emerging opportunistic yeast pathogen, causes serious systemic infections in immunocompromised, and vaginitis and superficial infections in immunocompetent patients. For both species reliable identification in the routine laboratory is mandatory, but species identification of strains, e.g. trehalose-negative C. glabrata, may be difficult. Therefore, gas-liquid chromatography (GLC) of whole cell fatty acid methyl ester (FAME) profiles, that is independent of assimilation profiles of strains and suitable for reliable and rapid identification of clinically important yeasts, was applied. However, frequent misidentification of C. glabrata as S. cerevisiae has been reported when using the Yeast Clinical Database of MIS. Accuracy of MIS identification may be strongly influenced by the amounts of cell mass analyzed. Therefore, the present study compared the MIS results of these two yeasts achieved with different cell masses. Primarily we optimized, especially with respect to cost-effectiveness, the recommended streaking technique yielding a maximal recovery of 90-130 mg of cell mass from one plate, enabling testing of poor growing strains of C. glabrata. For all C. glabrata strains tested (n = 10) the highest identification scores (SI [Similarity Index] range 0.525-0.963, median 0.832) were achieved with 30 to 45 mg of cell mass. Only 5 of 10 S. cerevisiae strains revealed good library comparisons (SI > or = 0.5) when using 30 mg of cell mass, whereas with 45 mg all strains but two revealed this SI-level. For S. cerevisiae a higher amount of cell mass processed (up to 90 mg) was correlated with better identification scores (SI range using 90 mg: 0.464-0.870, median, 0.737). Several passages prior to FAME analysis of C. glabrata strains on recommended media revealed narrowing of SI ranges, but
Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei
2017-10-01
Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.
Liu, Yuan; Li, Yu
2017-11-01
Marine oxygen isotope stage 5a (MIS 5a), MIS 3a, and Holocene were highlighted periods in paleoclimate studies. Many scientists have published a great number of studies in this regard, but they paid more attention to qualitative research, and there was often a lack of quantitative data. In this paper, based on chronological evidence from a paleolake in arid China, MIS 5a, MIS 3a, and Holocene lake area, the precipitation of the drainage area and the runoff of the inflowing rivers of the lake were reconstructed with ArcGIS spatial analysis software and the improved water and energy balance model which was calibrated by modern meteorological and hydrological data in the Shiyang River drainage basin. The results showed that the paleolake areas were 1824, 1124, and 628 km2 for MIS 5a, MIS 3a, and Holocene; meanwhile, the paleoprecipitation and runoff were 293.992-297.433, 271.105-274.294, and 249.431-252.373 mm and 29.103 × 108-29.496 × 108, 18.810 × 108-18.959 × 108, and 10.637 × 108-10.777 × 108 mm, respectively. The quantitative data can help us not only strengthen the understanding of paleoclimatic characteristics but also recognize the complexity and diversity of the climate system.
Performance enhancement of polymer Schottky diode by doping pentacene
International Nuclear Information System (INIS)
Kang, K.S.; Chen, Y.; Lim, H.K.; Cho, K.Y.; Han, K.J.; Kim, Jaehwan
2009-01-01
Schottky diodes have been fabricated using pentacene-doped poly(3,4-ethylenedioxythiophene):poly(4-styrenesulfonate) (PEDOT:PSS) as a semiconducting material. To understand the fundamental properties of the pentacene-doped PEDOT:PSS, ultraviolet visible (UV) absorption spectroscopy was employed. It was found that a significant amount of pentacene can dissolve in n-methylpyrrolidone solvent. No characteristic absorption peak of pentacene was observed in the UV-visible spectra of PEDOT:PSS films doped with pentacene,. However, the absorption intensity of the doped PEDOT:PSS films increased as the pentacene concentration increased in particular in the UV region. The atomic force microscope images show that the surface roughnesses of PEDOT:PSS films increased as the pentacene concentration increased. Three-layer Schottky diodes comprising Al/PEDOT:PSS/Au or Al/PEDOT:PSS-pentacene/Au were fabricated. The maximum forward currents of non-doped and doped Schottky diodes were 4.8 and 440 μA/cm 2 at 3.3 MV/m, respectively. The forward current increased nearly two orders of magnitude for Schottky diode doped with 11.0 wt.% of pentacene.
International Nuclear Information System (INIS)
Kim, Changyoung; Woo, Jongkwan; Choi, Chikyu; Navamathavan, R.
2012-01-01
We report on the electrical characteristics for the metal-insulator-semiconductor (MIS) structure of low-dielectric-constant SiOC(-H) films. The SiOC(-H) thin films were deposited on p-Si(100) substrates by using a plasma-enhanced atomic layer deposition (PEALD) system. To improve the structural and the electrical characteristics, we post-treated the SiOC(-H) films deposited using PEALD with ultraviolet (UV) irradiation for various time intervals. The radical intensities in the bulk plasma were observed to be influenced strongly by the radio-frequency (rf) power. A complete dissociation of the trimethylsilane (TMS) precursor took place for rf powers greater than 300 W. As the UV treatment time was increased, the bonding structure of the SiOC(-H) film clearly separated to Si-O-Si and Si-O-C bonds. Also, the fixed charge density and the interface state density on the SiOC(-H)/p-Si(100) interface decreased as the UV treatment time was increased to 6 min. Therefore, we were able to minimize the defects and to reduce the interface charge by adjusting the UV dose.
Zhai, Yujia
2012-11-26
We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf
Zhai, Yujia; Palard, Marylene; Mathew, Leo; Hussain, Muhammad Mustafa; Willson, Grant Grant; Tutuc, Emanuel; Banerjee, Sanjay Kumar
2012-01-01
We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf
Yang, Xueliang; Liu, Wei; Chen, Jingwei; Sun, Yun
2018-04-01
Using metal oxides to form a carrier-selective interface on crystalline silicon (c-Si) has recently generated considerable interest for use with c-Si photovoltaics because of the potential to reduce cost. n-type oxides, such as MoO3, V2O5, and WO3, have been widely studied. In this work, a p-type oxide, Cu-doped NiO (NiO:Cu), is explored as a transparent hole-selective contact to n-Si. An ultrathin SiOx layer, fabricated by a wet-chemical method (wet-SiOx), is introduced at the NiO:Cu/n-Si interface to achieve a tunnelling junction solar cell. Interestingly, it was observed that the interface quality of the NiO:Cu/wet-SiOx/n-Si heterojunction was dramatically enhanced by post-deposition annealing (PDA) at a temperature of 200 °C. Our device exhibits an improved power conversion efficiency of 10.8%, which is the highest efficiency among NiO/Si heterojunction photo-electric devices to date. It is demonstrated that the 200 °C PDA treatment enhances the built-in field by a reduction in the interface density of states (Dit) but does not influence the work function of the NiO:Cu thin layer. This stable work function after the PDA treatment is in conflict with the changed built-in field according to the Schottky model. Thus, the Bardeen model is introduced for this physical insight: the enhancement of the built-in field originates from the unpinning of the Fermi levels of NiO:Cu and n-Si by the interface state reduction.
Li, Dong; Chen, Mingyuan; Zong, Qijun; Zhang, Zengxing
2017-10-11
The Schottky junction is an important unit in electronics and optoelectronics. However, its properties greatly degrade with device miniaturization. The fast development of circuits has fueled a rapid growth in the study of two-dimensional (2D) crystals, which may lead to breakthroughs in the semiconductor industry. Here we report a floating-gate manipulated nonvolatile ambipolar Schottky junction memory from stacked all-2D layers of graphene-BP/h-BN/graphene (BP, black phosphorus; h-BN, hexagonal boron nitride) in a designed floating-gate field-effect Schottky barrier transistor configuration. By manipulating the voltage pulse applied to the control gate, the device exhibits ambipolar characteristics and can be tuned to act as graphene-p-BP or graphene-n-BP junctions with reverse rectification behavior. Moreover, the junction exhibits good storability properties of more than 10 years and is also programmable. On the basis of these characteristics, we further demonstrate the application of the device to dual-mode nonvolatile Schottky junction memories, memory inverter circuits, and logic rectifiers.
Solar cells based on InP/GaP/Si structure
Kvitsiani, O.; Laperashvil, D.; Laperashvili, T.; Mikelashvili, V.
2016-10-01
Solar cells (SCs) based on III-V semiconductors are reviewed. Presented work emphases on the Solar Cells containing Quantum Dots (QDs) for next-generation photovoltaics. In this work the method of fabrication of InP QDs on III-V semiconductors is investigated. The original method of electrochemical deposition of metals: indium (In), gallium (Ga) and of alloys (InGa) on the surface of gallium phosphide (GaP), and mechanism of formation of InP QDs on GaP surface is presented. The possibilities of application of InP/GaP/Si structure as SC are discussed, and the challenges arising is also considered.
Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric
Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna
2016-10-01
In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.
Improvement of n-ZnO/p-Si photodiodes by embedding of silver nanoparticles
International Nuclear Information System (INIS)
Hu, Zhan-Shuo; Hung, Fei-Yi; Chang, Shoou-Jinn; Chen, Kuan-Jen; Tseng, Yi-Wei; Huang, Bohr-Ran; Lin, Bo-Cheng; Chou, Wei-Yang; Chang, Jay
2011-01-01
The photo-current of n-ZnO/p-Si heterojunction photodiodes was improved by embedding Ag nanoparticles in the interface (ZnO/nano-P Ag /p-Si), and the ratio between photo- and dark-current increased by about three orders more than that of a n-ZnO/p-Si specimen. The improvement in the photo-current resulted from the light scattering of embedded Ag nanoparticles. The I–V curve of n-ZnO/p-Si degraded after thermal treatment (A-ZnO/p-Si) because the silicon robbed the oxygen from ZnO to form amorphous silicon dioxide and left an oxygen vacancy. Notably, the properties of ZnO/nano-P Ag /p-Si were better in the time-dependent photoresponse under 10 V bias. Ag nanoparticles (15–20 nm) scattered the UV light randomly and increased the probability for the absorption of ZnO to enhance the properties of the photodiode.
Hybrid organic/inorganic position-sensitive detectors based on PEDOT:PSS/n-Si
Javadi, Mohammad; Gholami, Mahdiyeh; Torbatiyan, Hadis; Abdi, Yaser
2018-03-01
Various configurations like p-n junctions, metal-semiconductor Schottky barriers, and metal-oxide-semiconductor structures have been widely used in position-sensitive detectors. In this report, we propose a PEDOT:PSS/n-Si heterojunction as a hybrid organic/inorganic configuration for position-sensitive detectors. The influence of the thickness of the PEDOT:PSS layer, the wavelength of incident light, and the intensity of illumination on the device performance are investigated. The hybrid PSD exhibits very high sensitivity (>100 mV/mm), excellent nonlinearity (0.995) with a response time of heterojunction are very promising for developing a new class of position-sensitive detectors based on the hybrid organic/inorganic junctions.
International Nuclear Information System (INIS)
Burgunder, G.
2011-12-01
The spin-orbit interaction depends on the spin orientation of the nucleons with respect to their angular momenta as well as on the derivative of the nuclear density. Even though this density dependence is used in all mean field model, it has never been tested yet due to the lack of data. We propose an original method to test this density dependence by comparing a bubble nucleus ( 34 Si) to a normal nucleus ( 36 S). The 34 Si exhibits a central density which is depleted by a factor of two which induces a non-zero central density derivative and should change the strength of the spin orbit interaction for the inner orbits such as the p orbits (L=1). By performing (d,p) transfer reactions with 36 S and 34 Si beams, the p(3/2) and p(1/2) spin orbit splitting can be inferred for these nuclei. Depending on the models, the spin-orbit splitting varies from 7% (VlowK interaction) up to 70% (Relativistic mean field approach). Beams of 36 S and 34 Si, produced at the LISE spectrometer at 20 A.MeV, were impinged onto a CD 2 target. Tracking the beam particles was achieved using 2 xy beam tracking gas detectors. Protons emitted were detected by 4 multi-segmented Si detectors (MUST2) placed at backwards angles. Gammas issued from the excited states decay were detected in the 4 EXOGAM segmented Germanium detectors. Transfer like nuclei were identified with an ionization chamber and a plastic detector. The excitation energy spectra of the 37 S and 35 Si are determined up to about 7 MeV. Spectroscopic factors and energies of p and f states are derived for the first time in 35 Si. The two nuclei show strong similarity for the f spin-orbit partners, whereas the p(3/2) - p(1/2) energy gap is reduced by 55%. (author)
Gallium Nitride Schottky betavoltaic nuclear batteries
International Nuclear Information System (INIS)
Lu Min; Zhang Guoguang; Fu Kai; Yu Guohao; Su Dan; Hu Jifeng
2011-01-01
Research highlights: → Gallium Nitride nuclear batteries with Ni-63 are demonstrated for the first time. → Open circuit voltage of 0.1 V and conversion efficiency of 0.32% have been obtained. → The limited performance is due to thin effective energy deposition layer. → The output power is expected to greatly increase with growing thick GaN films. -- Abstract: Gallium Nitride (GaN) Schottky betavoltaic nuclear batteries (GNBB) are demonstrated in our work for the first time. GaN films are grown on sapphire substrates by metalorganic chemical vapor deposition (MOCVD), and then GaN Schottky diodes are fabricated by normal micro-fabrication process. Nickel with mass number of 63 ( 63 Ni), which emits β particles, is loaded on the GaN Schottky diodes to achieve GNBB. X-ray diffraction (XRD) and photoluminescence (PL) are carried out to investigate the crystal quality for the GaN films as grown. Current-voltage (I-V) characteristics shows that the GaN Schottky diodes are not jet broken down at -200 V due to consummate fabrication processes, and the open circuit voltage of the GNBB is 0.1 V and the short circuit current density is 1.2 nA cm -2 . The limited performance of the GNBB is due to thin effective energy deposition layer, which is only 206 nm to absorb very small partial energy of the β particles because of the relatively high dislocation density and carrier concentration. However, the conversion efficiency of 0.32% and charge collection efficiency (CCE) of 29% for the GNBB have been obtained. Therefore, the output power of the GNBB are expected to greatly increase with growing high quality thick GaN films.
A graphene/single GaAs nanowire Schottky junction photovoltaic device.
Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin
2018-05-04
A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.
International Nuclear Information System (INIS)
Mizuno, K.; Ono, S.; Suzuki, T.; Daiku, Y.
1982-01-01
Schottky diode detectors are widely used as fast, sensitive submillimeter detectors in plasma physics, radio astronomy, frequency standards and so on. In this paper, the research on submillimeter Schottky diodes at Tohoku University is described. A brief description is given on the theoretical examination of diode parameters for video detection in design and on the fabrication of n/n + GaAs Schottky diode chips. Antennas for Schottky barrier diodes are discussed. Three types of antenna structures have been proposed, and used for whisker-contacted Schottky diodes so far. These are compared with each other for their frequency response and gain. The bicone type antenna is promising because of its larger frequency response, but the optimum design for this type of antenna has not yet sufficiently been obtained. As the application of Schottky barrier diodes, the intensity modulation of submillimeter laser and a quasi-optically coupled harmonic mixer have been studied. The modulation degree of about 4 % for HCN laser output has been so far obtained at the maximum modulation frequency of 2 GHz. Since 1976, a quasi-optically coupled harmonic mixer has been used with a Schottky diode in harmonic mixing between microwaves, millimeter waves, and submillimeter waves. (Wakatsuki, Y.)
Piezotronically modified double Schottky barriers in ZnO varistors.
Raidl, Nadine; Supancic, Peter; Danzer, Robert; Hofstätter, Michael
2015-03-25
Double Schottky barriers in ZnO are modified piezotronically by the application of mechanical stresses. New effects such as the enhancement of the potential barrier height and the increase or decrease of the natural barrier asymmetry are presented. Also, an extended model for the piezotronic modification of double Schottky barriers is given. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
New GaN Schottky barrier diode employing a trench on AlGaN/GaN heterostructure
Ha, Min-Woo; Lee, Seung-Chul; Choi, Young-Hwan; Kim, Soo-Seong; Yun, Chong-Man; Han, Min-Koo
2006-10-01
A new GaN Schottky barrier diode employing a trench structure, which is proposed and fabricated, successfully decreases a forward voltage drop without sacrificing any other electric characteristics. The trench is located in the middle of Schottky contact during a mesa etch. The Schottky metal of Pt/Mo/Ti/Au is e-gun evaporated on the 300 nm-deep trench as well as the surface of the proposed GaN Schottky barrier diode. The trench forms the vertical Au Schottky contact and lateral Pt Schottky contact due to the evaporation sequence of Schottky metal. The forward voltage drops of the proposed diode and conventional one are 0.73 V and 1.25 V respectively because the metal work function (5.15 eV) of the vertical Au Schottky contact is considerably less than that of the lateral Pt Schottky contact (5.65 eV). The proposed diode exhibits the low on-resistance of 1.58 mΩ cm 2 while the conventional one exhibits 8.20 mΩ cm 2 due to the decrease of a forward voltage drop.
TCAD analysis of graphene silicon Schottky junction solar cell
Kuang, Yawei; Liu, Yushen; Ma, Yulong; Xu, Jing; Yang, Xifeng; Feng, Jinfu
2015-08-01
The performance of graphene based Schottky junction solar cell on silicon substrate is studied theoretically by TCAD Silvaco tools. We calculate the current-voltage curves and internal quantum efficiency of this device at different conditions using tow dimensional model. The results show that the power conversion efficiency of Schottky solar cell dependents on the work function of graphene and the physical properties of silicon such as thickness and doping concentration. At higher concentration of 1e17cm-3 for n-type silicon, the dark current got a sharp rise compared with lower doping concentration which implies a convert of electron emission mechanism. The biggest fill factor got at higher phos doping predicts a new direction for higher performance graphene Schottky solar cell design.
Comparison between Si/SiO{sub 2} and InP/Al{sub 2}O{sub 3} based MOSFETs
Energy Technology Data Exchange (ETDEWEB)
Akbari Tochaei, A., E-mail: amirakbari182@gmail.com; Arabshahi, H.; Benam, M. R. [Payame Noor University, Department of Physics (Iran, Islamic Republic of); Vatan-Khahan, A.; Abedininia, M. [Khayyam University, Department of Physics (Iran, Islamic Republic of)
2016-11-15
Electron transport properties of InP-based MOSFET as a new channel material with Al{sub 2}O{sub 3} as a high-k dielectric oxide layer in comparison with Si-based MOSFET are studied by the ensemble Monte Carlo simulation method in which the conduction band valleys in InP are based on three valley models with consideration of quantum effects (effective potential approach). I{sub d}–V{sub d} characteristics for Si-based MOSFET are in good agreement with theoretical and experimental results. Our results show that I{sub d} of InP-based MOSFET is about 2 times that of Si-based MOSFET. We simulated the diagrams of longitudinal and transverse electric fields, conduction band edge, average electron velocity, and average electron energy for Si-based MOSFET and compared the results with those for InP-based MOSFET. Our results, as was expected, show that the transverse electric field, the conduction band edge, the electron velocity, and the electron energy in a channel in the InP-based MOSFET are greater than those for Si-based MOSFET. But the longitudinal electric field behaves differently at different points of the channel.
The modulation of Schottky barriers of metal-MoS2 contacts via BN-MoS2 heterostructures.
Su, Jie; Feng, Liping; Zhang, Yan; Liu, Zhengtang
2016-06-22
Using first-principles calculations within density functional theory, we systematically studied the effect of BN-MoS2 heterostructure on the Schottky barriers of metal-MoS2 contacts. Two types of FETs are designed according to the area of the BN-MoS2 heterostructure. Results show that the vertical and lateral Schottky barriers in all the studied contacts, irrespective of the work function of the metal, are significantly reduced or even vanish when the BN-MoS2 heterostructure substitutes the monolayer MoS2. Only the n-type lateral Schottky barrier of Au/BN-MoS2 contact relates to the area of the BN-MoS2 heterostructure. Notably, the Pt-MoS2 contact with n-type character is transformed into a p-type contact upon substituting the monolayer MoS2 by a BN-MoS2 heterostructure. These changes of the contact natures are ascribed to the variation of Fermi level pinning, work function and charge distribution. Analysis demonstrates that the Fermi level pinning effects are significantly weakened for metal/BN-MoS2 contacts because no gap states dominated by MoS2 are formed, in contrast to those of metal-MoS2 contacts. Although additional BN layers reduce the interlayer interaction and the work function of the metal, the Schottky barriers of metal/BN-MoS2 contacts still do not obey the Schottky-Mott rule. Moreover, different from metal-MoS2 contacts, the charges transfer from electrodes to the monolayer MoS2, resulting in an increment of the work function of these metals in metal/BN-MoS2 contacts. These findings may prove to be instrumental in the future design of new MoS2-based FETs with ohmic contact or p-type character.
1-kV vertical Ga2O3 field-plated Schottky barrier diodes
Konishi, Keita; Goto, Ken; Murakami, Hisashi; Kumagai, Yoshinao; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka
2017-03-01
Ga2O3 field-plated Schottky barrier diodes (FP-SBDs) were fabricated on a Si-doped n--Ga2O3 drift layer grown by halide vapor phase epitaxy on a Sn-doped n+-Ga2O3 (001) substrate. The specific on-resistance of the Ga2O3 FP-SBD was estimated to be 5.1 mΩ.cm2. Successful field-plate engineering resulted in a high breakdown voltage of 1076 V. A larger-than-expected effective barrier height of 1.46 eV, which was extracted from the temperature-dependent current-voltage characteristics, could be caused by the effect of fluorine atoms delivered in a hydrofluoric acid solution process.
Aikawa, Masaki; Onuki, Yuya; Hayasaka, Natsuki; Nishiyama, Tetsuo; Kamada, Naoki; Han, Xu; Kallarasan Periyanayagam, Gandhi; Uchida, Kazuki; Sugiyama, Hirokazu; Shimomura, Kazuhiko
2018-02-01
The bonding-temperature-dependent lasing characteristics of 1.5 a µm GaInAsP laser diode (LD) grown on a directly bonded InP/Si substrate were successfully obtained. We have fabricated the InP/Si substrate using a direct hydrophilic wafer bonding technique at bonding temperatures of 350, 400, and 450 °C, and deposited GaInAsP/InP double heterostructure layers on this InP/Si substrate. The surface conditions, X-ray diffraction (XRD) analysis, photoluminescence (PL) spectra, and electrical characteristics after the growth were compared at these bonding temperatures. No significant differences were confirmed in X-ray diffraction analysis and PL spectra at these bonding temperatures. We realized the room-temperature lasing of the GaInAsP LD on the InP/Si substrate bonded at 350 and 400 °C. The threshold current densities were 4.65 kA/cm2 at 350 °C and 4.38 kA/cm2 at 400 °C. The electrical resistance was found to increase with annealing temperature.
International Nuclear Information System (INIS)
Sun, Y. T.; Omanakuttan, G.; Lourdudoss, S.
2015-01-01
An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reduction effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm 2 at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm 2 , an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon
International Nuclear Information System (INIS)
Ohno, Masahide
2011-01-01
Highlights: → The Si 2p coincidence photoelectron spectroscopy (PES) main line of Si(1 0 0) is calculated. → The PES main line shows an asymmetric line shape change compared to the singles one. → The narrowing of the coincidence Si 2p PES main line is well reproduced. → The inherent mechanism of APECS is explained by a many-body theory. - Abstract: The Si 2p photoelectron spectroscopy (PES) main line of Si(1 0 0) surface measured in coincidence with the singles (noncoincidence) Si L 2,3 -VV Auger-electron spectroscopy (AES) elastic peak is calculated. The agreement with the experiment is good. The present work is the first many-body calculation of the experimental coincidence PES spectrum of solid surface. The narrowing of the coincidence Si 2p PES main line compared to the singles one is due to the mechanism inherent in the coincidence PES. The inherent mechanism is explained by a many-body theory by which photoemission and Auger-electron emission are treated on the same footing.
Photoelectrochemical study of Si type P
International Nuclear Information System (INIS)
Ferreira, J.L.; Decker, F.
1984-01-01
Electrochemical reductions were studied at p-Si electrode under illumination and in the dark. Charge transfer mechanisms from the conduction and the valence band to different redox ions in solution were distinguished. Photoelectrochemical solar energy conversion has been studied as a particular case. (C.L.B.) [pt
Mönch, Winfried
2016-09-01
Graphene-semiconductor contacts exhibit rectifying properties and, in this respect, they behave in exactly the same way as a "conventional" metal-semiconductor or Schottky contacts. It will be demonstrated that, as often assumed, the Schottky-Mott rule does not describe the reported barrier heights of graphene-semiconductor contacts. With "conventional" Schottky contacts, the same conclusion was reached already in 1940. The physical reason is that the Schottky-Mott rule considers no interaction between the metal and the semiconductor. The barrier heights of "conventional" Schottky contacts were explained by the continuum of metal-induced gap states (MIGSs), where the differences of the metal and semiconductor electronegativities describe the size and the sign of the intrinsic electric-dipoles at the interfaces. It is demonstrated that the MIGS-and-electronegativity concept unambiguously also explains the experimentally observed barrier heights of graphene Schottky contacts. This conclusion includes also the barrier heights reported for MoS2 Schottky contacts with "conventional" metals as well as with graphene.
Magneto-transport phenomena in metal/SiO2/n(p)-Si hybrid structures
Volkov, N. V.; Tarasov, A. S.; Rautskii, M. V.; Lukyanenko, A. V.; Bondarev, I. A.; Varnakov, S. N.; Ovchinnikov, S. G.
2018-04-01
Present review touches upon a subject of magnetotransport phenomena in hybrid structures which consist of ferromagnetic or nonmagnetic metal layer, layer of silicon oxide and silicon substrate with n- or p-type conductivity. Main attention will be paid to a number gigantic magnetotransport effects discovered in the devices fabricated on the base of the M/SiO2/n(p)-Si (M is ferromagnetic or paramagnetic metal) hybrid structures. These effects include bias induced dc magnetoresistance, gigantic magnetoimpedance, dc magnetoresistance induced by an optical irradiation and lateral magneto-photo-voltaic effect. The magnetoresistance ratio in ac and dc modes for some of our devices can exceed 106% in a magnetic field below 1 T. For lateral magneto-photo-voltaic effect, the relative change of photo-voltage in magnetic field can reach 103% at low temperature. Two types of mechanisms are responsible for sensitivity of the transport properties of the silicon based hybrid structures to magnetic field. One is related to transformation of the energy structure of the (donor) acceptor states including states near SiO2/n(p)-Si interface in magnetic field. Other mechanism is caused by the Lorentz force action. The features in behaviour of magnetotransport effects in concrete device depend on composition of the used structure, device topology and experimental conditions (bias voltage, optical radiation and others). Obtained results can be base for design of some electronic devices driven by a magnetic field. They can also provide an enhancement of the functionality for existing sensors.
Double transparent conducting layers for Si photovoltaics
Energy Technology Data Exchange (ETDEWEB)
Yun, Ju-Hyung [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States); Kim, Joondong, E-mail: joonkim@incheon.ac.kr [Department of Electrical Engineering, Incheon National University, Incheon, 406772 (Korea, Republic of); Park, Yun Chang [Measurement and Analysis Division, National Nanofab Center (NNFC), Daejeon 305806 (Korea, Republic of); Moon, Sang-Jin [Energy Materials Research Center, Korea Research Institute of Chemical Technology (KRICT), Daejeon 305-600 (Korea, Republic of); Anderson, Wayne A. [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States)
2013-11-29
Double transparent conductive oxide (TCO) film-embedded Si heterojunction solar cells were fabricated. An intentional doping was not applied for heterojunction solar cells due to the spontaneous Schottky junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedded Si (ITO/AZO/Si) heterojunction solar cell provided significantly enhanced efficiency of 9.23 % as compared to the single TCO/Si (ITO/Si or AZO/Si) devices due to the optical and the electrical benefits. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme for an effective TCO film-embedded heterojunction Si solar cell. - Highlights: • Double transparent conducting oxide films form a heterojunction to Si. • A quality indium-tin-oxide film was grown above an Al-doped zinc oxide template. • Heterojunction Si solar cell was made without an intentional doping process.
Double transparent conducting layers for Si photovoltaics
International Nuclear Information System (INIS)
Yun, Ju-Hyung; Kim, Joondong; Park, Yun Chang; Moon, Sang-Jin; Anderson, Wayne A.
2013-01-01
Double transparent conductive oxide (TCO) film-embedded Si heterojunction solar cells were fabricated. An intentional doping was not applied for heterojunction solar cells due to the spontaneous Schottky junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedded Si (ITO/AZO/Si) heterojunction solar cell provided significantly enhanced efficiency of 9.23 % as compared to the single TCO/Si (ITO/Si or AZO/Si) devices due to the optical and the electrical benefits. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme for an effective TCO film-embedded heterojunction Si solar cell. - Highlights: • Double transparent conducting oxide films form a heterojunction to Si. • A quality indium-tin-oxide film was grown above an Al-doped zinc oxide template. • Heterojunction Si solar cell was made without an intentional doping process
International Nuclear Information System (INIS)
Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.
2009-01-01
Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.
Metal-semiconductor Schottky barrier junctions and their applications
1984-01-01
The present-day semiconductor technology would be inconceivable without extensive use of Schottky barrier junctions. In spite of an excellent book by Professor E.H. Rhoderick (1978) dealing with the basic principles of metal semiconductor contacts and a few recent review articles, the need for a monograph on "Metal-Semiconductor Schottky Barrier Junctions and Their Applications" has long been felt by students, researchers, and technologists. It was in this context that the idea of publishing such a monograph by Mr. Ellis H. Rosenberg, Senior Editor, Plenum Publishing Corporation, was considered very timely. Due to the numerous and varied applications of Schottky barrier junctions, the task of bringing it out, however, looked difficult in the beginning. After discussions at various levels, it was deemed appropriate to include only those typical applications which were extremely rich in R&D and still posed many challenges so that it could be brought out in the stipulated time frame. Keeping in view the la...
Epitaxial growth of InP on SI by MOCVD
International Nuclear Information System (INIS)
Konushi, F.; Seki, A.; Kudo, J.; Sato, H.; Kakimoto, S.; Fukushima, T.; Kubota, Y.; Koba, M.
1988-01-01
The authors have studied the heteroepitaxial growth of InP on large diameter Si substrates using MOCVD. A new MOCVD system with four inch wafer size capability was utilized in the growth. Single domain InP films have been successfully grown on four inch Si substrates by using a new heterostructure with a thin GaAs intermediate layer. In this paper, the authors describe the crystalline quality and residual stress of InP epilayers, estimated by etch pit density and x-ray diffraction, respectively. The authors also reports on the reduction of EPD by post-growth annealing
Meeldis. Mõjus. Mis edasi? / Mati Unt
Unt, Mati, 1944-2005
2004-01-01
Artiklis räägitakse peamiselt Evald Hermaküla lavastusest "Tuul Olümposelt tuhka tõi" (Eesti Draamateater, 1986), mis pakkus Mati Undile toona viimase aja suurima teatrielamuse, aga ka sellest, et teatrisemiootikud pole senini saavutanud üksmeelt, mis on ikkagi etenduse segment
Schottky contacts to polar and nonpolar n-type GaN
Energy Technology Data Exchange (ETDEWEB)
Kim, Hogyoung [Hanbat National University, Daejeon (Korea, Republic of); Phark, Soohyon [Max-Planck-Institut fur Mikrostrukturphysik, Halle (Germany); Song, Keunman [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of); Kim, Dongwook [Ewha Woman' s University, Seoul (Korea, Republic of)
2012-01-15
Using the current-voltage measurements, we observed the barrier heights of c-plane GaN in Pt and Au Schottky contacts to be higher than those of a-plane GaN. However, the barrier height of c-plane GaN was lower than that of a-plane GaN in the Ti Schottky contacts. The N/Ga ratio calculated by integrating the X-ray photoelectron spectroscopy (XPS) spectra of Ga 3d and N 1s core levels showed that c-plane GaN induced more Ga vacancies near the interface than a-plane GaN in the Ti Schottky contacts, reducing the effective barrier height through an enhancement of the tunneling probability.
The Co-60 gamma-ray irradiation effects on the Al/HfSiO4/p-Si/Al MOS capacitors
Lok, R.; Kaya, S.; Karacali, H.; Yilmaz, E.
2017-12-01
In this work, the initial interface trap density (Nit) to examine device compability for microelectronics and then the Co-60 gamma irradiation responses of Al/HfSiO4/p-Si/Al (MOS) capacitors were investigated in various dose ranges up to 70 Gy. Pre-irradiation response of the devices was evaluated from high frequency (HF) and low frequency (LF) capacitance method and the Nit was calculated as 9.91 × 1011 cm-2 which shows that the HfSiO4/p-Si interface quality is convenient for microelectronics applications. The irradiation responses of the devices were carried out from flat-band and mid-gap voltage shifts obtained from stretch of capacitance characteristics prior to and after irradiation. The results show that the flat band voltages very slightly shifted to positive voltage values demonstrating the enhancement of negative charge trapping in device structure. The sensitivity of the Al/HfSiO4/p-Si/Al MOS capacitors was found to be 4.41 mV/Gy for 300 nm-thick HfSiO4 gate dielectrics. This value approximately 6.5 times smaller compared to the same thickness conventional SiO2 based MOS devices. Therefore, HfSiO4 exhibits crucial irradiation tolerance in gamma irradiation environment. Consequently, HfSiO4 dielectrics may have significant usage for microelectronic technology as a radiation hard material where radiation field exists such as in space applications.
Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.
Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih
2013-11-22
Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.
Novel field-effect schottky barrier transistors based on graphene-MoS 2 heterojunctions
Tian, He
2014-08-11
Recently, two-dimensional materials such as molybdenum disulphide (MoS 2) have been demonstrated to realize field effect transistors (FET) with a large current on-off ratio. However, the carrier mobility in backgate MoS2 FET is rather low (typically 0.5-20 cm2/V.s). Here, we report a novel field-effect Schottky barrier transistors (FESBT) based on graphene-MoS2 heterojunction (GMH), where the characteristics of high mobility from graphene and high on-off ratio from MoS2 are properly balanced in the novel transistors. Large modulation on the device current (on/off ratio of 105) is achieved by adjusting the backgate (through 300 nm SiO2) voltage to modulate the graphene-MoS2 Schottky barrier. Moreover, the field effective mobility of the FESBT is up to 58.7 cm2/V.s. Our theoretical analysis shows that if the thickness of oxide is further reduced, a subthreshold swing (SS) of 40 mV/decade can be maintained within three orders of drain current at room temperature. This provides an opportunity to overcome the limitation of 60 mV/decade for conventional CMOS devices. The FESBT implemented with a high on-off ratio, a relatively high mobility and a low subthreshold promises low-voltage and low-power applications for future electronics.
Novel Field-Effect Schottky Barrier Transistors Based on Graphene-MoS2 Heterojunctions
Tian, He; Tan, Zhen; Wu, Can; Wang, Xiaomu; Mohammad, Mohammad Ali; Xie, Dan; Yang, Yi; Wang, Jing; Li, Lain-Jong; Xu, Jun; Ren, Tian-Ling
2014-01-01
Recently, two-dimensional materials such as molybdenum disulphide (MoS2) have been demonstrated to realize field effect transistors (FET) with a large current on-off ratio. However, the carrier mobility in backgate MoS2 FET is rather low (typically 0.5–20 cm2/V·s). Here, we report a novel field-effect Schottky barrier transistors (FESBT) based on graphene-MoS2 heterojunction (GMH), where the characteristics of high mobility from graphene and high on-off ratio from MoS2 are properly balanced in the novel transistors. Large modulation on the device current (on/off ratio of 105) is achieved by adjusting the backgate (through 300 nm SiO2) voltage to modulate the graphene-MoS2 Schottky barrier. Moreover, the field effective mobility of the FESBT is up to 58.7 cm2/V·s. Our theoretical analysis shows that if the thickness of oxide is further reduced, a subthreshold swing (SS) of 40 mV/decade can be maintained within three orders of drain current at room temperature. This provides an opportunity to overcome the limitation of 60 mV/decade for conventional CMOS devices. The FESBT implemented with a high on-off ratio, a relatively high mobility and a low subthreshold promises low-voltage and low-power applications for future electronics. PMID:25109609
National Research Council Canada - National Science Library
Crofton, John
2000-01-01
Alloys of aluminum (Al) have previously been used as ohmic contacts to p-type SiC, however the characteristics and performance of these contacts is drastically affected by the type and composition of the Al alloy...
Growth of InP directly on Si by corrugated epitaxial lateral overgrowth
International Nuclear Information System (INIS)
Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian
2015-01-01
In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)
Role of electrode metallization in the performance of bulk semi-insulating InP radiation detectors
International Nuclear Information System (INIS)
Zatko, B.; Dubecky, F.; Prochazkova, O.; Necas, V.
2007-01-01
This work deals with the study of three different electrode metallizations with the aim to form a Schottky barrier contact. Electrode geometry corresponds to the requirements of digital radiography systems. As substrates bulk Liquid Encapsulated Czochralski (LEC) SI InP wafers doped with Fe and Fe+Zn are used. Results of this study show that no one of the used metallization performs as a blocking contact. However, detectors with Ti/Pt/Au metallization attained a relatively good energy resolution of 7.0 keV in full-width at half-maximum (FWHM) and the charge collection efficiency (CCE) higher than 83% for 122 keV γ-photons at 255 K. The development of SI InP radiation detectors and in particular their electrode technology is discussed in the light of observed results
Schottky barrier diode embedded AlGaN/GaN switching transistor
International Nuclear Information System (INIS)
Park, Bong-Ryeol; Lee, Jung-Yeon; Lee, Jae-Gil; Lee, Dong-Myung; Cha, Ho-Young; Kim, Moon-Kyung
2013-01-01
We developed a Schottky barrier diode (SBD) embedded AlGaN/GaN switching transistor to allow negative current flow during off-state condition. An SBD was embedded in a recessed normally-off AlGaN/GaN-on-Si metal-oxide-semiconductor heterostructure field-effect transistor (MOSHFET). The fabricated device exhibited normally-off characteristics with a gate threshold voltage of 2.8 V, a diode turn-on voltage of 1.2 V, and a breakdown voltage of 849 V for the anode-to-drain distance of 8 µm. An on-resistance of 2.66 mΩcm 2 was achieved at a gate voltage of 16 V in the forward transistor mode. Eliminating the need for an external diode, the SBD embedded switching transistor has advantages of significant reduction in parasitic inductance and chip area. (paper)
Directory of Open Access Journals (Sweden)
Hassan Maktuff Jaber Al-Ta'ii
2015-02-01
Full Text Available Many types of materials such as inorganic semiconductors have been employed as detectors for nuclear radiation, the importance of which has increased significantly due to recent nuclear catastrophes. Despite the many advantages of this type of materials, the ability to measure direct cellular or biological responses to radiation might improve detector sensitivity. In this context, semiconducting organic materials such as deoxyribonucleic acid or DNA have been studied in recent years. This was established by studying the varying electronic properties of DNA-metal or semiconductor junctions when exposed to radiation. In this work, we investigated the electronics of aluminium (Al/DNA/silicon (Si rectifying junctions using their current-voltage (I-V characteristics when exposed to alpha radiation. Diode parameters such as ideality factor, barrier height and series resistance were determined for different irradiation times. The observed results show significant changes with exposure time or total dosage received. An increased deviation from ideal diode conditions (7.2 to 18.0 was observed when they were bombarded with alpha particles for up to 40 min. Using the conventional technique, barrier height values were observed to generally increase after 2, 6, 10, 20 and 30 min of radiation. The same trend was seen in the values of the series resistance (0.5889–1.423 Ω for 2–8 min. These changes in the electronic properties of the DNA/Si junctions could therefore be utilized in the construction of sensitive alpha particle detectors.
The properties of transparent TiO2 films for Schottky photodetector
Directory of Open Access Journals (Sweden)
Sung-Ho Park
2017-08-01
Full Text Available In this data, the properties of transparent TiO2 film for Schottky photodetector are presented for the research article, entitled as “High-performing transparent photodetectors based on Schottky contacts” (Patel et al., 2017 [1]. The transparent photoelectric device was demonstrated by using various Schottky metals, such as Cu, Mo and Ni. This article mainly shows the optical transmittance of the Ni-transparent Schottky photodetector, analyzed by the energy dispersive spectroscopy and interfacial TEM images for transparency to observe the interface between NiO and TiO2 film. The observation and analyses clearly show that no pinhole formation in the TiO2 film by Ni diffusion. The rapid thermal process is an effective way to form the quality TiO2 film formation without degradation, such as pinholes (Qiu et al., 2015 [2]. This thermal process may apply to form functional metal oxide layers for solar cells and photodetectors.
Spatially inhomogeneous barrier height in graphene/MoS2 Schottky junctions
Tomer, Dushyant; Rajput, Shivani; Li, Lian
Graphene interfaced with a semiconductor forms a Schottky junction with rectifying properties. In this study, graphene Schottky junctions are fabricated by transferring CVD monolayer graphene on mechanically exfoliated MoS2 multilayers. The forward bias current-voltage characteristics are measured in the temperature range of 210-300 K. An increase in the zero bias barrier height and decrease in the ideality factor are observed with increasing temperature. Such behavior is attributed to Schottky barrier inhomogeneities possibly due to graphene ripples and ridges at the junction interface as suggested by atomic force microscopy. Assuming a Gaussian distribution of the barrier height, mean barrier of 0.97+/-0.10 eV is found for the graphene MoS2 junction. Our findings provide significant insight on the barrier height inhomogeneities in graphene/two dimensional semiconductor Schottky junctions. U.S. Department of Energy, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering Award No. DEFG02-07ER46228.
Summer Student Report 2014: Schottky component qualification and RF filter characterization
Egidos Plaja, Nuria
2014-01-01
This Summer Student project has been developed in BE-BI-QP department under the supervision of Manfred Wendt. Main goals of the task to be performed are the following: 1)\tFilter characterization: the student will get familiar with the Vector Network Analizer (VNA), S-parameter measurement and PSPICE modelling of low-pass filters. 2)\tFilter response matching: an algorithm to compare and classify filter responses into best-matching pairs will be developed. 3)\tSchottky monitor filter qualification: S-parameter and time domain measurements will be carried out with filters related to Schottky monitor and results will be benchmarked. 4)\tSchottky monitor amplifier measurement: noise figure and gain at a given frequency will be measured for a set of Low Noise Amplifiers related to Schottky monitor. -1dB compression point and 3rd order interception point will be measured too for education purposes. For the development of this project, the student will get familiar with RF measure devices (VNA, VSA), theoretical concep...
Measurement of the {sup 26}Si(p,γ){sup 27}P cross section via the Coulomb dissociation of {sup 27}P
Energy Technology Data Exchange (ETDEWEB)
Marganiec, Justyna [TU Darmstadt (Germany); EMMI-GSI Darmstadt (Germany); GSI Darmstadt (Germany); Beceiro-Novo, Saul; Cortina Gil, Dolores [Universidade de Santiago de Compostela (Spain); Typel, Stefan; Heil, Michael; Suemmerer, Klaus [GSI Darmstadt (Germany); Wimmer, Christine [Goethe-Universitaet, Frankfurt am Main (Germany); Aumann, Thomas [TU Darmstadt (Germany); GSI Darmstadt (Germany); Collaboration: R3B-Collaboration
2015-07-01
The reaction {sup 26}Si(p,γ){sup 27}P can, under certain conditions, be significant in the context of the astrophysical rp process. Since {sup 26}Si has a short half-life, the reaction was investigated via the time-reversed process, the Coulomb dissociation (CD) of {sup 27}P into {sup 26}Si and proton. The differential CD cross sections can be converted to radiative-capture cross sections via virtual-photon theory and detailed balance. The experiment was performed at the LAND/R{sup 3}B setup at GSI Darmstadt. The secondary {sup 27}P beam was produced by fragmentation of {sup 36}Ar and impinged onto a Pb target. The incoming beam particles and outgoing reaction products were identified and tracked event by event. Corrections were applied to select only transitions directly to the {sup 26}Si ground state and to remove contributions from nuclear processes and reactions in layers outside the target. The results are compared to potential-model calculations of the CD of {sup 27}P. Consequences for the astrophysical rp process are discussed.
International Nuclear Information System (INIS)
Flynn, D.S.; Sekharan, K.K.; Hiller, B.A.; Laumer, H.; Weil, J.L.; Gabbard, F.
1978-01-01
The total neutron production cross sections for the 23 Na(p,n) 23 Mg, 27 Al(p,n) 27 Si, 27 Al(α,n) 30 P, 29 Si(α,n) 32 S, and 30 Si(α,n) 33 S reactions have been measured for bombarding energies from threshold to 6.3 MeV. The neutron detector was a 60-cm diameter sphere of polyethylene with eight 10 BF 3 counters and was insensitive to the angle and energy of the emitted neutrons. Cross sections for inverse reactions have been obtained using the principle of detailed balance. The data have been used to determine parameters for statistical model calculations to facilitate extrapolation of cross sections to higher bombarding energies. These reactions are relevant to problems of nucleosynthesis and stellar evolution and to studies of radiation damage. Nucleosynthesis reaction rates, N/sub A/(sigmav), were determined for the reactions studied and are tabulated for temperatures ranging from 0.4 x 10 9 to 10.0 x 10 9 K
Schottky diode model for non-parabolic dispersion in narrow-gap semiconductor and few-layer graphene
Ang, Yee Sin; Ang, L. K.; Zubair, M.
Despite the fact that the energy dispersions are highly non-parabolic in many Schottky interfaces made up of 2D material, experimental results are often interpreted using the conventional Schottky diode equation which, contradictorily, assumes a parabolic energy dispersion. In this work, the Schottky diode equation is derived for narrow-gap semiconductor and few-layer graphene where the energy dispersions are highly non-parabolic. Based on Kane's non-parabolic band model, we obtained a more general Kane-Schottky scaling relation of J (T2 + γkBT3) which connects the contrasting J T2 in the conventional Schottky interface and the J T3 scaling in graphene-based Schottky interface via a non-parabolicity parameter, γ. For N-layer graphene of ABC -stacking and of ABA -stacking, the scaling relation follows J T 2 / N + 1 and J T3 respectively. Intriguingly, the Richardson constant extracted from the experimental data using an incorrect scaling can differ with the actual value by more than two orders of magnitude. Our results highlights the importance of using the correct scaling relation in order to accurately extract important physical properties, such as the Richardson constant and the Schottky barrier's height.
Heterojunction photodetector based on graphene oxide sandwiched between ITO and p-Si
Ahmad, H.; Tajdidzadeh, M.; Thandavan, T. M. K.
2018-02-01
The drop casting method is utilized on indium tin oxide (ITO)-coated glass in order to prepare a sandwiched ITO/graphene oxide (ITO/GO) with silicon dioxide/p-type silicon (SiO2/p-Si) heterojunction photodetector. The partially sandwiched GO layer with SiO2/p-Si substrate exhibits dual characteristics as it showed good sensitivity towards the illumination of infrared (IR) laser at wavelength of 974 nm. Excellent photoconduction is also observed for current-voltage (I-V) characteristics at various laser powers. An external quantum efficiency greater than 1 for a direct current bias voltage of 0 and 3 V reveals significant photoresponsivity of the photodetector at various laser frequency modulation at 1, 5 and 9 Hz. The rise times are found to be 75, 72 and 70 μs for 1, 5 and 9 Hz while high fall times 455, 448 and 426 are measured for the respective frequency modulation. The fabricated ITO/GO-SiO2/p-Si sandwiched heterojunction photodetector can be considered as a good candidate for applications in the IR regions that do not require a high-speed response.
Mis on Eesti hariduse eesmärk? / Enn Vatter
Vatter, Enn
2003-01-01
Autori arvates ei suudeta valimiseelsetes vaidlustes kokku leppida eelistusis hariduselus. Autor küsib, mis kooli- ja haridustemaatikas peaeesmärk ning mis on alameesmärgid, mis enne peaeesmärgi saavutamist on vaja kätte saada
International Nuclear Information System (INIS)
Kakiuchi, Takuhiro; Nagaoka, Shinichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko
2010-01-01
Valence electronic structures of a clean Si(100)-2x1 surface are investigated in a surface-site-selective way using Si-L 23 VV Auger electron-Si-2p photoelectron coincidence spectroscopy. The Si-L 23 VV Auger electron spectra measured in coincidence with Si-2p photoelectrons emitted from the Si up-atoms or Si 2nd-layer of Si(100)-2x1 suggest that the position where the highest density of valence electronic states located in the vicinity of the Si up-atoms is shifted by 0.8 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. Furthermore, the valence band maximum in the vicinity of the Si up-atoms is indicated to be shifted by 0.1 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. These results are direct evidence of the transfer of negative charge from the Si 2nd-layer to the Si up-atoms. (author)
Room-temperature annealing of Si implantation damage in InP
International Nuclear Information System (INIS)
Akano, U.G.; Mitchell, I.V.
1991-01-01
Spontaneous recovery at 295 K of Si implant damage in InP is reported. InP(Zn) and InP(S) wafers of (100) orientation have been implanted at room temperature with 600 keV Si + ions to doses ranging from 3.6x10 11 to 2x10 14 cm -2 . Room-temperature annealing of the resultant damage has been monitored by the Rutherford backscattering/channeling technique. For Si doses ≤4x10 13 cm -2 , up to 70% of the initial damage (displaced atoms) annealed out over a period of ∼85 days. The degree of recovery was found to depend on the initial level of damage. Recovery is characterized by at least two time constants t 1 2 ∼100 days. Anneal rates observed between 295 and 375 K are consistent with an activation energy of 1.2 eV, suggesting that the migration of implant-induced vacancies is associated with the reordering of the InP lattice
Rectifying magnetic tunnel diode like behavior in Co2MnSi/ZnO/p-Si heterostructure
Maji, Nilay; Nath, T. K.
2018-04-01
The rectifying magnetic tunnel diode like behavior has been observed in Co2MnSi/ZnO/p-Si heterostructure. At first an ultra thin layer of ZnO has been deposited on p-Si (100) substrate with the help of pulsed laser deposition (PLD). After that a highly spin-polarized Heusler alloy Co2MnSi (CMS) film (250 nm) has been grown on ZnO/p-Si using electron beam physical vapor deposition technique. The phase purity of the sample has been confirmed through high resolution X-Ray diffraction technique. The electrical transport properties have been investigated at various isothermal conditions in the temperature range of 77-300 K. The current-voltage characteristics exhibit an excellent rectifying tunnel diode like behavior throughout the temperature regime. The current (I) across the junction has been found to decrease with the application of an external magnetic field parallel to the plane of the CMS film clearly indicating positive junction magnetoresistance (JMR) of the heterostructure. The magnetic field dependent JMR behavior of our heterostructure has been investigated in the same temperature range. Our heterostructure clearly demonstrates a giant positive JMR at 78 K (˜264%) and it starts decreasing with increasing temperature. If we compare our results with earlier reported results on other heterostructures, it can be seen that the JMR value for our heterojunction saturates at a much lower external magnetic field, thus creating it a better alternative for spin tunnel diodes in upcoming spintronics device applications.
Defect-driven inhomogeneities in Ni /4H-SiC Schottky barriers
Tumakha, S.; Ewing, D. J.; Porter, L. M.; Wahab, Q.; Ma, X.; Sudharshan, T. S.; Brillson, L. J.
2005-12-01
Nanoscale depth-resolved cathodoluminescence spectroscopy (DRCLS) of Ni diode arrays on 4H-SiC epitaxial wafers reveals a striking correspondence between deep level defects and electrical transport measurements on a diode-by-diode basis. Current-voltage measurements display both ideal and nonideal diode characteristics due to multiple barriers within individual contacts. Near-interface DRCLS demonstrates the presence of three discrete midgap defect levels with 2.2, 2.45, and 2.65eV emission energies whose concentrations vary on a submicron scale among and within individual diodes, correlating with barrier inhomogeneity. These results also suggest that SiC native defect levels can account for the maximum range of n-type barrier heights.
Liu, Yanhong; Wang, Ruoying; Li, Zhongyue; Wang, Song; Huang, Yang; Peng, Wei
2018-04-01
We proposed and fabricated an Ag/SiO x /p-Si sandwich structure, in which amorphous SiO x films were deposited through hot wire chemical vapor deposition (HWCVD) using tetraethylorthosilicate (TEOS) as Si and O precursor. Experimental results indicate that the I–V properties of this structure transfer from non-switching to switching operation as the SiO x deposition temperature increased. The device with SiO x deposited at high deposition temperature exhibits typical bipolar switching properties, which can be potentially used in resistive switching random accessible memory (RRAM). The transferring mechanism from non-switching to switching can be ascribed to the change of structural and electronic properties of SiO x active layer deposited at different temperatures, as evidenced by analyzing FTIR spectrum and fitting its I–V characteristics curves. This work demonstrates a safe and practicable low-temperature device-grade SiO x film deposition technology by conducting HWCVD from TEOS.
International Nuclear Information System (INIS)
Kakiuchi, Takuhiro; Tahara, Masashi; Nagaoka, Shin-ichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko
2011-01-01
Valence electronic states of a clean Si(111)-7x7 surface are investigated in a surface-site-selective way using high-resolution coincidence measurements of Si pVV Auger electrons and Si 2p photoelectrons. The Si L 23 VV Auger electron spectra measured in coincidence with energy-selected Si 2p photoelectrons show that the valence band at the highest density of states in the vicinity of the rest atoms is shifted by ∼0.95 eV toward the Fermi level (E F ) relative to that in the vicinity of the pedestal atoms (atoms directly bonded to the adatoms). The valence-band maximum in the vicinity of the rest atoms, on the other hand, is shown to be shifted by ∼0.53 eV toward E F relative to that in the vicinity of the pedestal atoms. The Si 2p photoelectron spectra of Si(111)-7x7 measured in coincidence with energy-selected Si L 23 VV Auger electrons identify the topmost surface components, and suggest that the dimers and the rest atoms are negatively charged while the pedestal atoms are positively charged. Furthermore, the Si 2p-Si L 23 VV photoelectron Auger coincidence spectroscopy directly verifies that the adatom Si 2p component (usually denoted by C 3 ) is correlated with the surface state just below E F (usually denoted by S 1 ), as has been observed in previous angle-resolved photoelectron spectroscopy studies.
High performance Schottky diodes based on indium-gallium-zinc-oxide
Energy Technology Data Exchange (ETDEWEB)
Zhang, Jiawei; Song, Aimin, E-mail: A.Song@manchester.ac.uk [School of Electrical and Electronic Engineering, University of Manchester, Manchester M13 9PL (United Kingdom); Xin, Qian [School of Physics, Shandong University, Jinan 250100 (China)
2016-07-15
Indium-gallium-zinc-oxide (IGZO) Schottky diodes exhibit excellent performance in comparison with conventional devices used in future flexible high frequency electronics. In this work, a high performance Pt IGZO Schottky diode was presented by using a new fabrication process. An argon/oxygen mixture gas was introduced during the deposition of the Pt layer to reduce the oxygen deficiency at the Schottky interface. The diode showed a high barrier height of 0.92 eV and a low ideality factor of 1.36 from the current–voltage characteristics. Even the radius of the active area was 0.1 mm, and the diode showed a cut-off frequency of 6 MHz in the rectifier circuit. Using the diode as a demodulator, a potential application was also demonstrated in this work.
Pentacene-based photodiode with Schottky junction
International Nuclear Information System (INIS)
Lee, Jiyoul; Hwang, D.K.; Park, C.H.; Kim, S.S.; Im, Seongil
2004-01-01
We have fabricated a metal/organic semiconductor Schottky photodiode based on Al/pentacene junction. Since the energy band gap of thin solid pentacene was determined to be 1.82 eV, as characterized by direct absorption spectroscopy, we measured spectral photoresponses on our Schottky photodiode in the monochromatic light illumination range of 325-650 nm applying a reverse bias of -2 V. The main features of photo-response spectra were found to shift from those of direct absorption spectra toward higher photon energies. It is because the direct absorption spectra mainly show exciton level peaks rather than the true highest occupied molecular orbital (HOMO)-lowest unoccupied molecular orbital (LUMO) gaps while the photo-response spectra clearly represents the true HOMO-LUMO gap. Our photo-response spectra reveal 1.97 eV as the HOMO-LUMO gap
GaAs detectors with an ultra-thin Schottky contact for spectrometry of charged particles
Energy Technology Data Exchange (ETDEWEB)
Chernykh, S.V., E-mail: chsv_84@mail.ru [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Chernykh, A.V. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Didenko, S.I.; Baryshnikov, F.M. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Burtebayev, N. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan); Britvich, G.I. [Institute of High Energy Physics, Protvino, Moscow region (Russian Federation); Chubenko, A.P. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow (Russian Federation); Guly, V.G.; Glybin, Yu.N. [LLC “SNIIP Plus”, Moscow (Russian Federation); Zholdybayev, T.K.; Burtebayeva, J.T.; Nassurlla, M. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan)
2017-02-11
For the first time, samples of particle detectors based on high-purity GaAs epilayers with an active area of 25 and 80 mm{sup 2} and an ultra-thin Pt Schottky barrier were fabricated for use in the spectrometry of charged particles and their operating characteristics were studied. The obtained FWHM of 14.2 (for 25 mm{sup 2} detector) and 15.5 keV (for 80 mm{sup 2} detector) on the 5.499 MeV line of {sup 238}Pu is at the level of silicon spectrometric detectors. It was found that the main component that determines the energy resolution of the detector is a fluctuation in the number of collected electron–hole pairs. This allows us to state that the obtained energy resolution is close to the limit for VPE GaAs. - Highlights: • VPE GaAs particle detectors with an active area of 25 and 80 mm{sup 2} were fabricated. • 120 Å ultra-thin Pt Schottky barrier was used as a rectifying contact. • The obtained FWHM of 14.2 keV ({sup 238}Pu) is at the level of Si spectrometric detectors. • Various components of the total energy resolution were analyzed. • It was shown that obtained energy resolution is close to its limit for VPE GaAs.
Effect of Al and AlP on the microstructure of Mn-30 wt.%Si alloy
Energy Technology Data Exchange (ETDEWEB)
Wu Yuying [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, Jing Shi Road 73, Jinan 250061 (China); Liu Xiangfa [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, Jing Shi Road 73, Jinan 250061 (China)], E-mail: xfliu@sdu.edu.cn
2008-04-15
Effect of Al and AlP particles on the microstructure of near eutectic Mn-Si alloy (Mn-30 wt.%Si) was studied by Electron Probe Micro-analyzer (EPMA) and Differential Scanning Calorimeter (DSC). Crystal lattice correspondence analyses show that both Al and AlP have good lattice matching coherence relationships with MnSi phase, and the addition of Al and AlP particles results in an abnormal eutectic structure, i.e. the eutectic constitution MnSi and Mn{sub 5}Si{sub 3} precipitate separately: MnSi precipitates firstly, and then the Mn{sub 5}Si{sub 3} phase.
Characterization of electronic charged states of P-doped Si quantum dots using AFM/Kelvin probe
International Nuclear Information System (INIS)
Makihara, Katsunori; Xu, Jun; Ikeda, Mitsuhisa; Murakami, Hideki; Higashi, Seiichiro; Miyazaki, Seiichi
2006-01-01
Phosphorous doping to Si quantum dots was performed by a pulse injection of 1% PH 3 diluted with He during the dot formation on thermally grown SiO 2 from thermal decomposition of pure SiH 4 , and electron charging to and discharging from P-doped Si dots were studied to characterize their electronic charged states using a Kelvin probe technique in atomic force microscopy (AFM). The potential change corresponding to the extraction of one electron from each of the P-doped Si dots was observed after applying a tip bias as low as + 0.2 V while for undoped Si dots, with almost the same size as P-doped Si dots, almost the same amount of the potential change was detectable only when the tip bias was increased to ∼ 1 V. It is likely that, for P-doped Si dots, the electron extraction from the conduction band occurs and results in a positively charged state with ionized P donor
An investigation into the 2 Si(p,gamma)30P reaction
International Nuclear Information System (INIS)
Oberholzer, P.
1978-01-01
In the experiment reported here, information was obtained on the energy levels of 30 P by means of the 2 Si(p,gamma) 30 P-reaction. The experimental work was done with two accelerators, the 3 MV Van de Graaff- accelerator of the AEB and the 2,5 MV Van de Graaff-accelerator of the P.U. for C.H.E. A 60 cm 3 - and a 80 cm 3 Ge(Li)-detector were used. The excitation curve of the 2 Si + p-reaction was measured in the 1,3 - 2,0 MeV energy range. In order to calculate proton energies which were more accurate, the Q-value of the reaction was redetermined. The gamma decay of 12 resonances in the energy range l,l - 1,9 MeV was studied. The branching ratios of 25 bound levels in 30 P were determined, as well as the excitation energy and branching ratios of two new bound levels. A different value for the excitation energy of one bound level was found. The mean lifetimes of 12 bound levels were measured by means of the doppler shift attenuation method and the results were compared to those of other groups using different methods of lifetime measurement. Spin and parity assignments based on Weisskopf estimates were made for a number of resonance states, as well as for one new bound state. The experimental results were compared with the results of two models
Characterization of Ni-P-SiO2 nano-composite coating on magnesium
Sadreddini, S.; Salehi, Z.; Rassaie, H.
2015-01-01
In this study, the effects of SiO2 nanoparticles added to the electroless Ni-P coating were studied. The surface morphology, corrosion behavior, hardness and porosity of Ni-P-SiO2composite were investigated. The related microstructure was investigated through field emission scanning electron microscopy (FESEM) and the amount of SiO2 was examined by Energy Dispersive Analysis of X-ray (EDX). The corrosion behavior was evaluated through electrochemical impedance spectroscopy (EIS) and polarization techniques. The results illustrated that with increasing the quantity of the SiO2 nanoparticles, the corrosion rate decreased and the hardness increased.
Irradiation of 4H-SiC UV detectors with heavy ions
International Nuclear Information System (INIS)
Kalinina, E. V.; Lebedev, A. A.; Bogdanova, E.; Berenquier, B.; Ottaviani, L.; Violina, G. N.; Skuratov, V. A.
2015-01-01
Ultraviolet (UV) photodetectors based on Schottky barriers to 4H-SiC are formed on lightly doped n-type epitaxial layers grown by the chemical vapor deposition method on commercial substrates. The diode structures are irradiated at 25°C by 167-MeV Xe ions with a mass of 131 amu at a fluence of 6 × 10 9 cm −2 . Comparative studies of the optical and electrical properties of as-grown and irradiated structures with Schottky barriers are carried out in the temperature range 23–180°C. The specific features of changes in the photosensitivity and electrical characteristics of the detector structures are accounted for by the capture of photogenerated carriers into traps formed due to fluctuations of the conduction-band bottom and valence-band top, with subsequent thermal dissociation
Mis oli ja mida ei olnud : lisandusi kahele kirjutisele / Tiiu Erelt
Erelt, Tiiu, 1942-
2008-01-01
Lisandusi artiklitele: Koppel, Margit-Mariann. Mahalaskmine pärast surma //Eesti Ekspress, 23. aug. 2007, mis käsitleb Elmar Muugi saatust, ning: Raag, Raimo. Valter Tauli elust ja keelelisest tegevusest // Keel ja Kirjandus, (2007/Nov), nr. 11
Synthesis and Crystal Structure of a New Ruthenium Silicophosphate: RuP 3SiO 11
Fukuoka, Hiroshi; Imoto, Hideo; Saito, Taro
1996-01-01
A new ruthenium silicophosphate RuP3SiO11was obtained and the structure was determined by single-crystal X-ray diffraction. It crystallizes in the trigonal space groupR3cwitha= 8.253(3)Å,c= 39.317(4)Å,V= 2319(2)Å3,Z= 12,R= 0.029, andRW= 0.026. The structure is composed of RuO6, Si2O7, and P2O7units. The Si2O7unit shares the six oxygen atoms with six P2O7units, while the P2O7unit shares the six oxygen atoms with two Si2O7units and four RuO6octahedra. The anionic part forms an infinite three-dimensional network of silicophosphate. RuP3SiO11is isotypic with MoP3SiO11.
Characterization of anodic SiO2 films on P-type 4H-SiC
International Nuclear Information System (INIS)
Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.
2009-01-01
The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC
A route to strong p-doping of epitaxial graphene on SiC
Cheng, Yingchun
2010-11-09
The effects of Au intercalation on the electronic properties of epitaxialgraphenegrown on SiC{0001} substrates are studied using first principles calculations. A graphenemonolayer on SiC{0001} restores the shape of the pristine graphene dispersion, where doping levels between strongly n-doped and weakly p-doped can be achieved by altering the Au coverage. We predict that Au intercalation between the two C layers of bilayer graphenegrown on SiC{0001} makes it possible to achieve a strongly p-doped graphene state, where the p-doping level can be controlled by means of the Au coverage.
Simulation studies of current transport in metal-insulator-semiconductor Schottky barrier diodes
International Nuclear Information System (INIS)
Chand, Subhash; Bala, Saroj
2007-01-01
The current-voltage characteristics of Schottky diodes with an interfacial insulator layer are analysed by numerical simulation. The current-voltage data of the metal-insulator-semiconductor Schottky diode are simulated using thermionic emission diffusion (TED) equation taking into account an interfacial layer parameter. The calculated current-voltage data are fitted into ideal TED equation to see the apparent effect of interfacial layer parameters on current transport. Results obtained from the simulation studies shows that with mere presence of an interfacial layer at the metal-semiconductor interface the Schottky contact behave as an ideal diode of apparently high barrier height (BH), but with same ideality factor and series resistance as considered for a pure Schottky contact without an interfacial layer. This apparent BH decreases linearly with decreasing temperature. The effects giving rise to high ideality factor in metal-insulator-semiconductor diode are analysed. Reasons for observed temperature dependence of ideality factor in experimentally fabricated metal-insulator-semiconductor diodes are analysed and possible mechanisms are discussed
670-GHz Schottky Diode-Based Subharmonic Mixer with CPW Circuits and 70-GHz IF
Chattopadhyay, Goutam; Schlecht, Erich T.; Lee, Choonsup; Lin, Robert H.; Gill, John J.; Mehdi, Imran; Sin, Seth; Deal, William; Loi, Kwok K.; Nam, Peta;
2012-01-01
GaAs-based, sub-harmonically pumped Schottky diode mixers offer a number of advantages for array implementation in a heterodyne receiver system. Since the radio frequency (RF) and local oscillator (LO) signals are far apart, system design becomes much simpler. A proprietary planar GaAs Schottky diode process was developed that results in very low parasitic anodes that have cutoff frequencies in the tens of terahertz. This technology enables robust implementation of monolithic mixer and frequency multiplier circuits well into the terahertz frequency range. Using optical and e-beam lithography, and conventional epitaxial layer design with innovative usage of GaAs membranes and metal beam leads, high-performance terahertz circuits can be designed with high fidelity. All of these mixers use metal waveguide structures for housing. Metal machined structures for RF and LO coupling hamper these mixers to be integrated in multi-pixel heterodyne array receivers for spectroscopic and imaging applications. Moreover, the recent developments of terahertz transistors on InP substrate provide an opportunity, for the first time, to have integrated amplifiers followed by Schottky diode mixers in a heterodyne receiver at these frequencies. Since the amplifiers are developed on a planar architecture to facilitate multi-pixel array implementation, it is quite important to find alternative architecture to waveguide-based mixers.
Origin of the high p-doping in F intercalated graphene on SiC
Cheng, Yingchun
2011-08-04
The atomic and electronic structures of F intercalated epitaxialgraphene on a SiC(0001) substrate are studied by first-principles calculations. A three-step fluorination process is proposed. First, F atoms are intercalated between the graphene and the SiC, which restores the Dirac point in the band structure. Second, saturation of the topmost Si dangling bonds introduces p-doping up to 0.37 eV. Third, F atoms bond covalently to the graphene to enhance the p-doping. Our model explains the highly p-doped state of graphene on SiC after fluorination [A. L. Walter et al., Appl. Phys. Lett. 98, 184102 (2011)].
P and Si functionalized MXenes for metal-ion battery applications
Zhu, Jiajie
2017-04-10
MXenes are a family of two-dimensional materials, composed of early transition metal carbides, nitrides, and carbonitrides, with great potential in energy storage systems, in particular in electrodes for Li, Na, K-ion batteries. However, so far the capacities are not competitive. In this context, we investigate P and Si functionalized MXenes for metal-ion battery applications, using first-principles calculations, since P and Si provide reaction products with high ion content. Replacement of the F and OH ligands of Ti2C and V2C with P and Si is demonstrated to be feasible (energy barriers of less than 0.128 eV) and the ion diffusion barriers turn out to be less than 0.32 eV. Importantly, the Li, Na, and K capacities are predicted to be 1767 mAh g−1, 711 mAh g−1, and 711 mAh g−1, respectively, thus being much higher than in the case of F and OH functionalization.
Film, mis mõjub / Anne Applebaum ; tõlk. Kaarel Tarand
Applebaum, Anne
2008-01-01
Ajalehes The New York Review of Books (14. II) ilmunud artikkel poola režissööri Andrzej Wajda filmist "Katõn", mis räägib Katõni massimõrvast. Andrzej Wajda pälvis sel aastal Eesti presidendilt Maarjamaa Risti III klassi teenetemärgi
Couto, W. R. M.; Miwa, R. H.; Fazzio, A.
2017-10-01
Van der Waals (vdW) metal/semiconductor heterostructures have been investigated through first-principles calculations. We have considered the recently synthesized borophene (Mannix et al 2015 Science 350 1513), and the planar boron sheets (S1 and S2) (Feng et al 2016 Nat. Chem. 8 563) as the 2D metal layer, and the transition metal dichalcogenides (TMDCs) MoSe2, and WSe2 as the semiconductor monolayer. We find that the energetic stability of those 2D metal/semiconductor heterojunctions is mostly ruled by the vdW interactions; however, chemical interactions also take place in borophene/TMDC. The electronic charge transfer at the metal/semiconductor interface has been mapped, where we find a a net charge transfer from the TMDCs to the boron sheets. Further electronic structure calculations reveal that the metal/semiconductor interfaces, composed by planar boron sheets S1 and S2, present a p-type Schottky barrier which can be tuned to a p-type ohmic contact by an external electric field.
Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO
Mondal, Shampa; Kanta, Kalyani Prasad; Mitra, Partha
2012-01-01
Zinc oxide (ZnO) thin films were deposited on p-silicon (Si) substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M) of zincate bath and fixed pH (11.00-11.10). Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD) indicates the formation of polycrystalline single ...
MIS approach for diverticula of the esophagus
2010-01-01
Purpose Diverticula of the esophagus represent a rare pathological entity. Distinct classifications of the disease imply different surgical concepts. Surgery should be reserved for symptomatic patients only. Minimally invasive surgery (MIS) for treatment of esophageal diverticula encompasses rigid and flexible intraluminal endoscopy, thoracoscopy and laparoscopy. We here give an overview on the pathogenesis of esophageal diverticula, the minimally invasive surgical techniques for treatment and the recent literature. Additionally, we present our own experience with MIS for midthoracic diverticula. Methods We analyzed the cases of patients who underwent MIS for midthoracic diverticula with regard to preoperative symptoms, perioperative and follow-up data. Results Three patients (two female, one male, age 79, 78 and 59 years) received thoracoscopic surgery for midthoracic diverticula. All patients reported of dysphagia and regurgitation. In two patients pH-investigation showed pathological reflux but manometry was normal in all patients. Operating time was 205, 135 and 141 minutes. We performed intraoperative intraluminal endoscopy in all patients. There were no intraoperative complications and although no surgical complications occured postoperatively one patient developed pneumonia which advanced to sepsis and lethal multi organ failure. Upon follow-up the two patients did not have recurrent diverticula or a recurrence of previous symptoms. Conclusions Surgery for diverticular disease of the esophagus has been associated with high rates of morbidity and mortality. Despite the lethal non-surgical complication we encountered, with regard to recent publications minimally invasive apporaches to treat patients with symptomatic esophageal diverticula entail lower rates of complications with better long term results in comparison to open surgery. PMID:20952348
International Nuclear Information System (INIS)
Cam Thanh, D.T.; Brueck, E.; Tegus, O.; Klaasse, J.C.P.; Buschow, K.H.J.
2007-01-01
Recently, we found a large magnetocaloric effect (MCE) and favourable magnetic properties in low cost and nontoxic MnFe(P, Si, Ge) compounds [D.T. Cam Thanh, E. Brueck, O. Tegus, J.C.P. Klaasse, T.J. Gortenmulder, K.H.J. Buschow, J. Appl. Phys. 99 (2006) 08Q107]. These compounds are promising for magnetic refrigeration applications. One of the interesting points in these compounds is a nonlinear dependence of the Curie temperature (T C ) on Si concentration. This dependence is associated with the change in the lattice parameters a and c, and their ratio c/a. Compounds with larger a parameter and smaller c/a ratio have higher T C . It is clear that Si and Ge atoms play an important role in the magnetic and magnetocaloric properties in the MnFe(P, Si, Ge) compounds. In this paper, we study the effect of Si and Ge on the magnetic phase transition in these materials. Our study shows that the temperature of the phase transition, from paramagnetic to ferromagnetic, can be tuned in the room temperature range without losing giant magnetocaloric properties
Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate
International Nuclear Information System (INIS)
Roumie, M.; Nsouli, B.
2001-01-01
Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones
H{sub 2}-Ar dilution for improved c-Si quantum dots in P-doped SiN{sub x}:H thin film matrix
Energy Technology Data Exchange (ETDEWEB)
Liu, Jia [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); Zhang, Weijia, E-mail: zwjghx@126.com [Center of Condensed Matter and Material Physics, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing, 100191 (China); Liu, Shengzhong, E-mail: szliu@dicp.ac.cn [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); State key Laboratory of Catalysis, iChEM, Dalian Institute of Chemical Physics, Dalian National Laboratory for Clean Energy, Chinese Academy of Sciences, Dalian 116023 (China)
2017-02-28
Highlights: • Phosphorous-doped SiN{sub x}:H thin films containing c-Si QDs were prepared by PECVD in H{sub 2}-Ar mixed dilution under low temperature. • QD density and QD size can be controlled by tuning H{sub 2}/Ar flow ratio. • The sample prepared at the H{sub 2}/Ar flow ratio of 100/100 possesses both wide band gap and excellent conductivity. • Detail discussion has been presented for illustrating the influence of H{sub 2}/Ar mixed dilution on the crystallization process and P-doping. - Abstract: Phosphorus-doped hydrogenated silicon nitride (SiN{sub x}:H) thin films containing crystalline silicon quantum dot (c-Si QD) was prepared by plasma enhanced chemical vapor deposition (PECVD) using hydrogen-argon mixed dilution. The effects of H{sub 2}/Ar flow ratio on the structural, electrical and optical characteristics of as-grown P-doped SiN{sub x}:H thin films were systematically investigated. Experimental results show that crystallization is promoted by increasing the H{sub 2}/Ar flow ratio in dilution, while the N/Si atomic ratio is higher for thin film deposited with argon-rich dilution. As the H{sub 2}/Ar flow ratio varies from 100/100 to 200/0, the samples exhibit excellent conductivity owing to the large volume fraction of c-Si QDs and effective P-doping. By adjusting the H{sub 2}/Ar ratio to 100/100, P-doped SiN{sub x}:H thin film containing tiny and densely distributed c-Si QDs can be obtained. It simultaneously possesses wide optical band gap and high dark conductivity. Finally, detailed discussion has been made to analyze the influence of H{sub 2}-Ar mixed dilution on the properties of P-doped SiN{sub x}:H thin films.
Energy Technology Data Exchange (ETDEWEB)
Losurdo, M.; Grimaldi, A.; Sacchetti, A.; Capezzuto, P.; Ambrico, M.; Bruno, G.; Roca, Francesco
2003-03-03
Investigation of n-p heterojunction solar cells obtained by depositing a n-type thin silicon films either amorphous or microcrystalline on p-type c-Si is carried out. The study is focused on the improvement of the c-Si surface and emitter layer/c-Si substrate interface. The peculiarity is the use of SiF{sub 4}-based plasmas for the in situ dry cleaning and passivation of the c-Si surface and for the PECVD deposition of the emitter layer that can be either amorphous (a-Si:H,F) or microcrystalline ({mu}c-Si). The use of SiF{sub 4} instead of the conventional SiH{sub 4} results in a lower hydrogen content in the film and in a reduction of the interaction of the c-Si surface with hydrogen atoms. Furthermore, the dependence of the heterojunction solar cell photovoltaic parameters on the insertion of an intrinsic buffer layer between the n-type thin silicon layer and the p-type c-Si substrate is discussed.
Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery
International Nuclear Information System (INIS)
San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan
2013-01-01
The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10 15 cm −3 , by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. - Highlights: • Ni-63 is employed as the pure beta radioisotope source. • The Schottky junction betavoltaic battery is based on the wide-band gap semiconductor GaN. • The total energy deposition of incident beta particles in GaN was simulated by the Monte Carlo method. • A Fe-doped compensation technique is suggested to increase the energy conversion efficiency
A MIS 9/MIS 8 speleothem record of hydrological variability from Macedonia (F.Y.R.O.M.)
Regattieri, Eleonora; Zanchetta, Giovanni; Isola, Ilaria; Bajo, Petra; Perchiazzi, Natale; Drysdale, Russell N.; Boschi, Chiara; Hellstrom, John C.; Francke, Alexander; Wagner, Bernd
2018-03-01
The period corresponding to Marine Isotope Stages 9 (MIS 9) offers the opportunity to study orbital and sub-orbital scale climate variability under boundary conditions different from those of better studied intervals such as the Holocene and the Last Interglacial. Yet, it is poorly represented in independently-dated continental archives around the Mediterranean Region. Here, we present a speleothem stable isotope record (δ18O and δ13C) from the Former Yugoslavian Republic of Macedonia (F.Y.R.O.M., southern Balkans), which consists of two periods of growth broadly covering the ca. 332 to 292 ka and the ca. 264 to 248 ka intervals (MIS 9e-b and late MIS 8). We interpret the speleothem δ18O as mostly related to regional hydrology, with variations that can be interpreted as due to changes in rainfall amount, with higher/lower values associated to drier/wetter condition. This interpretation is corroborated by a change in mineralogical composition between aragonite and calcite at ca. 328 ka, which marks increasing precipitation at the onset of MIS 9 and occurs within a trend of decreasing δ18O values. Also the comparison with the multiproxy climate record available from the nearby Lake Ohrid seems to support the proposed interpretation. The MIS 9e interglacial appears to be characterized by wettest conditions between ca. 326 and 321 ka, i.e. lasting ca. 5 kyr. Decreasing precipitation and enhanced millennial scale variability matches the glacial inception (MIS9 d to b), with drier events at ca. 319 ka (ca. 2 kyr long) and 310 ka (ca. 1 kyr long), and a major rainfall reduction between 306 and 298 ka. The latter is followed by a prominent wetter period between 298 and 295 ka, for which carbon data values suggest high infiltration rate. Rainfall decreases again after 295 ka, and remain low until the growth interruption at ca. 292 ka. Resumption of the growth and progressive soil development, expressed by the carbon isotope record, occurred during the late part of MIS 8
Yachi, Suguru; Takabe, Ryota; Deng, Tianguo; Toko, Kaoru; Suemasu, Takashi
2018-04-01
We investigated the effect of BaSi2 template growth duration (t RDE = 0-20 min) on the defect generation and performance of p-BaSi2/n-Si heterojunction solar cells. The p-BaSi2 layer grown by molecular beam epitaxy (MBE) was 15 nm thick with a hole concentration of 2 × 1018 cm-3. The conversion efficiency η increased for films grown at long t RDE, owing to improvements of the open-circuit voltage (V OC) and fill factor (FF), reaching a maximum of η = 8.9% at t RDE = 7.5 min. However, η decreased at longer and shorter t RDE owing to lower V OC and FF. Using deep-level transient spectroscopy, we detected a hole trap level 190 meV above the valence band maximum for the sample grown without the template (t RDE = 0 min). An electron trap level 106 meV below the conduction band minimum was detected for a sample grown with t RDE = 20 min. The trap densities for both films were (1-2) × 1013 cm-3. The former originated from the diffusion of Ba into the n-Si region; the latter originated from defects in the template layer. The crystalline qualities of the template and MBE-grown layers were discussed. The root-mean-square surface roughness of the template reached a minimum of 0.51 nm at t RDE = 7.5 min. The a-axis orientation of p-BaSi2 thin films degraded as t RDE exceeded 10 min. In terms of p-BaSi2 crystalline quality and solar cell performance, the optimum t RDE was determined to be 7.5 min, corresponding to approximately 4 nm in thickness.