WorldWideScience

Sample records for oxygen plasma processing

  1. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  2. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  3. Sterilization by pure oxygen plasma and by oxygen-hydrogen peroxide plasma: an efficacy study.

    Science.gov (United States)

    Boscariol, M R; Moreira, A J; Mansano, R D; Kikuchi, I S; Pinto, T J A

    2008-04-02

    Plasma is an innovative sterilization method characterized by a low toxicity to operators and patients, and also by its operation at temperatures close to room temperatures. The use of different parameters for this method of sterilization and the corresponding results were analyzed in this study. A low-pressure inductive discharge was used to study the plasma sterilization processes. Oxygen and a mixture of oxygen and hydrogen peroxide were used as plasma source gases. The efficacy of the processes using different combinations of parameters such as plasma-generation method, type of gas, pressure, gas flow rate, temperature, power, and exposure time was evaluated. Two phases were developed for the processes, one using pure oxygen and the other a mixture of gases. Bacillus subtilis var. niger ATCC 9372 (Bacillus atrophaeus) spores inoculated on glass coverslips were used as biological indicators to evaluate the efficacy of the processes. All cycles were carried out in triplicate for different sublethal exposure times to calculate the D value by the enumeration method. The pour-plate technique was used to quantify the spores. D values of between 8 and 3 min were obtained. Best results were achieved at high power levels (350 and 400 W) using pure oxygen, showing that plasma sterilization is a promising alternative to other sterilization methods.

  4. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  5. Sterilization by oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Moreira, Adir Jose; Mansano, Ronaldo Domingues; Andreoli Pinto, Terezinha de Jesus; Ruas, Ronaldo; Silva Zambon, Luis da; Silva, Monica Valero da; Verdonck, Patrick Bernard

    2004-07-31

    The use of polymeric medical devices has stimulated the development of new sterilization methods. The traditional techniques rely on ethylene oxide, but there are many questions concerning the carcinogenic properties of the ethylene oxide residues adsorbed on the materials after processing. Another common technique is the gamma irradiation process, but it is costly, its safe operation requires an isolated site and it also affects the bulk properties of the polymers. The use of a gas plasma is an elegant alternative sterilization technique. The plasma promotes an efficient inactivation of the micro-organisms, minimises the damage to the materials and presents very little danger for personnel and the environment. Pure oxygen reactive ion etching type of plasmas were applied to inactivate a biologic indicator, the Bacillus stearothermophilus, to confirm the efficiency of this process. The sterilization processes took a short time, in a few minutes the mortality was complete. In situ analysis of the micro-organisms' inactivating time was possible using emission spectrophotometry. The increase in the intensity of the 777.5 nm oxygen line shows the end of the oxidation of the biologic materials. The results were also observed and corroborated by scanning electron microscopy.

  6. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  7. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  8. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  9. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  10. The role of Ar plasma treatment in generating oxygen vacancies in indium tin oxide thin films prepared by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Deuk-Kyu [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Misra, Mirnmoy; Lee, Ye-Eun [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of); Baek, Sung-Doo [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Lee, Tae Il, E-mail: t2.lee77@gachon.ac.kr [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of)

    2017-05-31

    Highlights: • Indium tin oxide thin film with about 41 nm thickness was obtained by the sol-gel process. • Thin film exhibited low resistivity. • Sheet resistance of thin film decreases with Ar plasma treatment time. • Ar plasma treatment on thin film does not alter the crystal structure and optical properties of the ITO thin-film. • There is no significant change in oxygen vacancies after 20 min of plasma treatment. - Abstract: Argon (Ar) plasma treatment was carried out to reduce the sheet resistance of indium tin oxide (ITO) thin films. The Ar plasma treatment did not cause any significant changes to the crystal structure, surface morphology, or optical properties of the ITO thin films. However, an X-ray photoelectron spectroscopy study confirmed that the concentration of oxygen vacancies in the film dramatically increased with the plasma treatment time. Thus, we concluded that the decrease in the sheet resistance was caused by the increase in the oxygen vacancy concentration in the film. Furthermore, to verify how the concentration of oxygen vacancies in the film increased with the Ar plasma treatment time, cumulative and continuous plasma treatments were conducted. The oxygen vacancies were found to be created by surface heating via the outward thermal diffusion of oxygen atoms from inside the film.

  11. Investigation of the relevant kinetic processes in the initial stage of a double-arcing instability in oxygen plasmas

    Science.gov (United States)

    Mancinelli, B.; Prevosto, L.; Chamorro, J. C.; Minotti, F. O.; Kelly, H.

    2018-05-01

    A numerical investigation of the kinetic processes in the initial (nanosecond range) stage of the double-arcing instability was developed. The plasma-sheath boundary region of an oxygen-operated cutting torch was considered. The energy balance and chemistry processes in the discharge were described. It is shown that the double-arcing instability is a sudden transition from a diffuse (glow-like) discharge to a constricted (arc-like) discharge in the plasma-sheath boundary region arising from a field-emission instability. A critical electric field value of ˜107 V/m was found at the cathodic part of the nozzle wall under the conditions considered. The field-emission instability drives in turn a fast electronic-to-translational energy relaxation mechanism, giving rise to a very fast gas heating rate of at least ˜109 K/s, mainly due to reactions of preliminary dissociation of oxygen molecules via the highly excited electronic state O2(B3Σu-) populated by electron impact. It is expected that this fast oxygen heating rate further stimulates the discharge contraction through the thermal instability mechanism.

  12. [Biocompatibility of poly-L-lactic acid/Bioglass-guided bone regeneration membranes processed with oxygen plasma].

    Science.gov (United States)

    Fang, Wei; Zeng, Shu-Guang; Gao, Wen-Feng

    2015-04-01

    To prepare and characterize a nano-scale fibrous hydrophilic poly-L-lactic acid/ Bioglass (PLLA/BG) composite membrane and evaluate its biocompatibility as a composite membrane for guiding bone regeneration (GBR). PLLA/BG-guided bone regeneration membrane was treated by oxygen plasma to improved its hydrophilicity. The growth of MG-63 osteoblasts on the membrane was observed using Hoechst fluorescence staining, and the biocompatibility of the membrane was evaluated by calculating the cells adhesion rate and proliferation rate. Osteogenesis of MG-63 cells was assessed by detecting alkaline phosphatase (ALP), and the formation of calcified nodules and cell morphology changes were observed using scanning electron microscope (SEM). The cell adhesion rates of PLLA/BG-guided bone regeneration membrane treated with oxygen plasma were (30.570±0.96)%, (47.27±0.78)%, and (66.78±0.69)% at 1, 3, and 6 h, respectively, significantly higher than those on PLLA membrane and untreated PLLA/BG membrane (Pmembranes increased with time, but highest on oxygen plasma-treated PLLA/BG membrane (Pplasma treatment of the PLLA/BG membrane promoted cell adhesion. The membranes with Bioglass promoted the matrix secretion of the osteoblasts. Under SEM, the formation of calcified nodules and spindle-shaped cell morphology were observed on oxygen plasma-treated PLLA/BG membrane. Oxygen plasma-treated PLLA/BG composite membrane has good biocompatibility and can promote adhesion, proliferation and osteogenesis of the osteoblasts.

  13. Band gap effects of hexagonal boron nitride using oxygen plasma

    International Nuclear Information System (INIS)

    Sevak Singh, Ram; Leong Chow, Wai; Yingjie Tay, Roland; Hon Tsang, Siu; Mallick, Govind; Tong Teo, Edwin Hang

    2014-01-01

    Tuning of band gap of hexagonal boron nitride (h-BN) has been a challenging problem due to its inherent chemical stability and inertness. In this work, we report the changes in band gaps in a few layers of chemical vapor deposition processed as-grown h-BN using a simple oxygen plasma treatment. Optical absorption spectra show a trend of band gap narrowing monotonically from 6 eV of pristine h-BN to 4.31 eV when exposed to oxygen plasma for 12 s. The narrowing of band gap causes the reduction in electrical resistance by ∼100 fold. The x-ray photoelectron spectroscopy results of plasma treated hexagonal boron nitride surface show the predominant doping of oxygen for the nitrogen vacancy. Energy sub-band formations inside the band gap of h-BN, due to the incorporation of oxygen dopants, cause a red shift in absorption edge corresponding to the band gap narrowing

  14. Band gap effects of hexagonal boron nitride using oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Sevak Singh, Ram; Leong Chow, Wai [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Yingjie Tay, Roland [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Temasek Laboratories-NTU, 50 Nanyang Avenue, Singapore 639798 (Singapore); Hon Tsang, Siu [Temasek Laboratories-NTU, 50 Nanyang Avenue, Singapore 639798 (Singapore); Mallick, Govind [Temasek Laboratories-NTU, 50 Nanyang Avenue, Singapore 639798 (Singapore); Weapons and Materials Research Directorate, U.S. Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States); Tong Teo, Edwin Hang, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2014-04-21

    Tuning of band gap of hexagonal boron nitride (h-BN) has been a challenging problem due to its inherent chemical stability and inertness. In this work, we report the changes in band gaps in a few layers of chemical vapor deposition processed as-grown h-BN using a simple oxygen plasma treatment. Optical absorption spectra show a trend of band gap narrowing monotonically from 6 eV of pristine h-BN to 4.31 eV when exposed to oxygen plasma for 12 s. The narrowing of band gap causes the reduction in electrical resistance by ∼100 fold. The x-ray photoelectron spectroscopy results of plasma treated hexagonal boron nitride surface show the predominant doping of oxygen for the nitrogen vacancy. Energy sub-band formations inside the band gap of h-BN, due to the incorporation of oxygen dopants, cause a red shift in absorption edge corresponding to the band gap narrowing.

  15. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  16. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  17. Plasma Extraction of Oxygen from Martian Atmosphere, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Plasma techniques are proposed for the extraction of oxygen from the abundant carbon dioxide contained in the Martian atmosphere (96 % CO2). In this process, CO2 is...

  18. Computational study of sheath structure in oxygen containing plasmas at medium pressures

    Science.gov (United States)

    Hrach, Rudolf; Novak, Stanislav; Ibehej, Tomas; Hrachova, Vera

    2016-09-01

    Plasma mixtures containing active species are used in many plasma-assisted material treatment technologies. The analysis of such systems is rather difficult, as both physical and chemical processes affect plasma properties. A combination of experimental and computational approaches is the best suited, especially at higher pressures and/or in chemically active plasmas. The first part of our study of argon-oxygen mixtures was based on experimental results obtained in the positive column of DC glow discharge. The plasma was analysed by the macroscopic kinetic approach which is based on the set of chemical reactions in the discharge. The result of this model is a time evolution of the number densities of each species. In the second part of contribution the detailed analysis of processes taking place during the interaction of oxygen containing plasma with immersed substrates was performed, the results of the first model being the input parameters. The used method was the particle simulation technique applied to multicomponent plasma. The sheath structure and fluxes of charged particles to substrates were analysed in the dependence on plasma pressure, plasma composition and surface geometry.

  19. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  20. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  1. Methane Post-Processing for Oxygen Loop Closure

    Science.gov (United States)

    Greenwood, Zachary W.; Abney, Morgan B.; Miller, Lee

    2016-01-01

    State-of-the-art United States Atmospheric Revitalization carbon dioxide (CO2) reduction is based on the Sabatier reaction process, which recovers approximately 50% of the oxygen (O2) from crew metabolic CO2. Oxygen recovery from carbon dioxide is constrained by the limited availability of reactant hydrogen. Post-processing of methane to recover hydrogen with the Umpqua Research Company Plasma Pyrolysis Assembly (PPA) has the potential to further close the Atmospheric Revitalization oxygen loop. The PPA decomposes methane into hydrogen and hydrocarbons, predominantly acetylene, and a small amount of solid carbon. The hydrogen must then be purified before it can be recycled for additional oxygen recovery. Long duration testing and evaluation of a four crew-member sized PPA and a discussion of hydrogen recycling system architectures are presented.

  2. Nonvolatile memory effect of tungsten nanocrystals under oxygen plasma treatments

    International Nuclear Information System (INIS)

    Chen, Shih-Cheng; Chang, Ting-Chang; Chen, Wei-Ren; Lo, Yuan-Chun; Wu, Kai-Ting; Sze, S.M.; Chen, Jason; Liao, I.H.; Yeh, Fon-Shan

    2010-01-01

    In this work, an oxygen plasma treatment was used to improve the memory effect of nonvolatile W nanocrystal memory, including memory window, retention and endurance. To investigate the role of the oxygen plasma treatment in charge storage characteristics, the X-ray photon-emission spectra (XPS) were performed to analyze the variation of chemical composition for W nanocrystal embedded oxide both with and without the oxygen plasma treatment. In addition, the transmission electron microscopy (TEM) analyses were also used to identify the microstructure in the thin film and the size and density of W nanocrystals. The device with the oxygen plasma treatment shows a significant improvement of charge storage effect, because the oxygen plasma treatment enhanced the quality of silicon oxide surrounding the W nanocrystals. Therefore, the data retention and endurance characteristics were also improved by the passivation.

  3. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    Science.gov (United States)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  4. Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Tinck, S; Bogaerts, A

    2011-01-01

    In this paper, an O 2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al 2 O 3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O 2 , while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.

  5. Using oxygen plasma treatment to improve the performance of electrodes for capacitive water deionization

    International Nuclear Information System (INIS)

    Hojati-Talemi, Pejman; Zou, Linda; Fabretto, Manrico; Short, Robert D.

    2013-01-01

    An oxygen plasma treatment was employed to modify the surface of carbon electrodes used in capacitive deionization (CDI). X-ray photoelectron spectroscopy analysis of samples showed that oxygen plasma is mainly attaching oxygenated groups on the PTFE binder used in these electrodes. By functionalizing the binder it can increase the hydrophilicity of the electrode surface and increase the available specific surface area. 2.5 min of plasma treatment resulted in the largest improvement of CDI performance of electrodes. Thermodynamic study of CDI performance showed that the modified electrodes followed Langmuir and Freundlich isotherms resulting from the increased interaction between the enhanced electrodes and water. The kinetic study showed that the CDI process followed a pseudo-first order adsorption kinetics. The calculated adsorption rate constants suggested that plasma modification can accelerate ion adsorption of electrodes

  6. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  7. Tailoring properties of reduced graphene oxide by oxygen plasma treatment

    Science.gov (United States)

    Kondratowicz, Izabela; Nadolska, Małgorzata; Şahin, Samet; Łapiński, Marcin; Prześniak-Welenc, Marta; Sawczak, Mirosław; Yu, Eileen H.; Sadowski, Wojciech; Żelechowska, Kamila

    2018-05-01

    We report an easily controllable, eco-friendly method for tailoring the properties of reduced graphene oxide (rGO) by means of oxygen plasma. The effect of oxygen plasma treatment time (1, 5 and 10 min) on the surface properties of rGO was evaluated. Physicochemical characterization using microscopic, spectroscopic and thermal techniques was performed. The results revealed that different oxygen-containing groups (e.g. carboxyl, hydroxyl) were introduced on the rGO surface enhancing its wettability. Furthermore, upon longer treatment time, other functionalities were created (e.g. quinones, lactones). Moreover, external surface of rGO was partially etched resulting in an increase of the material surface area and porosity. Finally, the oxygen plasma-treated rGO electrodes with bilirubin oxidase were tested for oxygen reduction reaction. The study showed that rGO treated for 10 min exhibited twofold higher current density than untreated rGO. The oxygen plasma treatment may improve the enzyme adsorption on rGO electrodes by introduction of oxygen moieties and increasing the porosity.

  8. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  9. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  10. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  11. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  12. Modification of ink-jet paper by oxygen-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, A [Jozef Stefan Institute, Jamova 39, Ljubljana 1000 (Slovenia); Mozetic, M [Jozef Stefan Institute, Jamova 39, Ljubljana 1000 (Slovenia); Hladnik, A [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Dolenc, J [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Zule, J [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Milosevic, S [Institute of Physics, Bijenicka 46, Zagreb 10000 (Croatia); Krstulovic, N [Institute of Physics, Bijenicka 46, Zagreb 10000 (Croatia); Klanjsek-Gunde, M [National Institute of Chemistry, Hajdrihova 19, Ljubljana 1000 (Slovenia); Hauptmann, N [National Institute of Chemistry, Hajdrihova 19, Ljubljana 1000 (Slovenia)

    2007-06-21

    A study on oxygen-plasma treatment of ink-jet paper is presented. Paper was exposed to a weakly ionized, highly dissociated oxygen plasma with an electron temperature of 5 eV, a positive-ion density of 8 x 10{sup 15} m{sup -3} and a density of neutral oxygen atoms of 5 x 10{sup 21} m{sup -3}. Optical emission spectroscopy (OES) was applied as a method for detection of the reaction products during the plasma treatment of the paper. OES spectra between 250 and 1000 nm were measured continuously during the plasma treatment. The wettability of the samples before and after the plasma treatment was determined by measuring the contact angle of a water drop. The appearance of the surface-functional groups was determined by using high-resolution x-ray photoelectron spectroscopy (XPS), while changes in the surface morphology were monitored with scanning electron microscopy (SEM). Already after 1 s of the plasma treatment the surface, which was originally hydrophobic, changed to hydrophilic, as indicated by a high absorption rate of a water drop into the paper. The OES showed a rapid increase of the CO and OH bands for the first few seconds of the plasma treatment, followed by a slow decrease during the next 40 s. The intensity of the O atom line showed reversed behaviour. The XPS analyses showed a gradual increase of oxygen-rich functional groups on the surface, while SEM analyses did not show significant modification of the morphology during the first 10 s of the plasma treatment. The results were explained by degradation of the alkyl ketene dimer sizing agent during the first few seconds of the oxygen-plasma treatment.

  13. Modification of ink-jet paper by oxygen-plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A; Mozetic, M; Hladnik, A; Dolenc, J; Zule, J; Milosevic, S; Krstulovic, N; Klanjsek-Gunde, M; Hauptmann, N

    2007-01-01

    A study on oxygen-plasma treatment of ink-jet paper is presented. Paper was exposed to a weakly ionized, highly dissociated oxygen plasma with an electron temperature of 5 eV, a positive-ion density of 8 x 10 15 m -3 and a density of neutral oxygen atoms of 5 x 10 21 m -3 . Optical emission spectroscopy (OES) was applied as a method for detection of the reaction products during the plasma treatment of the paper. OES spectra between 250 and 1000 nm were measured continuously during the plasma treatment. The wettability of the samples before and after the plasma treatment was determined by measuring the contact angle of a water drop. The appearance of the surface-functional groups was determined by using high-resolution x-ray photoelectron spectroscopy (XPS), while changes in the surface morphology were monitored with scanning electron microscopy (SEM). Already after 1 s of the plasma treatment the surface, which was originally hydrophobic, changed to hydrophilic, as indicated by a high absorption rate of a water drop into the paper. The OES showed a rapid increase of the CO and OH bands for the first few seconds of the plasma treatment, followed by a slow decrease during the next 40 s. The intensity of the O atom line showed reversed behaviour. The XPS analyses showed a gradual increase of oxygen-rich functional groups on the surface, while SEM analyses did not show significant modification of the morphology during the first 10 s of the plasma treatment. The results were explained by degradation of the alkyl ketene dimer sizing agent during the first few seconds of the oxygen-plasma treatment

  14. Temporal development of the plasma composition of a pulsed aluminum plasma stream in the presence of oxygen

    International Nuclear Information System (INIS)

    Schneider, J.M.; Anders, A.; Brown, I.G.; Hjoervarsson, B.; Hultman, L.

    1999-01-01

    We describe the temporal development of the plasma composition of pulsed aluminum plasma streams at various oxygen pressures. The plasma was formed with a vacuum arc plasma source and the time resolved plasma composition was measured with time-of-flight charge-to-mass spectrometry. The temporal development of the plasma composition as well as the Al average ion charge state was found to be a strong function of the oxygen pressure. Oxygen and hydrogen concentrations of up to 0.36 and 0.32, respectively, were found in the first 50 μs of the pulse at oxygen pressures of ≥5x10 -5 Torr. The average charge state of aluminum ions was found to vary from +1.2 to +2.5 depending on the oxygen pressure and the time elapsed after ignition of the arc. These results are of fundamental importance for the understanding of the evolution of the composition (through the plasma composition) and microstructure (through the Al ion flux energy) of alumina thin films produced by pulsed, reactive aluminum plasmas. copyright 1999 American Institute of Physics

  15. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  16. Surface characterization of the chitosan membrane after oxygen plasma treatment and its aging effect

    International Nuclear Information System (INIS)

    Wang Yingjun; Yin Shiheng; Ren Li; Zhao Lianna

    2009-01-01

    Chitosan has received considerable attention for biomedical applications in recent years because of its biocompatibility and biodegradability. In this paper, angle-resolved x-ray photoelectron spectroscopy (ARXPS) was carried out to investigate the chemical groups' spatial orientation on the chitosan membrane surface. Oxygen plasma treatment was also employed to improve the surface hydrophilicity of the chitosan membrane. The results of ARXPS revealed the distribution of surface polar groups, such as-OH and O=CNH 2 toward the membrane bulk, which was the origin of the chitosan membrane surface hydrophobicity. The contact angle measurements and XPS results indicated that oxygen plasma treatment can markedly improve the surface hydrophilicity and surface energy of the chitosan membrane by incorporating oxygen-containing polar groups. With the existence of the aging process, the influence of plasma treatment was not permanent, it faded with storage time. The ARXPS result discovered that the reorientation of polar functional groups generated by plasma treatment toward the membrane bulk was primarily responsible for the aging effect.

  17. Oxygen production processes on the Moon: An overview

    Science.gov (United States)

    Taylor, Lawrence A.; Carrier, W. David, III

    1991-01-01

    The production of oxygen on the Moon utilizing indigenous material is paramount to a successful lunar colonization. Several processes were put forth to accomplish this. The lunar liquid oxygen (LLOX) generation schemes which have received the most study to date are those involving: (1) the reduction of ilmenite (FeTiO3) by H2, C, CO, CH4, CO-Cl2 plasma; (2) magma electrolysis, both unadulterated and fluoride-fluxed, and (3) several others, including carbo-chlorination, HF acid leaching, fluorine extraction, magma oxidation, and vapor pyrolysis. The H2 reduction of ilmenite and magma electrolysis processes have received the most study to date. At this stage of development, they both appear feasible schemes with various pros and cons. However, all processes should be addressed at least at the onset of the considerations. It is ultimatley the energy requirements of the entire process, including the acquisition of feedstock, which will determine the mode of oxygen productions. There is an obvious need for considerably more experimentation and study. Some of these requisite studies are in progress, and several of the most studied and feasible processes for winning oxygen from lunar materials are reviewed.

  18. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  19. Physics and engineering of singlet delta oxygen production in low-temperature plasma

    International Nuclear Information System (INIS)

    Ionin, A A; Kochetov, I V; Napartovich, A P; Yuryshev, N N

    2007-01-01

    An overview is presented of experimental and theoretical research in the field of physics and engineering of singlet delta oxygen (SDO) production in low-temperature plasma of various electric discharges. Attention is paid mainly to the SDO production with SDO yield adequate for the development of an electric discharge oxygen-iodine laser (DOIL). The review comprises a historical sketch describing the main experimental results on SDO physics in low-temperature plasma obtained since the first detection of SDO in electric discharge in the 1950s and the first attempt to launch a DOIL in the 1970s up to the mid-1980s when several research groups started their activity aimed at DOIL development, stimulated by success in the development of a chemical oxygen-iodine laser (COIL). A detailed analysis of theoretical and experimental research on SDO production in electric discharge from the mid-1980s to the present, when the first DOIL has been launched, is given. Different kinetic models of oxygen low-temperature plasma are compared with the model developed by the authors. The latter comprises electron kinetics based on the accompanying solution of the electron Boltzmann equation, plasma chemistry including reactions of excited molecules and numerous ion-molecular reactions, thermal energy balance and electric circuit equation. The experimental part of the overview is focused on the experimental methods of SDO detection including experiments on the measurements of the Einstein coefficient for SDO transition a 1 Δ g - X 3 Σ g - and experimental procedures of SDO production in self-sustained and non-self-sustained discharges and analysis of different plasma-chemical processes occurring in oxygen low-temperature plasma which brings limitation to the maximum SDO yield and to the lifetime of the SDO in an electric discharge and its afterglow. Quite recently obtained results on gain and output characteristics of DOIL and some projects aimed at the development of high-power DOIL

  20. Plasma-Oxygen Interaction During Thin Films Deposition by Laser ...

    African Journals Online (AJOL)

    In this contribution we study the effect of the oxygen pressure on the plasma dynamics during the ablation of oxides materials into an oxygen gas. The study was done using fast imaging and ion probe techniques. Both techniques revealed that a threshold oxygen pressure is needed to initiate the plume oxygen interaction.

  1. Photoluminescence wavelength variation of monolayer MoS2 by oxygen plasma treatment

    International Nuclear Information System (INIS)

    Kim, Min Su; Nam, Giwoong; Park, Seki; Kim, Hyun; Han, Gang Hee; Lee, Jubok; Dhakal, Krishna P.; Leem, Jae-Young; Lee, Young Hee; Kim, Jeongyong

    2015-01-01

    We performed nanoscale confocal photoluminescence (PL), Raman, and absorption spectral imaging measurements to investigate the optical and structural properties of molybdenum disulfide (MoS 2 ) monolayers synthesized by chemical vapor deposition method and subjected to oxygen plasma treatment for 10 to 120 s under high vacuum (1.3 × 10 −3 Pa). Oxygen plasma treatment induced red shifts of ~ 20 nm in the PL emission peaks corresponding to A and B excitons. Similarly, the peak positions corresponding to A and B excitons of the absorption spectra were red-shifted following oxygen plasma treatment. Based on the confocal PL, absorption, and Raman microscopy results, we suggest that the red-shifting of the A and B exciton peaks originated from shallow defect states generated by oxygen plasma treatment. - Highlights: • Effects of oxygen plasma on optical properties of monolayer MoS 2 were investigated. • Confocal photoluminescence, Raman, and absorption spectral maps are presented. • Wavelength tuning up to ~ 20 nm for the peak emission wavelength was achieved

  2. Enhanced Hydrophilicity and Biocompatibility of Dental Zirconia Ceramics by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Chou Wu

    2015-02-01

    Full Text Available Surface properties play a critical role in influencing cell responses to a biomaterial. The objectives of this study were (1 to characterize changes in surface properties of zirconia (ZrO2 ceramic after oxygen plasma treatment; and (2 to determine the effect of such changes on biological responses of human osteoblast-like cells (MG63. The results indicated that the surface morphology was not changed by oxygen plasma treatment. In contrast, oxygen plasma treatment to ZrO2 not only resulted in an increase in hydrophilicity, but also it retained surface hydrophilicity after 5-min treatment time. More importantly, surface properties of ZrO2 modified by oxygen plasma treatment were beneficial for cell growth, whereas the surface roughness of the materials did not have a significant efficacy. It is concluded that oxygen plasma treatment was certified to be effective in modifying the surface state of ZrO2 and has the potential in the creation and maintenance of hydrophilic surfaces and the enhancement of cell proliferation and differentiation.

  3. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    International Nuclear Information System (INIS)

    Mathur, A.; Roy, S.S.; Hazra, K.S.; Wadhwa, S.; Ray, S.C.; Mitra, S.K.; Misra, D.S.; McLaughlin, J.A.

    2012-01-01

    Highlights: ► We showed Ar/O 2 plasma can be effective for the end opening of aligned CNTs. ► The field emission property was dramatically enhanced after plasma modification. ► Microstructures were clearly understood by Raman and SEM analysis. ► Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15–20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110° to 40°. It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from ∼0.80 V μm −1 (untreated) to ∼0.60 V μm −1 (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  4. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Mathur, A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Roy, S.S., E-mail: sinharoy@ualberta.ca [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Hazra, K.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); Wadhwa, S. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Ray, S.C. [School of Physics, University of the Witwatersrand, WITS 2050, Johannesburg (South Africa); Mitra, S.K. [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Misra, D.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); McLaughlin, J.A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer We showed Ar/O{sub 2} plasma can be effective for the end opening of aligned CNTs. Black-Right-Pointing-Pointer The field emission property was dramatically enhanced after plasma modification. Black-Right-Pointing-Pointer Microstructures were clearly understood by Raman and SEM analysis. Black-Right-Pointing-Pointer Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15-20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110 Degree-Sign to 40 Degree-Sign . It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from {approx}0.80 V {mu}m{sup -1} (untreated) to {approx}0.60 V {mu}m{sup -1} (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  5. Modification of low temperature deposited LiMn2O4 thin film cathodes by oxygen plasma irradiation

    International Nuclear Information System (INIS)

    Chen, Chen Chung; Chiu, Kuo-Feng; Lin, Kun Ming; Lin, Hsin Chih

    2009-01-01

    Lithium manganese oxides have been deposited by radio frequency magnetron sputter deposition with relatively lower annealing temperatures and then post-treated with a radio frequency (rf) driven oxygen plasma. Following oxygen plasma irradiation, the film properties were modified, and the performance of the thin film cathode has been enhanced. The electrochemical properties of the treated thin-film cathodes were characterized and compared. The results showed that the samples with moderate plasma treatment also maintained good cyclic properties as cycled at a wide range potential window of 2.0 V-4.5 V. Its electrochemical properties were significantly improved by this process, even though the films were prepared under low annealing temperature.

  6. Photoluminescence wavelength variation of monolayer MoS{sub 2} by oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min Su [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Nam, Giwoong [Department of Nanoscience & Engineering, Inje University, Gimhae 621-749 (Korea, Republic of); Park, Seki; Kim, Hyun [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Han, Gang Hee [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Lee, Jubok; Dhakal, Krishna P. [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Leem, Jae-Young [Department of Nanoscience & Engineering, Inje University, Gimhae 621-749 (Korea, Republic of); Lee, Young Hee [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Jeongyong, E-mail: j.kim@skku.edu [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2015-09-01

    We performed nanoscale confocal photoluminescence (PL), Raman, and absorption spectral imaging measurements to investigate the optical and structural properties of molybdenum disulfide (MoS{sub 2}) monolayers synthesized by chemical vapor deposition method and subjected to oxygen plasma treatment for 10 to 120 s under high vacuum (1.3 × 10{sup −3} Pa). Oxygen plasma treatment induced red shifts of ~ 20 nm in the PL emission peaks corresponding to A and B excitons. Similarly, the peak positions corresponding to A and B excitons of the absorption spectra were red-shifted following oxygen plasma treatment. Based on the confocal PL, absorption, and Raman microscopy results, we suggest that the red-shifting of the A and B exciton peaks originated from shallow defect states generated by oxygen plasma treatment. - Highlights: • Effects of oxygen plasma on optical properties of monolayer MoS{sub 2} were investigated. • Confocal photoluminescence, Raman, and absorption spectral maps are presented. • Wavelength tuning up to ~ 20 nm for the peak emission wavelength was achieved.

  7. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  8. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  9. Effects of oxygen plasma treatment on domestic aramid fiber III reinforced bismaleimide composite interfacial properties

    Science.gov (United States)

    Shi, Chen; Wang, Jing; Chen, Ping; Feng, Jiayue; Cui, Jinyuan; Yang, Faze

    2017-12-01

    Domestic Aramid Fiber III (DAF III) was modified by oxygen plasma treatment. The fiber surface characteristics was observed by Scanning Electron Microscopy. The results showed that oxygen plasma treatment changed surface morphologies. The effects of oxygen plasma treatment on DAF III reinforced bismaleimides (BMI) composite bending and interfacial properties were investigated, respectively. The ILSS value increased from 49.3 MPa to 56.0 MPa (by 13.5%) after oxygen plasma treatment. The bending strength changed a little. Furthermore, the composite rupture mode changed from interfacial rupture to fiber or resin bulk rupture.

  10. Biochar activated by oxygen plasma for supercapacitors

    Science.gov (United States)

    Gupta, Rakesh Kumar; Dubey, Mukul; Kharel, Parashu; Gu, Zhengrong; Fan, Qi Hua

    2015-01-01

    Biochar, also known as black carbon, is a byproduct of biomass pyrolysis. As a low-cost, environmental-friendly material, biochar has the potential to replace more expensive synthesized carbon nanomaterials (e.g. carbon nanotubes) for use in future supercapacitors. To achieve high capacitance, biochar requires proper activation. A conventional approach involves mixing biochar with a strong base and baking at a high temperature. However, this process is time consuming and energy inefficient (requiring temperatures >900 °C). This work demonstrates a low-temperature (characteristics are studied. Significant enhancement of the capacitance is achieved: 171.4 F g-1 for a 5-min oxygen plasma activation, in comparison to 99.5 F g-1 for a conventional chemical activation and 60.4 F g-1 for untreated biochar. This enhancement of the charge storage capacity is attributed to the creation of a broad distribution in pore size and a larger surface area. The plasma activation mechanisms in terms of the evolution of the biochar surface and microstructure are further discussed.

  11. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  12. Analysis of the expanding thermal argon-oxygen plasma gas phase

    International Nuclear Information System (INIS)

    Hest, M F A M van; Haartsen, J R; Weert, M H M van; Schram, D C; Sanden, M C M van de

    2003-01-01

    An expanding thermal argon plasma into which oxygen is injected has been analysed by means of Langmuir and Pitot probe measurements. Information is obtained on the ion density profile and the flow pattern in the downstream plasma. A combination of Langmuir and Pitot probe measurements provide information on the total ion flux generated by the plasma source (cascaded arc). It has been found that the ion diffusion is mainly determined by the background pressure in the expansion vessel and the arc current. The ion density is determined by the total power input into the plasma as well as the gas flow in the plasma source. There is an optimum in the power transfer used for ionization from plasma source to the feed gas. Interaction of oxygen with the plasma results in a decrease in the argon ion density and the plasma beam radius. The recirculation pattern of the downstream plasma has been investigated experimentally using the Pitot probe. Due to the low downstream pressure (10-30 Pa), the conventional compressible Pitot probe theory no longer applies. It is concluded that viscous effects start to play an important role at these low pressures and should be taken into account in the analysis of the Pitot probe measurements

  13. Femtosecond, two-photon laser-induced-fluorescence imaging of atomic oxygen in an atmospheric-pressure plasma jet

    Science.gov (United States)

    Schmidt, Jacob B.; Sands, Brian L.; Kulatilaka, Waruna D.; Roy, Sukesh; Scofield, James; Gord, James R.

    2015-06-01

    Femtosecond, two-photon-absorption laser-induced-fluorescence (fs-TALIF) spectroscopy is employed to measure space- and time-resolved atomic-oxygen distributions in a nanosecond, repetitively pulsed, externally grounded, atmospheric-pressure plasma jet flowing helium with a variable oxygen admixture. The high-peak-intensity, low-average-energy femtosecond pulses result in increased TALIF signal with reduced photolytic inferences. This allows 2D imaging of absolute atomic-oxygen number densities ranging from 5.8   ×   1015 to 2.0   ×   1012cm-3 using a cooled CCD with an external intensifier. Xenon is used for signal and imaging-system calibrations to quantify the atomic-oxygen fluorescence signal. Initial results highlight a transition in discharge morphology from annular to filamentary, corresponding with a change in plasma chemistry from ozone to atomic oxygen production, as the concentration of oxygen in the feed gas is changed at a fixed voltage-pulse-repetition rate. In this configuration, significant concentrations of reactive oxygen species may be remotely generated by sustaining an active discharge beyond the confines of the dielectric capillary, which may benefit applications that require large concentrations of reactive oxygen species such as material processing or biomedical devices.

  14. Oxygen functionalization of MWCNTs in RF-dielectric barrier discharge Ar/O2 plasma

    Science.gov (United States)

    Abdel-Fattah, E.; Ogawa, D.; Nakamura, K.

    2017-07-01

    The oxygenation of multi-wall carbon nanotubes (MWCNTs) was performed via a radio frequency dielectric barrier discharge (RF-DBD) in an Ar/{{\\text{H}}2}\\text{O} plasma mixture. The relative intensity of the Ar/{{\\text{O}}2} plasma species was characterized by optical emission spectroscopy (OES). The effects of treatment time, RF power and oxygen gas percentage on the chemical composition and surface morphology of MWCNTs were investigated by means of x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy and field emission scanning electron microscopy (FE-SEM). The results of FTIR and XPS revealed the presence of oxygen-containing functional groups on the MWCNTs treated in an Ar/{{\\text{O}}2} plasma at an RF power of 50 W and pressure of 400 Pa. The amount of oxygen functional groups (C=O, C-O, and O-COO) also increased by increasing treatment time up to 6 min, but slightly decreased when treatment time was increased by 10 min. The increase of oxygen gas percentage in the plasma mixture does not affect the oxygen content in the treated MWCNTs. Meanwhile, MWCNTs treated at high power (80 W) showed a reduction in oxygen functional groups in comparison with low RF power conditions. The Raman analysis was consistent with the XPS and FTIR results. The integrity of the nanotube patterns also remained damaged as observed by FE-SEM images. The MWCNTs treated in RF-DBD using the Ar/{{\\text{O}}2} plasma mixture showed improved dispersibility in deionized water. A correlation between the OES data and the observed surface characterization for an improved understanding of the functionalization of MWCNTs in Ar/{{\\text{O}}2} plasma was presented.

  15. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  16. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde; Etudes des plasmas organoscilicies dilues en oxygene utilises pour la deposition d'oxyde de silicium

    Energy Technology Data Exchange (ETDEWEB)

    Magni, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO{sub 2} film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO{sub 2} were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH{sub x} (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH{sub 4}) and acetylene (C{sub 2}H{sub 2}), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH{sub x}, such as formaldehyde (CH{sub 2}O), formic acid (CH{sub 2}O{sub 2}), carbon monoxide (CO), carbon dioxide (CO{sub 2}) and water. Moreover it is shown that the CO{sub 2} results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO{sub 2} were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous

  17. Oxygen Plasma Treatment on 3D-Printed Chitosan/Gelatin/Hydroxyapatite Scaffolds for Bone Tissue Engineering.

    Science.gov (United States)

    Lee, Chang-Min; Yang, Seong-Won; Jung, Sang-Chul; Kim, Byung-Hoon

    2017-04-01

    The 3D hydroxyapatite/gelatin/chitosan composite scaffolds were fabricated by 3D printing technique. The scaffolds were treated by oxygen plasma to improve the bioactivity and its surface characterization and in vitro cell culture were investigated. The scaffolds exhibited the good porosity and interconnectivity of pores. After oxygen plasma etching, roughness and wettability on the scaffolds surface are increased. Plasma treated scaffolds showed higher proliferation than that of untreated scaffolds. Oxygen plasma treatment could be used as potential tool to enhance the biocompatibility on the 3D composite scaffolds.

  18. Ionized gas (plasma) delivery of reactive oxygen species (ROS) into artificial cells

    International Nuclear Information System (INIS)

    Hong, Sung-Ha; Jenkins, A Toby A; Szili, Endre J; Short, Robert D

    2014-01-01

    This study was designed to enhance our understanding of how reactive oxygen species (ROS), generated ex situ by ionized gas (plasma), can affect the regulation of signalling processes within cells. A model system, comprising of a suspension of phospholipid vesicles (cell mimics) encapsulating a ROS reporter, was developed to study the plasma delivery of ROS into cells. For the first time it was shown that plasma unequivocally delivers ROS into cells over a sustained period and without compromising cell membrane integrity. An important consideration in cell and biological assays is the presence of serum, which significantly reduced the transfer efficiency of ROS into the vesicles. These results are key to understanding how plasma treatments can be tailored for specific medical or biotechnology applications. Further, the phospholipid vesicle ROS reporter system may find use in other studies involving the application of free radicals in biology and medicine. (fast track communication)

  19. Ionized gas (plasma) delivery of reactive oxygen species (ROS) into artificial cells

    Science.gov (United States)

    Hong, Sung-Ha; Szili, Endre J.; Jenkins, A. Toby A.; Short, Robert D.

    2014-09-01

    This study was designed to enhance our understanding of how reactive oxygen species (ROS), generated ex situ by ionized gas (plasma), can affect the regulation of signalling processes within cells. A model system, comprising of a suspension of phospholipid vesicles (cell mimics) encapsulating a ROS reporter, was developed to study the plasma delivery of ROS into cells. For the first time it was shown that plasma unequivocally delivers ROS into cells over a sustained period and without compromising cell membrane integrity. An important consideration in cell and biological assays is the presence of serum, which significantly reduced the transfer efficiency of ROS into the vesicles. These results are key to understanding how plasma treatments can be tailored for specific medical or biotechnology applications. Further, the phospholipid vesicle ROS reporter system may find use in other studies involving the application of free radicals in biology and medicine.

  20. High rate performance of LiMn2O4 cathodes for lithium ion batteries synthesized by low temperature oxygen plasma assisted sol–gel process

    International Nuclear Information System (INIS)

    Chen, C.-L.; Chiu, K.-F.; Chen, Y.-R.; Chen, C.C.; Lin, H.C.; Chiang, H.Y.

    2013-01-01

    Nano-crystalline LiMn 2 O 4 thin films have been synthesized by the sol–gel process at low temperature (623 K). The low temperature prepared films are treated by a direct current pulsed oxygen plasma, and tested as cathodes for lithium batteries. The plasma treated films are able to sustain charge–discharge cycles under significant high current density of up to 5.4 A/g corresponding to 45 C for battery operation. The capacity ratio for discharging at 1.2 A/g and 0.024 A/g is over 65%, indicating low internal resistance, which meets the requirement of fast charge and discharge for electric vehicles. The stable high current density performances can be attributed to the formation of a dense surface morphology that is induced by the plasma irradiation. The formation of the surface morphology results in the more uniform current distribution on the film surface, which decreases the interface charge transfer resistances as measured by the electrochemical impedance spectra. - Highlights: • A low temperature process has been used to synthesize LiMn 2 O 4 thin films. • Plasma treatment can reduce the interface charge transfer resistances for LiMn 2 O 4 . • LiMn 2 O 4 cathodes treated by plasma treatment can deliver high rate capability

  1. Analysis of the expanding thermal argon-oxygen plasma gas phase

    NARCIS (Netherlands)

    Hest, van M.F.A.M.; Haartsen, J.R.; Weert, van M.H.M.; Schram, D.C.; Sanden, van de M.C.M.

    2003-01-01

    An expanding thermal argon plasma into which oxygen is injected has been analyzed by means of Langmuir and Pitot probe measurements. Information is obtained on the ion d. profile and the flow pattern in the downstream plasma. A combination of Langmuir and Pitot probe measurements provide information

  2. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  3. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  4. Oxygen plasma treatments of jute fibers in improving the mechanical properties of jute/HDPE composites

    Energy Technology Data Exchange (ETDEWEB)

    Sever, K. [Department of Mechanical Engineering, Dokuz Eylul University, 35100, Izmir (Turkey); Erden, S. [Department of Mechanical Engineering, Ege University, 35100, Izmir (Turkey); Guelec, H.A. [Department of Food Engineering, Yuzuncu Yil University, 65250, Van (Turkey); Seki, Y., E-mail: yoldas.seki@deu.edu.tr [Department of Chemistry, Dokuz Eylul University, 35160, Buca, Izmir (Turkey); Sarikanat, M. [Department of Mechanical Engineering, Ege University, 35100, Izmir (Turkey)

    2011-09-15

    Highlights: {yields} To improve mechanical properties of jute/HDPE composites, jute fabric was subjected to oxygen plasma treatment. {yields} LF and RF plasma systems at different plasma powers were used for treatment. {yields} In LF system, interlaminar shear strength, tensile and flexure strengths showed a tendency to increase at plasma powers of 30 and 60 W. - Abstract: The surfaces of jute fabrics have been oxygen plasma treated using low frequency (LF) and radio frequency (RF) plasma systems at different plasma powers (30, 60, and 90 W) for 15 min to improve the mechanical properties of jute fiber/HDPE (high density polyethylene) composites. The effect of oxygen plasma treatment on the functional groups of jute fibers was examined by X-ray photoelectron spectroscopy (XPS) analysis. Effects of oxygen plasma treatments on the mechanical properties of jute fiber/HDPE composites were investigated by means of tensile, flexure, and short-beam shear tests. Surface morphology of the fractured surfaces of composites was observed by using scanning electron microscopy (SEM). When RF plasma system was used, the interlaminar shear strength (ILSS) values of the composites increased with increasing plasma power. Similarly, in LF plasma system, ILSS values showed a tendency to increase at plasma powers of 30 and 60 W. However, increasing of plasma power to 90 W decreased the ILSS value of jute/HDPE composite. Also, tensile and flexure strengths of the composites showed similar trends.

  5. Oxygen plasma treatments of jute fibers in improving the mechanical properties of jute/HDPE composites

    International Nuclear Information System (INIS)

    Sever, K.; Erden, S.; Guelec, H.A.; Seki, Y.; Sarikanat, M.

    2011-01-01

    Highlights: → To improve mechanical properties of jute/HDPE composites, jute fabric was subjected to oxygen plasma treatment. → LF and RF plasma systems at different plasma powers were used for treatment. → In LF system, interlaminar shear strength, tensile and flexure strengths showed a tendency to increase at plasma powers of 30 and 60 W. - Abstract: The surfaces of jute fabrics have been oxygen plasma treated using low frequency (LF) and radio frequency (RF) plasma systems at different plasma powers (30, 60, and 90 W) for 15 min to improve the mechanical properties of jute fiber/HDPE (high density polyethylene) composites. The effect of oxygen plasma treatment on the functional groups of jute fibers was examined by X-ray photoelectron spectroscopy (XPS) analysis. Effects of oxygen plasma treatments on the mechanical properties of jute fiber/HDPE composites were investigated by means of tensile, flexure, and short-beam shear tests. Surface morphology of the fractured surfaces of composites was observed by using scanning electron microscopy (SEM). When RF plasma system was used, the interlaminar shear strength (ILSS) values of the composites increased with increasing plasma power. Similarly, in LF plasma system, ILSS values showed a tendency to increase at plasma powers of 30 and 60 W. However, increasing of plasma power to 90 W decreased the ILSS value of jute/HDPE composite. Also, tensile and flexure strengths of the composites showed similar trends.

  6. Plasma cleaning for waste minimization

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1993-07-01

    Although plasma cleaning is a recognized substitute for solvent cleaning in removing organic contaminants, some universal problems in plasma cleaning processes prevent wider use of plasma techniques. Lack of understanding of the fundamental mechanisms of the process, unreliable endpoint detection techniques, and slow process times make plasma cleaning processes less than desirable. Our approach to address these plasma cleaning problems is described. A comparison of plasma cleaning rates of oxygen and oxygen/sulfur hexafluoride gases shows that fluorine-containing plasmas can enhance etch rates by 400% over oxygen alone. A discussion of various endpoint indication techniques is discussed and compared for application suitability. Work toward a plasma cleaning database is discussed. In addition to the global problems of plasma cleaning, an experiment where the specific mixed-waste problem of removal of machine oils from radioactive scrap metal is discussed.

  7. Dielectronic recombination of carbon, oxygen and iron in low-density and high-temperature plasmas

    International Nuclear Information System (INIS)

    Nakamura, Yukiharu; Kasai, Satoshi; Tazima, Teruhiko

    1977-03-01

    The coefficient of dielectronic recombination, which is one of the important atomic processes in tokamak plasmas, is evaluated by a semiclassical method neglecting the effects of the density and the radiation fields. Those of carbon, oxygen and iron, which play important roles in such as plasma resistivity and energy losses, are calculated numerically in the range of the electron temperature of 10 eV - 10 keV. Compared with the results obtained from Burgess equation, which is most useful for the ions with effective nuclear charge z 25 such as molybdenum. (auth.)

  8. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  9. Oxygen toxicity

    Directory of Open Access Journals (Sweden)

    C. A. van der Westhuizen

    1990-07-01

    Full Text Available Oxygen has been discovered about 200 years ago. Since then the vital physiological involvement of oxygen in various biologi­cal processes, mainly energy production, has been established. However, in the body molecular oxygen can be converted to toxic oxygen metabolites such as superoxide anion, hydrogen peroxide, the hydroxyl radical and singlet oxygen. These toxic metabolites are produced mainly in the mitochondria, plasma membranes and endoplasmic reticulum.

  10. Effect of oxygen atoms dissociated by non-equilibrium plasma on flame of methane oxygen and argon pre-mixture gas

    Science.gov (United States)

    Akashi, Haruaki; Yoshinaga, Tomokazu; Sasaki, Koichi

    2014-10-01

    For more efficient way of combustion, plasma-assisted combustion has been investigated by many researchers. But it is very difficult to clarify the effect of plasma even on the flame of methane. Because there are many complex chemical reactions in combustion system. Sasaki et al. has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power. They also measured emission from Second Positive Band System of nitrogen during the irradiation. The emission indicates existence of high energy electrons which are accelerated by the microwave. The high energy electrons also dissociate oxygen molecules easily and oxygen atom would have some effects on the flame. But the dissociation ratio of oxygen molecules by the non-equilibrium plasma is significantly low, compared to that in the combustion reaction. To clarify the effect of dissociated oxygen atoms on the flame, dependence of dissociation ratio of oxygen on the flame has been examined using CHEMKIN. It is found that in the case of low dissociation ratio of 10-6, the ignition of the flame becomes slightly earlier. It is also found that in the case of high dissociation ratio of 10-3, the ignition time becomes significantly earlier by almost half. This work was supported by KAKENHI (22340170).

  11. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  12. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  13. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde

    International Nuclear Information System (INIS)

    Magni, D.

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO 2 film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO 2 were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH x (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH 4 ) and acetylene (C 2 H 2 ), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH x , such as formaldehyde (CH 2 O), formic acid (CH 2 O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ) and water. Moreover it is shown that the CO 2 results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO 2 were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous phase. Particle formation in oxygen-diluted HMDSO

  14. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  15. Antioxidants may Attenuate Plasma Erythropoietin Decline after Hyperbaric Oxygen Diving.

    Science.gov (United States)

    Mutzbauer, T S; Schneider, M; Neubauer, B; Weiss, M; Tetzlaff, K

    2015-11-01

    According to previous studies, plasma erythropoietin (EPO) may decrease after hyperbaric oxygen exposure due to oxidative stress. It is hypothesized that the decrease of EPO can be attenuated by oxygen free radical scavengers.The aim of the present study was to evaluate whether EPO plasma levels can be influenced by oral application of vitamin C and E before repeated hyperbaric oxygen exposure during diving. 16 healthy male police task force divers performed 3 morning dives on oxygen within a regular diving schedule on 3 consecutive days. They were randomized into either the placebo group or the vitamin group, receiving 1 g ascorbic acid and 600 IU D-α-tocopherol orally 60 min before the dive. Blood samples for EPO measurement were taken on days 1, 2, and 3 at T1, T3 and T5 60 min before and at T2, T4 and T6 60 min after each dive, respectively. A moderate decrease of EPO was observed beginning at T3 until T6 in the placebo group. The EPO concentrations in the vitamin group did not show relevant variations compared to baseline. Radical scavenging vitamins C and D may counteract hyperbaric oxygen related mechanisms reducing EPO production in hyperbaric oxygen exposure during diving. © Georg Thieme Verlag KG Stuttgart · New York.

  16. Atomic oxygen production scaling in a nanosecond-pulsed externally grounded dielectric barrier plasma jet

    Science.gov (United States)

    Sands, Brian; Schmidt, Jacob; Ganguly, Biswa; Scofield, James

    2014-10-01

    Atomic oxygen production is studied in a capillary dielectric barrier plasma jet that is externally grounded and driven with a 20-ns risetime positive unipolar pulsed voltage at pulse repetition rates up to 25 kHz. The power coupled to the discharge can be easily increased by increasing the pulse repetition rate. At a critical turnover frequency, determined by the net energy density coupled to the discharge, the plasma chemistry abruptly changes. This is indicated by increased plasma conductance and a transition in reactive oxygen species production from an ozone-dominated production regime below the turnover frequency to atomic-oxygen-dominated production at higher pulse rates. Here, we characterize atomic oxygen production scaling using spatially- and temporally-resolved two-photon absorption laser-induced-fluorescence (TALIF). Quantitative results are obtained via calibration with xenon using a similar laser excitation and collection system. These results are compared with quantitative ozone and discharge power measurements using a helium gas flow with oxygen admixtures up to 3%.

  17. Numerical simulation of physicochemical interactions between oxygen atom and phosphatidylcholine due to direct irradiation of atmospheric pressure nonequilibrium plasma to biological membrane with quantum mechanical molecular dynamics

    Science.gov (United States)

    Uchida, Satoshi; Yoshida, Taketo; Tochikubo, Fumiyoshi

    2017-10-01

    Plasma medicine is one of the most attractive applications using atmospheric pressure nonequilibrium plasma. With respect to direct contact of the discharge plasma with a biological membrane, reactive oxygen species play an important role in induction of medical effects. However, complicated interactions between the plasma radicals and membrane have not been understood well. In the present work, we simulated elemental processes at the first stage of physicochemical interactions between oxygen atom and phosphatidylcholine using the quantum mechanical molecular dynamics code in a general software AMBER. The change in the above processes was classified according to the incident energy of oxygen atom. At an energy of 1 eV, the abstraction of a hydrogen atom and recombination to phosphatidylcholine were simultaneously occurred in chemical attachment of incident oxygen atom. The exothermal energy of the reaction was about 80% of estimated one based on the bond energies of ethane. An oxygen atom over 10 eV separated phosphatidylcholine partially. The behaviour became increasingly similar to physical sputtering. The reaction probability of oxygen atom was remarkably high in comparison with that of hydrogen peroxide. These results suggest that we can uniformly estimate various physicochemical dynamics of reactive oxygen species against membrane lipids.

  18. Effect of oxygen plasma treatment on adhesion improvement of Au deposited on Pa-c substrates

    International Nuclear Information System (INIS)

    Lee, Jeong Hoon; Hwang, Kyo Seon; Kim, Tae Song; Seong, Jin Wook; Yoon, Ki Hyun; Ahn, Sae Young

    2004-01-01

    Adhesion of gold on parylene C (Pa-c) is a major hurdle in achieving reliable and durable performance for biosensor application due to the hydrophobicity of Pa-c. It is, therefore, imperative to put efforts to improve adhesion between Au and Pa-c. In this reseach, oxygen plasma treatment for adhesion improvement was performed on Pa-c surfaces at various plasma powers and times. To analyze the relation of surface energy and roughness to adhesion promotion, we used several techniques such as contact-angle, surface-energy, surface-roughness, and adhesion analyses. As the oxygen plasma power and time were increased, the surface roughness of Pa-c increased. Also, Au films had larger and more uniform grain sizes as the oxygen plasma power and time were increased. Untreated surfaces revealed a contact angle of 108 .deg. , but the contact angle drastically decreased in the initial stage of oxygen plasma treatment and slowly decreased with increasing power and time to values of 27.3 and 34, respectively. From the adhesion analysis, adhesion was improved as the plasma power or time was increased. The improvement of adhesion is related to an increase in roughness as well as carbonyl groups.

  19. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  20. Distribution of energetic oxygen and hydrogen in the near-Earth plasma sheet

    Science.gov (United States)

    Kronberg, E. A.; Grigorenko, E. E.; Haaland, S. E.; Daly, P. W.; Delcourt, D. C.; Luo, H.; Kistler, L. M.; Dandouras, I.

    2015-05-01

    The spatial distributions of different ion species are useful indicators for plasma sheet dynamics. In this statistical study based on 7 years of Cluster observations, we establish the spatial distributions of oxygen ions and protons at energies from 274 to 955 keV, depending on geomagnetic and solar wind (SW) conditions. Compared with protons, the distribution of energetic oxygen has stronger dawn-dusk asymmetry in response to changes in the geomagnetic activity. When the interplanetary magnetic field (IMF) is directed southward, the oxygen ions show significant acceleration in the tail plasma sheet. Changes in the SW dynamic pressure (Pdyn) affect the oxygen and proton intensities in the same way. The energetic protons show significant intensity increases at the near-Earth duskside during disturbed geomagnetic conditions, enhanced SW Pdyn, and southward IMF, implying there location of effective inductive acceleration mechanisms and a strong duskward drift due to the increase of the magnetic field gradient in the near-Earth tail. Higher losses of energetic ions are observed in the dayside plasma sheet under disturbed geomagnetic conditions and enhanced SW Pdyn. These observations are in agreement with theoretical models.

  1. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  2. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  3. Modulating Protein Adsorption on Oxygen Plasma Modified Polysiloxane Surfaces

    International Nuclear Information System (INIS)

    Marletta, G.

    2006-01-01

    In the present paper we report the study on the adsorption behaviour of three model globular proteins, Human Serum Albumin, Lactoferrin and Egg Chicken Lysozyme onto both unmodified surfaces of a silicon-based polymer and the corresponding plasma treated surfaces. In particular, thin films of hydrophobic polysiloxane (about 90 degree of static water contact angle, WCA) were converted by oxygen plasma treatment at reduced pressure into very hydrophilic phases of SiOx (WCA less than 5 degree). The kinetics of protein adsorption processes were investigated by QCM-D technique, while the chemical structure and topography of the protein adlayer have been studied by Angular resolved-XPS and AFM respectively. It turned out that Albumin and Lysozyme exhibited the opposite preferential adsorption respectively onto the hydrophobic and hydrophilic surfaces, while Lactoferrin did not exhibit significant differences. The observed protein behaviour are discussed both in terms of surface-dependent parameters, including surface free energy and chemical structure, and in terms of protein-dependent parameters, including charge as well as the average molecular orientation in the adlayers. Finally, some examples of differential adsorption behaviour of the investigated proteins are reported onto nanopatterned polysiloxane surfaces consisting of hydrophobic nanopores surrounded by hydrophilic (plasma-treated) matrix and the reverse

  4. Surface modification of electrospun fibre meshes by oxygen plasma for bone regeneration

    International Nuclear Information System (INIS)

    Nandakumar, A; Tahmasebi Birgani, Z; Santos, D; Mentink, A; Auffermann, N; Moroni, L; Van Blitterswijk, C; Habibovic, P; Van der Werf, K; Bennink, M

    2013-01-01

    Plasma treatment is a method to modify the physicochemical properties of biomaterials, which consequently may affect interactions with cells. Based on the rationale that physical cues on the surface of culture substrates and implants, such as surface roughness, have proven to alter cell behaviour, we used electrospinning to fabricate fibrous three-dimensional scaffolds made of a poly (ethylene oxide terephthalate)/poly (butylene terephthalate) copolymer to mimic the physical microenvironment of extracellular matrix and applied radio-frequency oxygen plasma treatment to create nanoscale roughness. Scanning electron microscopy (SEM) analysis revealed a fibre diameter of 5.49 ± 0.96 µm for as-spun meshes. Atomic force microscopy (AFM) measurements determined an exponential increase of surface roughness with plasma treatment time. An increase in hydrophilicity after plasma treatment was observed, which was associated with higher oxygen content in plasma treated scaffolds compared to untreated ones. A more pronounced adsorption of bovine serum albumin occurred on scaffolds treated with plasma for 15 and 30 min compared to untreated fibres. Clinically relevant human mesenchymal stromal cells (hMSCs) were cultured on untreated, 15 and 30 min treated scaffolds. SEM analysis confirmed cell attachment and a pronounced spindle-like morphology on all scaffolds. No significant differences were observed between different scaffolds regarding the amount of DNA, metabolic activity and alkaline phosphatase (ALP) activity after 7 days of culture. The amount of ALP positive cells increased between 7 and 21 days of culture on both untreated and 30 min treated meshes. In addition, ALP staining of cells on plasma treated meshes appeared more pronounced than on untreated meshes after 21 days of culture. Quantitative polymerase chain reaction showed significant upregulation of bone sialoprotein and osteonectin expression on oxygen plasma treated fibres compared to untreated fibres in

  5. The influence of target oxygen on the YBa2Cu3O6+δ DC Magnetron sputtering process

    International Nuclear Information System (INIS)

    Larsson, G.; Selinder, T.I.; Helmersson, U

    1990-01-01

    The oxygen partial pressure and the target potential have been monitored under a range of process conditions during single target dc magnetron sputtering of Y-Ba-Cu-O. The introduced sputtering gas consisted in all but one instance of pure argon and hence the oxygen present in the plasma originated mainly from the target. During the first hours of sputtering the oxygen partial pressure was of the same magnitude as the argon pressure (3.0 Pa). As the oxygen was released from the target and subsequently removed by pumping, the target potential increased and the film composition became more stoichiometric. After 30-40 hours of sputtering the target potential and the oxygen pressure stabilized and the film composition was equal to that of the stoichiometric target. If an oxygen flow exceeding a critical level was mixed into the sputtering gas the target potential and the deposition rate decreased swiftly. This was due to target oxidation, further manifested in changing plasma and target colours. In some instances the stabilization after 'presputtering' was incomplete and oscillations in target voltage and oxygen partial pressure were observed. The fluctuations made it virtually impossible to obtain stoichiometric films. The oscillative behaviour of the sputtering process is tentatively explained by a target temperature dependent oxygen diffusion. (au)

  6. Improved stability of OLEDs with mild oxygen plasma treated PEDOT:PSS

    International Nuclear Information System (INIS)

    Zhou Yunfei; Yuan Yongbo; Cao Lingfang; Zhang Jie; Pang Hongqi; Lian Jiarong; Zhou Xiang

    2007-01-01

    We demonstrate improved stability of OLEDs with mild oxygen plasma-treated poly (3,4-ethylenedioxythiophene) doped with poly (styrenesulfonate) (PEDOT:PSS) as anode buffer layer. The devices with treated PEDOT:PSS layer exhibited dramatically enhanced lifetime by a factor of 9 compared to the control devices. We investigated the substantial changes in surface morphology of PEDOT:PSS layer after the mild oxygen plasma treatment by scanning electron microscopy and atomic force microscopy. We found that the appropriate treatment can form uniformly distributed nano scaled hillocks/islands on the surface of PEDOT:PSS layer, which possibly result in improved contact to hole transport layer and thus enhanced lifetime of the devices

  7. Factors Determining the Oxygen Permeability of Biological Membranes: Oxygen Transport Across Eye Lens Fiber-Cell Plasma Membranes.

    Science.gov (United States)

    Subczynski, Witold Karol; Widomska, Justyna; Mainali, Laxman

    2017-01-01

    Electron paramagnetic resonance (EPR) spin-label oximetry allows the oxygen permeability coefficient to be evaluated across homogeneous lipid bilayer membranes and, in some cases, across coexisting membrane domains without their physical separation. The most pronounced effect on oxygen permeability is observed for cholesterol, which additionally induces the formation of membrane domains. In intact biological membranes, integral proteins induce the formation of boundary and trapped lipid domains with a low oxygen permeability. The effective oxygen permeability coefficient across the intact biological membrane is affected not only by the oxygen permeability coefficients evaluated for each lipid domain but also by the surface area occupied by these domains in the membrane. All these factors observed in fiber cell plasma membranes of clear human eye lenses are reviewed here.

  8. Modifying TiO{sub 2} surface architecture by oxygen plasma to increase dye sensitized solar cell efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Rajmohan, Gayathri Devi [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Dai, Xiujuan J., E-mail: jane.dai@deakin.edu.au [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Tsuzuki, Takuya; Lamb, Peter R. [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Plessis, Johan du [School of Applied Sciences, RMIT University, GPO Box 2476 V, Melbourne, Victoria 3001 (Australia); Huang, Fuzhi; Cheng, Yi-Bing [Department of Materials Engineering, Monash University, Melbourne, Victoria 3800 (Australia)

    2013-10-31

    Oxygen plasma treatment of TiO{sub 2} films has been used to improve the efficiency of dye sensitized solar cells. Both a commercial TiO{sub 2} sample and a TiO{sub 2} thin film synthesized by a sol-gel technique were treated using a custom built inductively coupled plasma apparatus. X-ray photoelectron spectroscopy revealed that oxygen-plasma treatment increased the number of oxygen functional groups (hydroxyl groups) and introduced some Ti{sup 3+} species on the surface of TiO{sub 2}. A sample solar cell with plasma treated TiO{sub 2} showed an overall solar-to-electricity conversion efficiency of 4.3%, about a 13% increase over untreated TiO{sub 2}. The photon conversion efficiency for the plasma treated TiO{sub 2} was 34% higher than untreated TiO{sub 2}. This enhanced cell-performance is partly due to increased dye adsorption from an increase in surface oxygen functional groups and also may be partly due to Ti{sup 3+} states on the surface of TiO{sub 2}. - Highlights: • Oxygen plasma is used to generate hydroxyl groups on the surface of TiO{sub 2} • Parallel study was conducted using a spin coated TiO{sub 2} and a Commercial TiO{sub 2} film. • The plasma functionalization caused increased dye uptake. • Some species in Ti{sup 3+} state are also generated after oxygen plasma. • Dye sensitised solar cell with functionalised electrode showed improved efficiency.

  9. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  10. Non-thermal dielectric barrier discharge plasma induces angiogenesis through reactive oxygen species.

    Science.gov (United States)

    Arjunan, Krishna Priya; Friedman, Gary; Fridman, Alexander; Clyne, Alisa Morss

    2012-01-07

    Vascularization plays a key role in processes such as wound healing and tissue engineering. Non-thermal plasma, which primarily produces reactive oxygen species (ROS), has recently emerged as an efficient tool in medical applications including blood coagulation, sterilization and malignant cell apoptosis. Liquids and porcine aortic endothelial cells were treated with a non-thermal dielectric barrier discharge plasma in vitro. Plasma treatment of phosphate-buffered saline (PBS) and serum-free medium increased ROS concentration in a dose-dependent manner, with a higher concentration observed in serum-free medium compared with PBS. Species concentration inside cells peaked 1 h after treatment, followed by a decrease 3 h post treatment. Endothelial cells treated with a plasma dose of 4.2 J cm(-2) had 1.7 times more cells than untreated samples 5 days after plasma treatment. The 4.2 J cm(-2) plasma dose increased two-dimensional migration distance by 40 per cent compared with untreated control, while the number of cells that migrated through a three-dimensional collagen gel increased by 15 per cent. Tube formation was also enhanced by plasma treatment, with tube lengths in plasma-treated samples measuring 2.6 times longer than control samples. A fibroblast growth factor-2 (FGF-2) neutralizing antibody and ROS scavengers abrogated these angiogenic effects. These data indicate that plasma enhanced proliferation, migration and tube formation is due to FGF-2 release induced by plasma-produced ROS. Non-thermal plasma may be used as a potential tool for applying ROS in precise doses to enhance vascularization.

  11. Sterilization Effect of Wet Oxygen Plasma in the Bubbling Method.

    Science.gov (United States)

    Tamazawa, Kaoru; Shintani, Hideharu; Tamazawa, Yoshinori; Shimauchi, Hidetoshi

    2015-01-01

    A new low-temperature sterilization method to replace the ethylene oxide gas sterilization is needed. Strong bactericidal effects of OH and O2H radicals are well known. The purpose of this study was to evaluate the sterilization effect of wet oxygen ("O2+H2O") plasma in the bubbling method, confirming the effect of humidity. Sterility assurance was confirmed by using a biological indicator (Geobacillus stearothermophilus ATCC7953, Namsa, USA). One hundred and eight samples (10(5) spores/carrier) were divided into three groups of 36 in each for treatment with a different type of gas (O2, O2+H2O, Air+H2O). Plasma processing was conducted using a plasma ashing apparatus (13.56 MHz, PACK-3(®), Y. A. C., Japan) under various gas pressures (13, 25, 50 Pa) and gas flows (50, 100, 200 sccm). Fixed plasma treatment parameters were power at 150 W, temperature of 60 ℃, treatment time of 10 min. The samples after treatment were incubated in trypticase soy broth at 58 ℃ for 72 h. The negative culture rate in the "O2+H2O" group was significantly (Mantel-Haenszel procedure, pbubbling method which is the method of introducing vapor into the chamber. The bubbling method seems able to generate OH and O2H radicals in a stable way.

  12. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  13. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  14. Molybdenum plasma spray powder, process for producing said powder, and coating made therefrom

    International Nuclear Information System (INIS)

    Lafferty, W.D.; Cheney, R.F.; Pierce, R.H.

    1979-01-01

    Plasma spray powders of molybdenum particles containing 0.5 to 15 weight percent oxygen and obtained by reacting molybdenum particles with oxygen or oxides in a plasma, form plasma spray coatings exhibiting hardness comparable to flame sprayed coatings formed from molybdenum wire and plasma coatings of molybdenum powders. Such oxygen rich molybdenum powders may be used to form wear resistant coatings, such as for piston rings. (author)

  15. Oxygen Dependent Biocatalytic Processes

    DEFF Research Database (Denmark)

    Pedersen, Asbjørn Toftgaard

    Enzyme catalysts have the potential to improve both the process economics and the environ-mental profile of many oxidation reactions especially in the fine- and specialty-chemical industry, due to their exquisite ability to perform stereo-, regio- and chemo-selective oxida-tions at ambient...... to aldehydes and ketones, oxyfunctionalization of C-H bonds, and epoxidation of C-C double bonds. Although oxygen dependent biocatalysis offers many possibilities, there are numerous chal-lenges to be overcome before an enzyme can be implemented in an industrial process. These challenges requires the combined...... far below their potential maximum catalytic rate at industrially relevant oxygen concentrations. Detailed knowledge of the en-zyme kinetics are therefore required in order to determine the best operating conditions and design oxygen supply to minimize processing costs. This is enabled...

  16. An in vitro investigation of bacteria-osteoblast competition on oxygen plasma-modified PEEK.

    Science.gov (United States)

    Rochford, Edward T J; Subbiahdoss, Guruprakash; Moriarty, T Fintan; Poulsson, Alexandra H C; van der Mei, Henny C; Busscher, Henk J; Richards, R Geoff

    2014-12-01

    Polyetheretherketone (PEEK) films were oxygen plasma treated to increase surface free energy and characterized by X-ray photoelectron microscopy, atomic force microscopy, and water contact angles. A parallel plate flow chamber was used to measure Staphylococcus epidermidis, Staphylococcus aureus, and U-2 OS osteosarcomal cell-line adhesion to the PEEK films in separate monocultures. In addition, bacteria and U-2 OS cells were cocultured to model competition between osteoblasts and contaminating bacteria for the test surfaces. Plasma treatment of the surfaces increased surface oxygen content and decreased the hydrophobicity of the materials, but did not lead to a significant difference in bacterial or U-2 OS cell adhesion in the monocultures. In the S. epidermidis coculture experiments, the U-2 OS cells adhered in greater numbers on the treated surfaces compared to the untreated PEEK and spread to a similar extent. However, in the presence of S. aureus, cell death of the U-2 OS occurred within 10 h on all surfaces. The results of this study suggest that oxygen plasma treatment of PEEK may maintain the ability of osteoblast-like cells to adhere and spread, even in the presence of S. epidermidis contamination, without increasing the risk of preoperative bacterial adhesion. Therefore, oxygen plasma-treated PEEK remains a promising method to improve implant surface free energy for osseointegration. © 2014 Wiley Periodicals, Inc.

  17. Atomic Oxygen Energy in Low Frequency Hyperthermal Plasma Ashers

    Science.gov (United States)

    Banks, Bruce A.; Miller, Sharon K R.; Kneubel, Christian A.

    2014-01-01

    Experimental and analytical analysis of the atomic oxygen erosion of pyrolytic graphite as well as Monte Carlo computational modeling of the erosion of Kapton H (DuPont, Wilmington, DE) polyimide was performed to determine the hyperthermal energy of low frequency (30 to 35 kHz) plasma ashers operating on air. It was concluded that hyperthermal energies in the range of 0.3 to 0.9 eV are produced in the low frequency air plasmas which results in texturing similar to that in low Earth orbit (LEO). Monte Carlo computational modeling also indicated that such low energy directed ions are fully capable of producing the experimentally observed textured surfaces in low frequency plasmas.

  18. Surface activation of cyclo olefin polymer by oxygen plasma discharge: a molecular dynamics study

    International Nuclear Information System (INIS)

    Soberon, Felipe

    2014-01-01

    Thermoplastic substrates made of cyclo olefin polymer (COP) are treated with oxygen plasma discharges to introduce polar groups at the surface. This is the first step in the process of surface functionalization of COP substrates used in biosensor devices. A molecular dynamics model of basic COP structure is implemented using the second-generation reactive empirical bond order (REBO) potentials for hydrocarbon–oxygen interactions. The model includes covalent bond and Van der Waals interactions. The bombardment of a COP surface with mono-energetic atomic oxygen ions, energy in the range 1-35 eV, is simulated and reported here. The dynamics of the substrate modification reveals that the substrate top layer is de-hydrogenated and subsequently builds up an oxygen–carbon matrix layer, ∼10 Å thick. Analysis of the modified substrates indicates that surface yield is predominantly peroxide groups. (paper)

  19. Evaluation of bonding between oxygen plasma treated polydimethyl siloxane and passivated silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tang, K C [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Liao, E [Semiconductor Process Technologies Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Ong, W L [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Wong, J D S [Semiconductor Process Technologies Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Agarwal, A [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Nagarajan, R [Semiconductor Process Technologies Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Yobas, L [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore)

    2006-04-01

    Oxygen plasma treatment has been used extensively to bond polydimethyl siloxane to polydimethyl siloxane or glass in the rapid prototyping of microfluidic devices. This study aimed to improve the bonding quality of polydimethyl siloxane to passivated silicon using oxygen plasma treatment, and also to evaluate the bonding quality. Four types of passivated silicon were used: phosphosilicate glass, undoped silicate glass, silicon nitride and thermally grown silicon dioxide. Bonding strength was evaluated qualitatively and quantitatively using manual peel and mechanical shear tests respectively. Through peel tests we found that the lowering of plasma pressure from 500 to 30 mTorr and using a plasma power between 20 to 60 W helped to improve the bond quality for the first three types of passivation. Detailed analysis and discussion were conducted to explain the discrepancy between the bonding strength results and peeling results. Our results suggested that polydimethyl siloxane can be effectively bonded to passivated silicon, just as to polydimethyl siloxane or glass.

  20. The modification of nanocomposite hybrid polymer surfaces by exposure to oxygen containing plasmas

    Science.gov (United States)

    Figueiredo, Ashley; Zimmermann, Katherine; Augustine, Brian; Hughes, Chris; Chusuei, Charles

    2006-11-01

    The wetting properties of the surfaces of the nanocomposite hybrid polymer poly[(propylmethacryl-heptaisobutyl- polyhedral oligomeric silsequioxane)-co-(methylmethacrylate)] (POSS-PMMA)has been studied before and after exposure to plasmas containing oxygen. The contact angle of water droplets on the surface showed a substantial decrease after plasma exposure indicating an increase in the hydrophilicity of the surface. A model was developed in which the plasma preferentially removed organic material including both the PMMA backbone and isobutyl groups from the corners of the POSS cages leaving behind a surface characterized by the silicon oxide-like POSS material. Measurements of surface concentrations of oxygen, silicon, and carbon by x-ray photoelectron spectroscopy (XPS) showed an increase in the amount of oxygen and silicon compared to carbon and the appropriate chemical shifts were observed in the XPS data to support the model of Si-O enrichment on the surface. Variable angle spectroscopic ellipsometry (VASE) and atomic force microscopy (AFM) measurements also supported the model and these results will be presented.

  1. Oxidation of the persistent compounds in the oxygen-hydrogen plasma

    International Nuclear Information System (INIS)

    Brozek, V.; Novak, M.; Vonka, P.; Kopecky, V.; Hrabovsky, M.

    1995-01-01

    The effectiveness of plasma-chemical decomposition of the persistent halogenated aliphatic hydrocarbons (CCl 4 , CF 2 Cl 2 , CF 3 Cl 3 and C 6 Cl 6 ) was examined by the analysis of emergent products in the dependence on the next parameters of the plasma-chemical reactor: power of the plasmatron; geometry of the plasmatic cone; velocity of flow of the gases by reaction chamber; stoichiometry of the ratio of the oxygen-air-water

  2. Specific Effects of Oxygen Molecule and Plasma on Thin-Film Growth of Y-Ba-Cu-O and Bi-Sr-(Ca)-Cu-O Systems

    Science.gov (United States)

    Endo, Tamio; Horie, Munehiro; Hirate, Naoki; Itoh, Katsutoshi; Yamada, Satoshi; Tada, Masaki; Itoh, Ken-ichi; Sugiyama, Morihiro; Sano, Shinji; Watabe, Kinji

    1998-07-01

    Thin films of a-oriented YBa2Cu3Ox (YBCO), Ca-doped c-oriented Bi2(Sr,Ca)2CuOx and nondoped c-oriented Bi2Sr2CuOx (Bi2201) were prepared at low temperatures by ion beam sputtering with supply of oxygen molecules or plasma. The plasma enhances crystal growth of the a-YBCO and Ca-doped Bi2201 phases. This can be interpreted in terms of their higher surface energies. The growth and quality of nondoped Bi2201 are improved with the supply of oxygen molecules. This particular result could be interpreted by the collision process between the oxygen molecules and the sputtered particles.

  3. Atmospheric plasma generates oxygen atoms as oxidizing species in aqueous solutions

    Czech Academy of Sciences Publication Activity Database

    Hefny, M.M.; Pattyn, C.; Lukeš, Petr; Benedikt, J.

    2016-01-01

    Roč. 49, č. 40 (2016), s. 404002 ISSN 0022-3727 R&D Projects: GA MŠk(CZ) LD14080 Grant - others:European Cooperation in Science and Technology(XE) COST TD1208 Institutional support: RVO:61389021 Keywords : atmospheric pressure plasma * transport of reactive species * reactive oxygen species * aqueous phase chemistry * plasma and liquids * phenol aqueous chemistry Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.588, year: 2016 http://iopscience.iop.org/article/10.1088/0022-3727/49/40/404002

  4. Influence of driving frequency on oxygen atom density in O2 radio frequency capacitively coupled plasma

    International Nuclear Information System (INIS)

    Kitajima, Takeshi; Noro, Kouichi; Nakano, Toshiki; Makabe, Toshiaki

    2004-01-01

    The influence of the driving frequency on the absolute oxygen atom density in an O 2 radio frequency (RF) capacitively coupled plasma (CCP) was investigated using vacuum ultraviolet absorption spectroscopy with pulse modulation of the main plasma. A low-power operation of a compact inductively coupled plasma light source was enabled to avoid the significant measurement errors caused by self-absorption in the light source. The pulse modulation of the main plasma enabled accurate absorption measurement for high plasma density conditions by eliminating background signals due to light emission from the main plasma. As for the effects of the driving frequency, the effect of VHF (100 MHz) drive on oxygen atom production was small because of the modest increase in plasma density of electronegative O 2 in contrast to the significant increase in electron density previously observed for electropositive Ar. The recombination coefficient of oxygen atoms on the electrode surface was obtained from a decay rate in the afterglow by comparison with a diffusion model, and it showed agreement with previously reported values for several electrode materials

  5. Total hydrogen and oxygen fluxes in the edge plasma of tokamaks

    International Nuclear Information System (INIS)

    Kastelewicz, H.

    1988-01-01

    A relativistic model of the edge plasma of tokamaks is described considering the primary neutral fluxes emitted from limiter and wall. The primary neutrals, which determine essentially the particle flux balance in the plasma edge, the scrape-off layer plasma and the particles adsorbed at limiter and wall are treated as separate subsystems which are iteratively coupled through the mutual particle sinks and sources. The model is used for the calculation of total hydrogen and oxygen fluxes in edge plasma of tokamaks. The results for different fractions of and contributions to the total fluxes are illustrated and discussed

  6. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  7. Irradiation of silver and agar/silver nanoparticles with argon, oxygen glow discharge plasma, and mercury lamp.

    Science.gov (United States)

    Ahmad, Mahmoud M; Abdel-Wahab, Essam A; El-Maaref, A A; Rawway, Mohammed; Shaaban, Essam R

    2014-01-01

    The irradiation effect of argon, oxygen glow discharge plasma, and mercury lamp on silver and agar/silver nanoparticle samples is studied. The irradiation time dependence of the synthesized silver and agar/silver nanoparticle absorption spectra and their antibacterial effect are studied and compared. In the agar/silver nanoparticle sample, as the irradiation time of argon glow discharge plasma or mercury lamp increases, the peak intensity and the full width at half maximum, FWHM, of the surface plasmon resonance absorption band is increased, however a decrease of the peak intensity with oxygen glow plasma has been observed. In the silver nanoparticle sample, as the irradiation time of argon, oxygen glow discharge plasma or mercury lamp increases, the peak intensity of the surface plasmon resonance absorption band is increased, however, there is no significant change in the FWHM of the surface plasmon resonance absorption band. The SEM results for both samples showed nanoparticle formation with mean size about 50 nm and 40 nm respectively. Throughout the irradiation time with the argon, oxygen glow discharge plasma or mercury lamp, the antibacterial activity of several kinds of Gram-positive and Gram-negative bacteria has been examined.

  8. Effect of Si on DC arc plasma generation from Al-Cr and Al-Cr-Si cathodes used in oxygen

    Science.gov (United States)

    Zhirkov, I.; Landälv, L.; Göthelid, E.; Ahlgren, M.; Eklund, P.; Rosen, J.

    2017-02-01

    Al2O3 alloyed with Cr is an important material for the tooling industry. It can be synthesized from an arc discharge using Al-Cr cathodes in an oxygen atmosphere. Due to formation of Al-rich oxide islands on the cathode surface, the arc process stability is highly sensitive to oxygen pressure. For improved stability, the use of Al0.70Cr0.25Si0.05 cathodes has previously been suggested, where Si may reduce island formation. Here, we have investigated the effect of Si by comparing plasma generation and thin film deposition from Al0.7Cr0.3 and Al0.7Cr0.25Si0.05 cathodes. Plasma ion composition, ion energies, ion charge states, neutral species, droplet formation, and film composition have been characterized at different O2 flow rates for arc currents of 60 and 90 A. Si and related compounds are detected in plasma ions and in plasma neutrals. Scanning electron microscopy and energy dispersive X-ray analysis show that the cathode composition and the film composition are the same, with Si present in droplets as well. The effect of Si on the process stability, ion energies, and ion charge states is found to be negligible compared to that of the arc current. The latter is identified as the most relevant parameter for tuning the properties of the reactive discharge. The present work increases the fundamental understanding of plasma generation in a reactive atmosphere, and provides input for the choice of cathode composition and process parameters in reactive DC arc synthesis.

  9. Treatment of the oily waste sludges through thermal plasma in absence of oxygen

    International Nuclear Information System (INIS)

    Castaneda J, G.; Pacheco S, J.

    2001-01-01

    The thermal plasma process in absence of oxygen for the degradation of oily waste sludges was evaluated. These residues are commonly generated in the petrochemical industry and are considered hazardous wastes according to the present environmental regulations. The process was operated using difference residence times and the characteristics of the gaseous by products and residual soils were determined. The efficiency of organic matter degradation was 99.99%. The attained volume reduction, under the best conditions was 95.5%. The residual soils were composed of carbon and clays. The residual gases have low molecular weight. The resulting final wastes were non-hazardous and could be disposed of in landfills. (Author)

  10. Effects of oxygen concentration on atmospheric pressure dielectric barrier discharge in Argon-Oxygen Mixture

    Science.gov (United States)

    Li, Xuechun; Li, Dian; Wang, Younian

    2016-09-01

    A dielectric barrier discharge (DBD) can generate a low-temperature plasma easily at atmospheric pressure and has been investigated for applications in trials in cancer therapy, sterilization, air pollution control, etc. It has been confirmed that reactive oxygen species (ROS) play a key role in the processes. In this work, we use a fluid model to simulate the plasma characteristics for DBD in argon-oxygen mixture. The effects of oxygen concentration on the plasma characteristics have been discussed. The evolution mechanism of ROS has been systematically analyzed. It was found that the ground state oxygen atoms and oxygen molecular ions are the dominated oxygen species under the considered oxygen concentrations. With the oxygen concentration increasing, the densities of electrons, argon atomic ions, resonance state argon atoms, metastable state argon atoms and excited state argon atoms all show a trend of decline. The oxygen molecular ions density is high and little influenced by the oxygen concentration. Ground state oxygen atoms density tends to increase before falling. The ozone density increases significantly. Increasing the oxygen concentration, the discharge mode begins to change gradually from the glow discharge mode to Townsend discharge mode. Project supported by the National Natural Science Foundation of China (Grant No. 11175034).

  11. Combined effect of protein and oxygen on reactive oxygen and nitrogen species in the plasma treatment of tissue

    Science.gov (United States)

    Gaur, Nishtha; Szili, Endre J.; Oh, Jun-Seok; Hong, Sung-Ha; Michelmore, Andrew; Graves, David B.; Hatta, Akimitsu; Short, Robert D.

    2015-09-01

    The influence of protein and molecular, ground state oxygen (O2) on the plasma generation, and transport of reactive oxygen and nitrogen species (RONS) in tissue are investigated. A tissue target, comprising a 1 mm thick gelatin film (a surrogate for real tissue), is placed on top of a 96-well plate; each well is filled with phosphate buffered saline (PBS, pH 7.4) containing one fluorescent or colorimetric reporter that is specific for one of three RONS (i.e., H2O2, NO2-, or OH•) or a broad spectrum reactive oxygen species reporter (2,7-dichlorodihydrofluorescein). A helium cold atmospheric plasma (CAP) jet contacts the top of the gelatin surface, and the concentrations of RONS generated in PBS are measured on a microplate reader. The data show that H2O2, NO2-, or OH• are generated in PBS underneath the target. Independently, measurements are made of the O2 concentration in the PBS with and without the gelatin target. Adding bovine serum albumin protein to the PBS or gelatin shows that protein either raises or inhibits RONS depending upon the O2 concentration. Our results are discussed in the context of plasma-soft tissue interactions that are important in the development of CAP technology for medicine, biology, and food manufacturing.

  12. Decomposition mechanism of trichloroethylene based on by-product distribution in the hybrid barrier discharge plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Han, Sang-Bo [Industry Applications Research Laboratory, Korea Electrotechnology Research Institute, Changwon, Kyeongnam (Korea, Republic of); Oda, Tetsuji [Department of Electrical Engineering, The University of Tokyo, Tokyo 113-8656 (Japan)

    2007-05-15

    The hybrid barrier discharge plasma process combined with ozone decomposition catalysts was studied experimentally for decomposing dilute trichloroethylene (TCE). Based on the fundamental experiment for catalytic activities on ozone decomposition, MnO{sub 2} was selected for application in the main experiments for its higher catalytic abilities than other metal oxides. A lower initial TCE concentration existed in the working gas; the larger ozone concentration was generated from the barrier discharge plasma treatment. Near complete decomposition of dichloro-acetylchloride (DCAC) into Cl{sub 2} and CO{sub x} was observed for an initial TCE concentration of less than 250 ppm. C=C {pi} bond cleavage in TCE gave a carbon single bond of DCAC through oxidation reaction during the barrier discharge plasma treatment. Those DCAC were easily broken in the subsequent catalytic reaction. While changing oxygen concentration in working gas, oxygen radicals in the plasma space strongly reacted with precursors of DCAC compared with those of trichloro-acetaldehyde. A chlorine radical chain reaction is considered as a plausible decomposition mechanism in the barrier discharge plasma treatment. The potential energy of oxygen radicals at the surface of the catalyst is considered as an important factor in causing reactive chemical reactions.

  13. Oxygen plasma treatment and deposition of CNx on a fluorinated polymer matrix composite for improved erosion resistance

    International Nuclear Information System (INIS)

    Muratore, C.; Korenyi-Both, A.; Bultman, J. E.; Waite, A. R.; Jones, J. G.; Storage, T. M.; Voevodin, A. A.

    2007-01-01

    The use of polymer matrix composites in aerospace propulsion applications is currently limited by insufficient resistance to erosion by abrasive media. Erosion resistant coatings may provide necessary protection; however, adhesion to many high temperature polymer matrix composite (PMC) materials is poor. A low pressure oxygen plasma treatment process was developed to improve adhesion of CN x coatings to a carbon reinforced, fluorinated polymer matrix composite. Fullerene-like CN x was selected as an erosion resistant coating for its high hardness-to-elastic modulus ratio and elastic resilience which were expected to reduce erosion from media incident at different angles (normal or glancing) relative to the surface. In situ x-ray photoelectron spectroscopy was used to evaluate the effect of the plasma treatment on surface chemistry, and electron microscopy was used to identify changes in the surface morphology of the PMC substrate after plasma exposure. The fluorine concentration at the surface was significantly reduced and the carbon fibers were exposed after plasma treatment. CN x coatings were then deposited on oxygen treated PMC substrates. Qualitative tests demonstrated that plasma treatment improved coating adhesion resulting in an erosion resistance improvement of a factor of 2 compared to untreated coated composite substrates. The combination of PMC pretreatment and coating with CN x reduced the erosion rate by an order of magnitude for normally incident particles

  14. STUDENT AWARD FINALIST: Oxygen Pathways in Streamer Discharge for Transient Plasma Ignition

    Science.gov (United States)

    Pendleton, S. J.; Bowman, S.; Singleton, D.; Watrous, J.; Carter, C.; Lempert, W.; Gundersen, M. A.

    2011-10-01

    The use of streamers for the ignition of fuels, also known as transient plasma ignition (TPI), has been shown in a variety of engines to improve combustion through decreased ignition delay, increased lean burn capability and increased energy release relative to conventional spark ignition. The mechanisms behind these improvements, however, remain poorly understood. Temperature measurements by optical emission spectroscopy demonstrate that ignition by TPI is a nonthermal process, and thus is almost entirely dependent on the production and presence of electron impact-created active species in the discharge afterglow. Of particular interest are active oxygen species due to their relatively long lifetimes at high pressures and the pivotal role they play in combustion reactions. In order to elucidate the oxygen pathways, here we report the investigation of the temporal evolution of the populations of atomic oxygen and ozone by use of two-photon absorption laser induced fluorescence (TALIF) and UV absorption, respectively. Experimental results are presented and compared to kinetic modeling of the streamers. Future experiments are proposed to better understand the physics behind TPI. Supported by NSF, AFOSR, NumerEx-ONR, AFRL-WPAFB.

  15. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  16. Effects of oxygen plasma treatment power on Aramid fiber III/BMI composite humidity resistance properties

    Science.gov (United States)

    Wang, Jing; Shi, Chen; Feng, Jiayue; Long, Xi; Meng, Lingzhi; Ren, Hang

    2018-01-01

    The effects of oxygen plasma treatment power on Aramid Fiber III chemical structure and its reinforced bismaleimides (BMI) composite humidity resistance properties were investigated in this work. The aramid fiber III chemical structure under different plasma treatment power were measured by FTIR. The composite bending strength and interlinear shear strength with different plasma treatment power before and after absorption water were tested respectively. The composite rupture morphology was observed by SEM. The FTIR results showed that oxygen plasma treatment do not change the fiber bulk chemical structure. The composite humidity resistance of bending strength and interlinear shear strength are similar for untreated and plasma treated samples. The retention rate of composite bending strength and interlinear shear strength are about 75% and 94%, respectively. The composite rupture mode turns to be the fiber failure after water absorption.

  17. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  18. Tailoring nanomaterial products through electrode material and oxygen partial pressure in a mini-arc plasma reactor

    International Nuclear Information System (INIS)

    Cui Shumao; Mattson, Eric C.; Lu, Ganhua; Hirschmugl, Carol; Gajdardziska-Josifovska, Marija; Chen Junhong

    2012-01-01

    Nanomaterials with controllable morphology and composition are synthesized by a simple one-step vapor condensation process using a mini-arc plasma source. Through systematic investigation of mini-arc reactor parameters, the roles of carrier gas, electrode material, and precursor on producing diverse nanomaterial products are revealed. Desired nanomaterial products, including tungsten oxide nanoparticles (NPs), tungsten oxide nanorods (NRs), tungsten oxide and tin oxide NP mixtures and pure tin dioxide NPs can thus be obtained by tailoring reaction conditions. The amount of oxygen in the reactor is critical to determining the final nanomaterial product. Without any precursor material present, a lower level of oxygen in the reactor favors the production of W 18 O 49 NRs with tungsten as cathode, while a high level of oxygen produces more round WO 3 NPs. With the presence of a precursor material, amorphous particles are favored with a high ratio of argon:oxygen. Oxygen is also found to affect tin oxide crystallization from its amorphous phase in the thermal annealing. Results from this study can be used for guiding gas phase nanomaterial synthesis in the future.

  19. Osteoblast response to oxygen functionalised plasma polymer surfaces

    International Nuclear Information System (INIS)

    Kelly, Jonathan M.

    2001-01-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I 125 radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue culture

  20. Osteoblast response to oxygen functionalised plasma polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kelly, Jonathan M

    2001-07-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I{sup 125} radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue

  1. Influence of the residual oxygen in the plasma immersion ion implantation (PI3) processing of materials

    International Nuclear Information System (INIS)

    Ueda, M.; Silva, A.R.; Mello, Carina B.; Silva, G.; Reuther, H.; Oliveira, V.S.

    2011-01-01

    In this work, we investigated the effects of the contaminants present in the vacuum chamber of the PI3 system, in particular, the residual oxygen, which results in the formation of the oxide compounds on the surface and hence is responsible for the high implantation energies required to achieve reasonably thick treated layers. We used a mass spectrometer (RGA) with a quadruple filter to verify the composition of the residual vacuum and pressure of the elements present in the chamber. Initially we found a high proportion of residual oxygen in a vacuum with a pressure of 1 × 10 −3 Pa. Minimizing the residual oxygen percentage in about 80%, by efficient cleaning of the chamber walls and by improving the gas feeding process, we mitigated the formation of oxides during the PI3 process. Therefore we achieved a highly efficient PI3 processing obtaining implanted layers reaching about 50 nm, even in cases such as an aluminum alloy, where is very difficult to nitrogen implant at low energies. We performed nitrogen PI3 treatment of SS304 and Al7075 using pulses of only 3 kV and 15 × 10 −6 s at 1 kHz with an operating pressure of 1 Pa.

  2. Improved electrochemical performances of oxygen plasma treated LiMn2O4 thin films

    International Nuclear Information System (INIS)

    Chen, C C; Chiu, K-F; Lin, K M; Lin, H C; Yang, C-R; Wang, F M

    2007-01-01

    LiMn 2 O 4 spinel thin films were deposited by radio frequency (rf) magnetron sputtering followed by annealing at 600 0 C in air.The films were then post-treated with an rf driven oxygen plasma. The crystallization and surface morphology of LiMn 2 O 4 thin films were seen to change with rf power. The treated samples were tested under harsh conditions such as deep discharge to 1.5 V and cycling at elevated temperature of 60 0 C to verify the electrochemical performances of LiMn 2 O 4 cathodes. The oxygen plasma treatments improved the electrochemical properties of LiMn 2 O 4 thin films significantly. As the cells were cycled in the range of 4.5-2.0 V at 60 0 C, the samples treated at a proper rf power of 50 W exhibited an initial capacity greater than ∼400 mAh g -1 with reasonable cycling stability. The results were attributed to the change of morphology and the formation of a surface layer induced by the oxygen plasma irradiation

  3. Bacteria killing effect of pulsed plasmas in oxygen+air at atmospheric pressure

    International Nuclear Information System (INIS)

    Akan, T.

    2005-01-01

    Bacteria Killing Method. The high voltage pulsed plasma is a non-equilibrium plasma and generates UV photons, ozone and active oxygen. The aim of this paper is to present a simple device to generate plasma able to kill efficiently bacteria. One of the probes charged with bacteria, was kept as a control probes (not exposed to the pulsed plasma), the rest of the probes were exposed to the pulsed plasma and afterwards compared with above mentioned control probe (reference sample). During treatment the bacteria were exposed to the active atoms, molecules, charged particles and photons generated by the pulsed plasma. The temperature of the support of samples with bacteria exposed to plasma increased during the treatment with only 1-2 degrees. Full killing time of Staphylococcus species as low as 3 minutes have been obtained quite easily

  4. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  5. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Czech Academy of Sciences Publication Activity Database

    Vesel, A.; Drenik, A.; Elersic, K.; Mozetič, M.; Kovač, J.; Gyergyek, T.; Stöckel, Jan; Varju, Jozef; Pánek, Radomír; Balat-Pichelin, M.

    2014-01-01

    Roč. 305, June (2014), s. 674-682 ISSN 0169-4332 R&D Projects: GA MŠk(CZ) LM2011021 Institutional support: RVO:61389021 Keywords : Inconel * Oxidation * High temperature * Oxygen plasma * Hydrogen plasma Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.711, year: 2014 https://www.sciencedirect.com/science/article/pii/S0169433214007119

  6. Oxygen Plasma Modification of Poss-Coated Kapton(Registered TradeMark) HN Films

    Science.gov (United States)

    Wohl, C. J.; Belcher, M. A.; Ghose, S.; Connell, J. W.

    2008-01-01

    The surface energy of a material depends on both surface composition and topographic features. In an effort to modify the surface topography of Kapton(Registered TradeMark) HN film, organic solutions of a polyhedral oligomeric silsesquioxane, octakis(dimethylsilyloxy)silsesquioxane (POSS), were spray-coated onto the Kapton(Registered TradeMark) HN surface. Prior to POSS application, the Kapton(Registered TradeMark) HN film was activated by exposure to radio frequency (RF)-generated oxygen plasma. After POSS deposition and solvent evaporation, the films were exposed to various durations of RF-generated oxygen plasma to create a topographically rich surface. The modified films were characterized using optical microscopy, attenuated total reflection infrared (ATR-IR) spectroscopy, and high-resolution scanning electron microscopy (HRSEM). The physical properties of the modified films will be presented.

  7. Determination of the neutral oxygen atom density in a plasma reactor loaded with metal samples

    Science.gov (United States)

    Mozetic, Miran; Cvelbar, Uros

    2009-08-01

    The density of neutral oxygen atoms was determined during processing of metal samples in a plasma reactor. The reactor was a Pyrex tube with an inner diameter of 11 cm and a length of 30 cm. Plasma was created by an inductively coupled radiofrequency generator operating at a frequency of 27.12 MHz and output power up to 500 W. The O density was measured at the edge of the glass tube with a copper fiber optics catalytic probe. The O atom density in the empty tube depended on pressure and was between 4 and 7 × 1021 m-3. The maximum O density was at a pressure of about 150 Pa, while the dissociation fraction of O2 molecules was maximal at the lowest pressure and decreased with increasing pressure. At about 300 Pa it dropped below 10%. The measurements were repeated in the chamber loaded with different metallic samples. In these cases, the density of oxygen atoms was lower than that in the empty chamber. The results were explained by a drain of O atoms caused by heterogeneous recombination on the samples.

  8. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  9. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  10. Applications of non-equilibrium plasma in chemical processes

    International Nuclear Information System (INIS)

    Patino, P.; Castro, A.

    2003-01-01

    By means of optical emission spectroscopy the population of O( 3 P) in a non-equilibrium, high voltage, oxygen plasma, and O( 3 P), H and OH in another of steam in radio frequency, have been followed. Reactions of both plasmas with liquid hydrocarbons have produced oxidation and/or hydrogenation, depending on the conditions of each one. (Author)

  11. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  12. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  13. Influence of Oxygenated Compounds on Reaction Products in a Microwave Plasma Methane Pyrolysis Assembly for Post-Processing of Sabatier Methane

    Science.gov (United States)

    Mansell, J. Matthew; Abney, Morgan B.

    2012-01-01

    The state-of-the-art Carbon Dioxide Reduction Assembly (CRA) was delivered to the International Space Station (ISS) in April 2010. The system is designed to accept carbon dioxide from the Carbon Dioxide Removal Assembly and hydrogen from the Oxygen Generation Assembly. The two gases are reacted in the CRA in a Sabatier reactor to produce water and methane. Venting of methane results in an oxygen resupply requirement of about 378 lbs per crew member per year. If the oxygen is supplied as water, the total weight for resupply is about 476 lb per crew member per year. For long-term missions beyond low Earth orbit, during which resupply capabilities will be further limited, recovery of hydrogen from methane is highly desirable. For this purpose, NASA is pursuing development of a Plasma Pyrolysis Assembly (PPA) capable of recovering hydrogen from methane. Under certain conditions, water vapor and carbon dioxide (nominally intended to be separated from the CRA outlet stream) may be present in the PPA feed stream. Thus, testing was conducted in 2010 to determine the effect of these oxygenated compounds on PPA performance, particularly the effect of inlet carbon dioxide and water variations on the PPA product stream. This paper discusses the test set-up, analysis, and results of this testing.

  14. Novel Membranes and Processes for Oxygen Enrichment

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Haiqing

    2011-11-15

    The overall goal of this project is to develop a membrane process that produces air containing 25-35% oxygen, at a cost of $25-40/ton of equivalent pure oxygen (EPO2). Oxygen-enriched air at such a low cost will allow existing air-fueled furnaces to be converted economically to oxygen-enriched furnaces, which in turn will improve the economic and energy efficiency of combustion processes significantly, and reduce the cost of CO{sub 2} capture and sequestration from flue gases throughout the U.S. manufacturing industries. During the 12-month Concept Definition project: We identified a series of perfluoropolymers (PFPs) with promising oxygen/nitrogen separation properties, which were successfully made into thin film composite membranes. The membranes showed oxygen permeance as high as 1,200 gpu and oxygen/nitrogen selectivity of 3.0, and the permeance and selectivity were stable over the time period tested (60 days). We successfully scaled up the production of high-flux PFP-based membranes, using MTR's commercial coaters. Two bench-scale spiral-wound modules with countercurrent designs were made and parametric tests were performed to understand the effect of feed flow rate and pressure, permeate pressure and sweep flow rate on the membrane module separation properties. At various operating conditions that modeled potential industrial operating conditions, the module separation properties were similar to the pure-gas separation properties in the membrane stamps. We also identified and synthesized new polymers [including polymers of intrinsic microporosity (PIMs) and polyimides] with higher oxygen/nitrogen selectivity (3.5-5.0) than the PFPs, and made these polymers into thin film composite membranes. However, these membranes were susceptible to severe aging; pure-gas permeance decreased nearly six-fold within two weeks, making them impractical for industrial applications of oxygen enrichment. We tested the effect of oxygen-enriched air on NO{sub x} emissions

  15. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2 (χo 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2 (χo 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2 (χo 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  16. Effects of topographical and mechanical property alterations induced by oxygen plasma modification on stem cell behavior.

    Science.gov (United States)

    Yang, Yong; Kulangara, Karina; Lam, Ruby T S; Dharmawan, Rena; Leong, Kam W

    2012-10-23

    Polymeric substrates intended for cell culture and tissue engineering are often surface-modified to facilitate cell attachment of most anchorage-dependent cell types. The modification alters the surface chemistry and possibly topography. However, scant attention has been paid to other surface property alterations. In studying oxygen plasma treatment of polydimethylsiloxane (PDMS), we show that oxygen plasma treatment alters the surface chemistry and, consequently, the topography and elasticity of PDMS at the nanoscale level. The elasticity factor has the predominant effect, compared with the chemical and topographical factors, on cell adhesions of human mesenchymal stem cells (hMSCs). The enhanced focal adhesions favor cell spreading and osteogenesis of hMSCs. Given the prevalent use of PDMS in biomedical device construction and cell culture experiments, this study highlights the importance of understanding how oxygen plasma treatment would impact subsequent cell-substrate interactions. It helps explain inconsistency in the literature and guides preparation of PDMS-based biomedical devices in the future.

  17. Characterization of atomic oxygen in a Hollow Cathode Radio-Frequency Plasma and study its efficiency

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2011-01-01

    The atomic oxygen (AO) generated in the remote oxygen plasma of the HCD-L300 source, has been fully diagnosed by various conventional techniques. The density of AO was found to vary from (1-10)x10 1 9 m - 3 depending on the operating conditions and parameters. The interaction of the oxygen plasma with silver and gold thin films is investigated by gravimetric analysis, scanning electron microscopy (SEM) and energy dispersive x-ray (EDX) spectroscopy. The effect of AO on surface wetting and energy of polymeric materials is also investigated by using contact angle measurements and analysis technique. From applied point of view, production of super hydrophobic Teflon surface and the significant enhancement in the surface free energy of polyimide and polyamide are considered the most important obtained results in the present work. (author)

  18. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    International Nuclear Information System (INIS)

    Takeuchi, N; Ishii, Y; Yasuoka, K

    2012-01-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  19. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    Science.gov (United States)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  20. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  1. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  2. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  3. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  4. Quantum analysis in the transition process to excited state of an oxygen molecule induced by electron collisions; Denshi shototsu ni tomonau sanso bunshi ni okeru reiki jotai sen`i no ryoshironteki kaiseki

    Energy Technology Data Exchange (ETDEWEB)

    Ishimaru, K. [Gifu National College of Technology, Gifu (Japan); Okazaki, K. [Tokyo Inst. of Technology, Tokyo (Japan)

    1996-06-25

    For understanding of fundamental chemical reactions under a highly non equilibrium condition which is quite often used in plasma processing, the relevant atomic and molecular processes must be clarified. In this study, an analysis of the transition process to the excited state of an oxygen molecule induced by electron collisions in the oxygen plasma has been carried out. First, the electron density distribution in an oxygen molecule has been calculated using the extended Huckel molecular orbital method. Then, the electron potential energy distribution in the transition process to the excited state has been estimated. The electron behavior has been calculated using the estimated unidimensional electron potential energy distribution and unsteady quantum mechanics. As a result, the transition process to the excited state of an oxygen molecule induced by electron collisions and its conditions have been clarified qualitatively. 9 refs., 9 figs.

  5. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    Energy Technology Data Exchange (ETDEWEB)

    Jablonowski, H.; Hammer, M. U.; Reuter, S. [Center for Innovation Competence plasmatis, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Leibniz Institute for Plasma Science and Technology, INP Greifswald e.V. Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Bussiahn, R.; Weltmann, K.-D.; Woedtke, Th. von [Leibniz Institute for Plasma Science and Technology, INP Greifswald e.V. Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2015-12-15

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100–400 nm) and, in particular, vacuum ultraviolet (VUV, 10–200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH{sub 2}O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stable reactive oxygen species, hydrogen peroxide (H{sub 2}O{sub 2}) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O{sub 2}{sup •−}) and hydroxyl radicals ({sup •}OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.

  6. Research on atmospheric pressure plasma processing sewage

    Science.gov (United States)

    Song, Gui-cai; Na, Yan-xiang; Dong, Xiao-long; Sun, Xiao-liang

    2013-08-01

    The water pollution has become more and more serious with the industrial progress and social development, so it become a worldwide leading environmental management problem to human survival and personal health, therefore, countries are looking for the best solution. Generally speaking, in this paper the work has the following main achievements and innovation: (1) Developed a new plasma device--Plasma Water Bed. (2) At atmospheric pressure condition, use oxygen, nitrogen, argon and helium as work gas respectively, use fiber spectrometer to atmospheric pressure plasma discharge the emission spectrum of measurement, due to the different work gas producing active particle is different, so can understand discharge, different particle activity, in the treatment of wastewater, has the different degradation effects. (3) Methyl violet solution treatment by plasma water bed. Using plasma drafting make active particles and waste leachate role, observe the decolorization, measurement of ammonia nitrogen removal.

  7. In Vitro Comparative Study of Oxygen Plasma Treated Poly(Lactic⁻Co⁻Glycolic) (PLGA) Membranes and Supported Nanostructured Oxides for Guided Bone Regeneration Processes.

    Science.gov (United States)

    Torres-Lagares, Daniel; Castellanos-Cosano, Lizett; Serrera-Figallo, Maria-Angeles; López-Santos, Carmen; Barranco, Angel; Rodríguez-González-Elipe, Agustín; Gutierrez-Perez, Jose-Luis

    2018-05-08

    (1) Background: The use of physical barriers to prevent the invasion of gingival and connective tissue cells into bone cavities during the healing process is called guided bone regeneration. The objective of this in-vitro study was to compare the growth of human osteoblasts on Poly(Lactic⁻co⁻Glycolic) (PLGA) membranes modified with oxygen plasma and Hydroxyapatite (HA), silicon dioxide (SiO₂), and titanium dioxide (TiO₂) composite nanoparticles, respectively. (2) Methods: All the membranes received a common treatment with oxygen plasma and were subsequently treated with HA nanostructured coatings (n = 10), SiO₂ (n = 10) and TiO₂ (n = 10), respectively and a PLGA control membrane (n = 10). The assays were performed using the human osteoblast line MG-63 acquired from the Center for Scientific Instrumentation (CIC) from the University of Granada. The cell adhesion and the viability of the osteoblasts were analyzed by means of light-field microphotographs of each condition with the inverted microscope Axio Observer A1 (Carl Zeiss). For the determination of the mitochondrial energy balance, the MitoProbe™ JC-1 Assay Kit was employed. For the determination of cell growth and the morphology of adherent osteoblasts, two techniques were employed: staining with phalloidin-TRITC and staining with DAPI. (3) Results: The modified membranes that show osteoblasts with a morphology more similar to the control osteoblasts follow the order: PLGA/PO₂/HA > PLGA/PO₂/SiO₂ > PLGA/PO₂/TiO₂ > PLGA ( p membranes was observed as follows: PLGA/PO₂/SiO₂ > PLGA/PO₂/HA > PLGA/PO₂/TiO₂ > PLGA ( p membranes PLGA/PO₂/HA and PLGA/PO₂/SiO₂. (4) Conclusion: The membrane in which osteoblasts show characteristics more similar to the control osteoblasts is the PLGA/PO₂/HA, followed by the PLGA/PO₂/SiO₂.

  8. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  9. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  10. Improvement of Surface Wettability and Hydrophilization of Poly-paraphenylene benzobisoxazole Fiber with Fibrillation Combined Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Xiwen Wang

    2012-01-01

    Full Text Available A new surface modification method fibrillation combined with oxygen plasma treatment to improve the wettability and hydrophily of PBO fiber was studied in this paper. The surface chemical structure and morphology of PBO fiber were characterized by the methods of FTIR, XPS and SEM. The wettability and hydrophlic characters changes on the surface were evaluated by the dynamic contact angle system and image analysis. The results show that the increase surface roughness by fibrillation could improve the wettability. Fibrillation combined oxygen plasma treatment has a better effect than oxygen plasma treatment to improve the wettability and hdyrophlization of PBO fiber. The specific area of PBO fiber increased to 10.7 m2/g from 0.7 m2/g, contact angle decreased to 43.2° from 84.4° and WRV increased to 208.4% from 13.7%. The modified fibers have a good dispersion in water for hydrophilization improvement.

  11. Functionalization of Cellulose Fibres with Oxygen Plasma and ZnO Nanoparticles for Achieving UV Protective Properties

    Directory of Open Access Journals (Sweden)

    Katja Jazbec

    2015-01-01

    Full Text Available Low-pressure oxygen plasma created by an electrodeless radiofrequency (RF discharge was applied to modify the properties of cellulosic fibrous polymer (cotton in order to improve adsorption properties towards zinc oxide (ZnO nanoparticles and to achieve excellent ultraviolet (UV protective properties of cotton fabric. The chemical and physical surface modifications of plasma-treated cotton fabric were examined by X-ray photoelectron spectroscopy (XPS and scanning electron microscopy (SEM. The mechanical properties of plasma-treated samples were evaluated, measuring strength and elongation of the fabrics. The quantity of zinc on the ZnO-functionalized cotton samples was determined using inductively coupled plasma mass spectrometry (ICP-MS and the effectiveness of plasma treatment for UV protective properties of cotton fabrics was evaluated using UV-VIS spectrometry, measuring the UV protection factor (UPF. The results indicated that longer plasma treatment times cause higher concentration of oxygen functional groups on the surface of fibres and higher surface roughness of fibres. These two conditions are crucial in increasing the content of ZnO nanoparticles on the fibres, providing excellent UV protective properties of treated cotton, with UPF factor up to 65.93.

  12. An in vitro investigation of bacteria-osteoblast competition on oxygen plasma-modified PEEK

    NARCIS (Netherlands)

    Rochford, Edward T. J.; Subbiahdoss, Guruprakash; Moriarty, T. Fintan; Poulsson, Alexandra H. C.; van der Mei, Henny C.; Busscher, Henk J.; Richards, R. Geoff

    2014-01-01

    Polyetheretherketone (PEEK) films were oxygen plasma treated to increase surface free energy and characterized by X-ray photoelectron microscopy, atomic force microscopy, and water contact angles. A parallel plate flow chamber was used to measure Staphylococcus epidermidis, Staphylococcus aureus,

  13. Experimental study of the hollow cathode radio-frequency plasma mixture: Argon-Oxygen

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    This study presents experimental results of plasma gas mixture Ar-O 2 for different mixing ratios in radio-frequency hollow cathode plasma. The following plasma parameters have been investigated: The electronic temperature, plasma potential, floating potential, emission atomic lines intensities, as a function of some variables, where the effect of power has been studied in the range [100-300 W], and the effect of pressure has been studied in the range [0.05-0.3 mbar]. The effect of relative composition has been studied for a fixed power and pressure. Two diagnostic techniques have been employed: Optical emission spectroscopy and langmuir probe. The most important result of this study is the ability to measure the relative atomic density of oxygen by optical emission spectroscopy, where the maximum of this density is obtained for the mixture 40% Ar - 60% O 2 . (author)

  14. An atmospheric-pressure cold plasma leads to apoptosis in Saccharomyces cerevisiae by accumulating intracellular reactive oxygen species and calcium

    International Nuclear Information System (INIS)

    Ma, R N; Zhang, Q; Tian, Y; Su, B; Zhang, J; Fang, J; Feng, H Q; Liang, Y D

    2013-01-01

    A non-thermal plasma is known to induce apoptosis of various cells but the mechanism is not yet clear. A eukaryotic model organism Saccharomyces cerevisiaewas used to investigate the cellular and biochemical regulations of cell apoptosis and cell cycle after an atmospheric-pressure cold plasma treatment. More importantly, intracellular calcium (Ca 2+ ) was first involved in monitoring the process of plasma-induced apoptosis in this study. We analysed the cell apoptosis and cell cycle by flow cytometry and observed the changes in intracellular reactive oxygen species (ROS) and Ca 2+ concentration, cell mitochondrial membrane potential (Δψ m ) as well as nuclear DNA morphology via fluorescence staining assay. All experimental results indicated that plasma-generated ROS leads to the accumulation of intracellular ROS and Ca 2+ that ultimately contribute to apoptosis associated with cell cycle arrest at G1 phase through depolarization of Δψ m and fragmenting nuclear DNA. This work provides a novel insight into the physical and biological mechanism of apoptosis induced by a plasma which could benefit for promoting the development of plasmas applied to cancer therapy. (paper)

  15. Application of plasma technology to nuclear engineering fields

    International Nuclear Information System (INIS)

    Suzuki, Masaaki; Akatsuka, Hiroshi

    1996-01-01

    In order to discuss about the application of the plasma technology to nuclear engineering fields, we mention two subjects, the oxygenation of metal chloride waste by oxygen plasma and the characterization of fine particles generated in the plasma process. Through the experimental results of two subjects, both of the advantage and the disadvantage of the plasma technology and their characteristics are shown and discussed. The following conclusions are obtained. The reactive plasma is effective to oxygenate the chloride wastes. The particle generation which is one of the disadvantages must not be specialized and its characteristics can be estimated. Consequently, the plasma technology should be applicable to nuclear engineering fields adopting its advantage and overcoming its disadvantage. (author)

  16. Deposition of Lanthanum Strontium Cobalt Ferrite (LSCF) Using Suspension Plasma Spraying for Oxygen Transport Membrane Applications

    Science.gov (United States)

    Fan, E. S. C.; Kesler, O.

    2015-08-01

    Suspension plasma spray deposition was utilized to fabricate dense lanthanum strontium cobalt ferrite oxygen separation membranes (OSMs) on porous metal substrates for mechanical support. The as-sprayed membranes had negligible and/or reversible material decomposition. At the longer stand-off distance (80 mm), smooth and dense membranes could be manufactured using a plasma with power below approximately 81 kW. Moreover, a membrane of 55 μm was observed to have very low gas leakage rates desirable for OSM applications. This thickness could potentially be decreased further to improve oxygen diffusion by using metal substrates with finer surface pores.

  17. Oxygen and sodium plasma-implanted nickel-titanium shape memory alloy: A novel method to promote hydroxyapatite formation and suppress nickel leaching

    International Nuclear Information System (INIS)

    Chan, Y.L.; Yeung, K.W.K.; Lu, W.W.; Ngan, A.H.W.; Luk, K.D.K.; Chan, D.; Wu, S.L.; Liu, X.M.; Chu, Paul K.; Cheung, K.M.C.

    2007-01-01

    This study aims at modifying the surface bioactivity of NiTi by sodium and oxygen plasma immersion ion implantation (PIII). Sodium ions were implanted into oxygen plasma-implanted NiTi and untreated NiTi. X-ray photoelectron spectroscopy (XPS) revealed that more sodium was implanted into the oxygen pre-implanted sample in comparison with the untreated surface. Scanning electron microscopy (SEM) coupled with energy dispersive X-ray analysis (EDX) detected calcium and phosphorus rich deposits on both samples after immersion in simulated body fluids for 7 and 21 days. Inductively-coupled plasma mass spectrometry (ICPMS) conducted on the deposits dissolved in diluted hydrochloric acid showed more calcium on the oxygen PIII samples. The improved corrosion resistance of the oxygen PIII NiTi was retained after sodium PIII as evaluated by potentiodynamic polarization tests. Better spreading and proliferation of osteoblasts were also observed on the treated samples

  18. Process maps for plasma spray. Part II: Deposition and properties

    International Nuclear Information System (INIS)

    XIANGYANG, JIANG; MATEJICEK, JIRI; KULKARNI, ANAND; HERMAN, HERBERT; SAMPATH, SANJAY; GILMORE, DELWYN L.; NEISER A, RICHARD Jr.

    2000-01-01

    This is the second paper of a two part series based on an integrated study carried out at the State University of New York at Stony Brook and Sandia National Laboratories. The goal of the study is the fundamental understanding of the plasma-particle interaction, droplet/substrate interaction, deposit formation dynamics and microstructure development as well as the deposit property. The outcome is science-based relationships, which can be used to link processing to performance. Molybdenum splats and coatings produced at 3 plasma conditions and three substrate temperatures were characterized. It was found that there is a strong mechanical/thermal interaction between droplet and substrate, which builds up the coatings/substrate adhesion. Hardness, thermal conductivity, and modulus increase, while oxygen content and porosity decrease with increasing particle velocity. Increasing deposition temperature resulted in dramatic improvement in coating thermal conductivity and hardness as well as increase in coating oxygen content. Indentation reveals improved fracture resistance for the coatings prepared at higher deposition temperature. Residual stress was significantly affected by deposition temperature, although not significant by particle energy within the investigated parameter range. Coatings prepared at high deposition temperature with high-energy particles suffered considerably less damage in wear tests. Possible mechanisms behind these changes are discussed within the context of relational maps which are under development

  19. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  20. About the 'enlightenment' of nonideal hydrogen-oxygen plasma at a electron concentration Ne19 cm-3

    International Nuclear Information System (INIS)

    Fedorovich, O.A.

    2013-01-01

    The results of experimental determination of the emissivity of the hydrogen-oxygen plasma pulsed discharge in water and their comparison with calculations. It is shown that when concentrations nonideal plasma N e >3 centre dot 10 18 cm -3 , is observed 'enlightenment' of plasma. The reduction of a emitting ability . can be more order in the N e =3 centre dot 10 19 cm -3 and increases with increasing electron concentration.

  1. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  2. Plasma ATP concentration and venous oxygen content in the forearm during dynamic handgrip exercise

    Directory of Open Access Journals (Sweden)

    Askew Christopher D

    2009-12-01

    Full Text Available Abstract Background It has been proposed that adenosine triphosphate (ATP released from red blood cells (RBCs may contribute to the tight coupling between blood flow and oxygen demand in contracting skeletal muscle. To determine whether ATP may contribute to the vasodilatory response to exercise in the forearm, we measured arterialised and venous plasma ATP concentration and venous oxygen content in 10 healthy young males at rest, and at 30 and 180 seconds during dynamic handgrip exercise at 45% of maximum voluntary contraction (MVC. Results Venous plasma ATP concentration was elevated above rest after 30 seconds of exercise (P Conclusions Collectively these results indicate that ATP in the plasma originated from the muscle microcirculation, and are consistent with the notion that deoxygenation of the blood perfusing the muscle acts as a stimulus for ATP release. That ATP concentration was elevated just 30 seconds after the onset of exercise also suggests that ATP may be a contributing factor to the blood flow response in the transition from rest to steady state exercise.

  3. The Variable Polarity Plasma Arc Welding Process: Its Application to the Space Shuttle External Tank

    Science.gov (United States)

    Nunes, A. C., Jr.; Bayless, E. O., Jr.; Wilson, W. A.

    1984-01-01

    This report describes progress in the implementation of the Variable Polarity Plasma Arc Welding (VPPAW) process at the External Tank (ET) assembly facility. Design allowable data has been developed for thicknesses up to 1.00 in. More than 24,000 in. of welding on liquid oxygen and liquid hydrogen cylinders has been made without an internal defect.

  4. Charge state distribution studies of pure and oxygen mixed krypton ECR plasma - signature of isotope anomaly and gas mixing effect.

    Science.gov (United States)

    Kumar, Pravin; Mal, Kedar; Rodrigues, G

    2016-11-01

    We report the charge state distributions of the pure, 25% and 50% oxygen mixed krypton plasma to shed more light on the understanding of the gas mixing and the isotope anomaly [A. G. Drentje, Rev. Sci. Instrum. 63 (1992) 2875 and Y Kawai, D Meyer, A Nadzeyka, U Wolters and K Wiesemann, Plasma Sources Sci. Technol. 10 (2001) 451] in the electron cyclotron resonance (ECR) plasmas. The krypton plasma was produced using a 10 GHz all-permanent-magnet ECR ion source. The intensities of the highly abundant four isotopes, viz. 82 Kr (~11.58%), 83 Kr (~11.49%), 84 Kr (~57%) and 86 Kr (17.3%) up to ~ +14 charge state have been measured by extracting the ions from the plasma and analysing them in the mass and the energy using a large acceptance analyzer-cum-switching dipole magnet. The influence of the oxygen gas mixing on the isotopic krypton ion intensities is clearly evidenced beyond +9 charge state. With and without oxygen mixing, the charge state distribution of the krypton ECR plasma shows the isotope anomaly with unusual trends. The anomaly in the intensities of the isotopes having quite closer natural abundance, viz. 82 Kr, 86 Kr and 83 Kr, 86 Kr is prominent, whereas the intensity ratio of 86 Kr to 84 Kr shows a weak signature of it. The isotope anomaly tends to disappear with increasing oxygen mixing in the plasma. The observed trends in the intensities of the krypton isotopes do not follow the prediction of linear Landau wave damping in the plasma. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  5. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  6. Quartz-crystal microbalance study for characterizing atomic oxygen in plasma ash tools

    International Nuclear Information System (INIS)

    Srivastava, A.K.; Sakthivel, P.

    2001-01-01

    This article discusses the measurement of atomic oxygen (AO) concentrations in an oxygen discharge using a quartz-crystal microbalance (QCM). This is a device that has been previously used for monitoring thin-film deposition, among several other applications. The sensor consists of a silver-coated quartz crystal that oscillates at its specific resonant frequency (typically, at about 6 MHz), which is dependent on the mass of the crystal. When exposed to AO, the silver oxidizes rapidly, resulting in a change in its mass, and a consequent change in this frequency. The frequency change is measured with a counter, and when plotted versus time, it may be fit to a standard diffusion-limited oxide-growth model. This model is then used to determine the specific AO flux to the crystal, and by inference, to the wafer. Initial results of QCM measurements in the FusionGemini Plasma Asher (GPL TM -standard downstream microwave asher) and FusionGemini Enhanced Strip (GES TM -fluorine compatible enhanced strip asher) are presented in this article. The results indicate AO densities of the order of 10 12 cm -3 on the wafer. There is a marked increase in AO concentration with addition of nitrogen into the plasma, and a decrease in AO concentration with increasing pressure at constant flow. Effects of increasing the total plasma volume in the enhanced strip tool on AO production are discussed

  7. Numerical Modeling of the Work Piece Region in the Plasma Arc Cutting Process

    Science.gov (United States)

    Osterhouse, David

    The plasma arc cutting process is widely used for the cutting of metals. The process, however, is not fully understood and further understanding will lead to further improvements. This work aims to elucidate the fundamental physical phenomena in the region where the plasma interacts with the work piece through the use of numerical modeling techniques. This model follows standard computational fluid dynamic methods that have been suitably modified to include plasma effects, assuming either local thermodynamic equilibrium or a slight non-equilibrium captured by the two-temperature assumption. This is implemented in the general purpose, open source CFD package, OpenFOAM. The model is applied to a plasma flow through a geometry that extends from inside the plasma torch to the bottom of the slot cut in the work piece. The shape of the kerf is taken from experimental measurements. The results of this model include the temperature, velocity, and electrical current distribution throughout the plasma. From this, the heat flux to and drag force on the work piece are calculated. The location of the arc attachment in the cut slot is also noted because it is a matter of interest in the published literature as well as significantly effecting the dynamics of the heat flux and drag force. The results of this model show that the LTE formulation is not sufficient to capture the physics present due to unphysical fluid dynamic instabilities and numerical problems with the arc attachment. The two-temperature formulation, however, captures a large part of the physics present. Of particular note, it is found that an additional inelastic collision factor is necessary to describe the increased energy transfer between electrons and diatomic molecules, which is widely neglected in published literature. It is also found that inclusion of the oxygen molecular ion is necessary to accurately describe the plasma flow, which has been neglected in all published two-temperature oxygen calculations

  8. Oxidation of vanadium metal in oxygen plasma and their characterizations

    Science.gov (United States)

    Sharma, Rabindar Kumar; Singh, Megha; Kumar, Prabhat; Reddy, G. B.

    2015-09-01

    In this report, the role of oxygen plasma on oxidation of vanadium (V) metal and the volatilization of its oxides has been studied as a function of source (V metal strip) temperature (Tss) and oxygen partial pressure (PO2). The presence of O2-plasma not only enhances the oxidation rate but also ficilitates in transport of oxide molecules from metal to substrate, as confirmed by the simultanous deposition of oxide film onto substrate. Both the oxidized metal strips and oxide films deposited on substrates are characterized separately. The structural and vibrational results evidence the presence of two different oxide phases (i.e. orthorhombic V2O5 and monocilinic V O2) in oxide layers formed on V metal strips, whereas the oxide films deposited on substrates exhibit only orthorhombic phase (i.e. V2O5). The decrease in peak intensities recorded from heated V metal strips on increasing Tss points out the increment in the rate of oxide volatilization, which also confirms by the oxide layer thickness measurements. The SEM results show the noticeable surface changes on V-strips as the function of Tss and PO2 and their optimum values are recorded to be 500 ˚ C and 7.5 × 10-2 Torr, respectively to deposit maximum thick oxide film on substrate. The formation of microcracks on oxidized V-strips, those responsible to countinue oxidation is also confirmed by SEM results. The compositional study of oxide layers formed on V-strips, corroborates their pureness and further assures about the existence of mixed oxide phases. The effect of oxygen partial pressure on oxidation of V-metal has also been discussed in the present report. All the results are well in agreement to each other.

  9. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    International Nuclear Information System (INIS)

    Basak, Ganesh C.; Bandyopadhyay, Abhijit; Neogi, Sudarsan; Bhowmick, Anil K.

    2011-01-01

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  10. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    Energy Technology Data Exchange (ETDEWEB)

    Basak, Ganesh C. [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bandyopadhyay, Abhijit [Department of Polymer Science and Technology, University of Calcutta, Calcutta 700 009 (India); Neogi, Sudarsan [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India); Bhowmick, Anil K., E-mail: anilkb@rtc.iitkgp.ernet.in [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India)

    2011-01-15

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  11. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  12. Type of precursor and synthesis of silicon oxycarbide (SiOxCyH) thin films with a surfatron microwave oxygen/argon plasma

    International Nuclear Information System (INIS)

    Walkiewicz-Pietrzykowska, Agnieszka; Espinos, J. P.; Gonzalez-Elipe, Agustin R.

    2006-01-01

    Siliconelike thin films (i.e., SiO x C y H z ) were prepared in a microwave plasma enhanced chemical vapor deposition reactor from structurally different organosilicon precursors [i.e., hexamethyldisiloxane (HMDSO), dimethylsilane (DMS), and tetramethylsilane (TMS)]. The films were deposited at room temperature by using different oxygen/argon ratios in the plasma gas. By changing the type of precursor and the relative concentration of oxygen in the plasma, thin films with different compositions (i.e., O/C ratio) and properties are obtained. In general, raising the oxygen concentration in the plasma produces the progressive removal of the organic moieties from the films whose composition and structure then approach those of silicon dioxide. The deposition rate was highly dependent on the type of precursor, following the order HMDSO>>DMS>TMS. The polarizabilities, optical band gaps, and surface free energy of the films also depended on the thin film composition and structure. It is proposed that the Si-O bonds existing in HMDSO is the main factor controlling the distinct reactivity of this precursor and is also responsible for the different compositions and properties of the SiO x C y H z thin films prepared with very low or no oxygen in the plasma gas

  13. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  14. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  15. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  16. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  17. Radiative losses and electron cooling rates for carbon and oxygen plasma impurities

    International Nuclear Information System (INIS)

    Marchand, R.; Bonnin, X.

    1992-01-01

    Radiative losses and electron cooling rates are calculated for carbon and oxygen ions under conditions relevant to fusion plasmas. Both rates are calculated with the most recent recommended atomic data. A modified coronal model which includes the effects of metastable states is described and used to calculate the rates. Comparisons with other approaches are also discussed. (author). 36 ref, figs

  18. Osmotic phenomena in application for hyperbaric oxygen treatment.

    Science.gov (United States)

    Babchin, A; Levich, E; Melamed M D, Y; Sivashinsky, G

    2011-03-01

    Hyperbaric oxygen (HBO) treatment defines the medical procedure when the patient inhales pure oxygen at elevated pressure conditions. Many diseases and all injuries are associated with a lack of oxygen in tissues, known as hypoxia. HBO provides an effective method for fast oxygen delivery in medical practice. The exact mechanism of the oxygen transport under HBO conditions is not fully identified. The objective of this article is to extend the colloid and surface science basis for the oxygen transport in HBO conditions beyond the molecular diffusion transport mechanism. At a pressure in the hyperbaric chamber of two atmospheres, the partial pressure of oxygen in the blood plasma increases 10 times. The sharp increase of oxygen concentration in the blood plasma creates a considerable concentration gradient between the oxygen dissolved in the plasma and in the tissue. The concentration gradient of oxygen as a non-electrolyte solute causes an osmotic flow of blood plasma with dissolved oxygen. In other words, the molecular diffusion transport of oxygen is supplemented by the convective diffusion raised due to the osmotic flow, accelerating the oxygen delivery from blood to tissue. A non steady state equation for non-electrolyte osmosis is solved asymptotically. The solution clearly demonstrates two modes of osmotic flow: normal osmosis, directed from lower to higher solute concentrations, and anomalous osmosis, directed from higher to lower solute concentrations. The fast delivery of oxygen from blood to tissue is explained on the basis of the strong molecular interaction between the oxygen and the tissue, causing an influx of oxygen into the tissue by convective diffusion in the anomalous osmosis process. The transport of the second gas, nitrogen, dissolved in the blood plasma, is also taken into the consideration. As the patient does not inhale nitrogen during HBO treatment, but exhales it along with oxygen and carbon dioxide, the concentration of nitrogen in blood

  19. Oxygen incineration process for treatment of alpha-contaminated wastes

    International Nuclear Information System (INIS)

    Kim, Jeong Guk; Yang, Hee Chul; Park, Geun Il; Kim, In Tae; Kim, Joon Hyung

    2001-07-01

    As a part of development of a treatment technology for burnable alpha-bearing (or -contaminated) wastes using an oxygen incineration process, which would be expected to produce in Korea, the off-gas volume and compositions were estimated form mass and heat balance, and then compared to those of a general air incineration process. A laboratory-scale oxygen incineration process, to investigate a burnable wastes from nuclear fuel fabricatin facility, was designed, constructed, and then operated. The use of oxygen instead of air in incineratin would result in reduction on off-gas product below one seventh theoretically. In addition, the trends on incineration and melting processes to treat the radioactive alpha-contaminated wastes, and the regulations and guide lines, related to design, construction, and operation of incineration process, were reviewed. Finallu, the domestic regulations related incineration, and the operation and maintenance manuals for oxy-fuel burner and oxygen incineration process were shown in appendixes

  20. Oxygen incineration process for treatment of alpha-contaminated wastes

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jeong Guk; Yang, Hee Chul; Park, Geun Il; Kim, In Tae; Kim, Joon Hyung

    2001-07-01

    As a part of development of a treatment technology for burnable alpha-bearing (or -contaminated) wastes using an oxygen incineration process, which would be expected to produce in Korea, the off-gas volume and compositions were estimated form mass and heat balance, and then compared to those of a general air incineration process. A laboratory-scale oxygen incineration process, to investigate a burnable wastes from nuclear fuel fabricatin facility, was designed, constructed, and then operated. The use of oxygen instead of air in incineratin would result in reduction on off-gas product below one seventh theoretically. In addition, the trends on incineration and melting processes to treat the radioactive alpha-contaminated wastes, and the regulations and guide lines, related to design, construction, and operation of incineration process, were reviewed. Finallu, the domestic regulations related incineration, and the operation and maintenance manuals for oxy-fuel burner and oxygen incineration process were shown in appendixes.

  1. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    discharges, plasma chemistry - particularly in oxygen containing plasmas, modeling of plasmas and plasma surface interactions in complex comprehensive plasma codes, modeling of the development of surface profiles and kinetics of surface collisions, plasma treatment of materials, plasma processing and applications in thin film deposition, nanoscale device production and many other applications. Yet all the papers, one way or the other, address the key issues of the next generation of plasma technologies in the micro and nano electronic industry. The issue of radicals and also of electron molecule collisions is addressed by J Tennyson who presents a guide into using a code for R-matrix calculations of electron-molecule collisions at low and intermediate energies. Related experimental results are presented by T Field who showed recent measurements of electron radical attachment cross sections, by T Märk who discusses electron impact ionization/dissociation of molecules and subsequent production of energetic radicals, and by M Kimura and his coauthors who discuss productions of radicals and ions by electron and photon impacts on CH4. Finally T Maddern and M Brunger share with us the first results from the new very complex system for comprehensive measurements of electron radical cross sections, the first example being CF2. B Marinković mainly focuses on recent results of his group having in mind the data needs for plasma modeling. Collisions at surfaces are addressed by P Tegeder and more specifically she presents here the evolution of negative ion resonances at surfaces. The electron swarm data as projected onto gaseous dielectrics but also having application in plasma processing is covered by J De Urquijo who attempted to answer the question whether CF3I is a better dielectric than SF6. The basic processes leading to the gas breakdown have been addressed by D Marić and Z Lj Petrović who focus on the transition from Townsend to constricted-normal glow regime while trying to

  2. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  3. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  4. In Vitro Comparative Study of Oxygen Plasma Treated Poly(Lactic–Co–Glycolic (PLGA Membranes and Supported Nanostructured Oxides for Guided Bone Regeneration Processes

    Directory of Open Access Journals (Sweden)

    Daniel Torres-Lagares

    2018-05-01

    Full Text Available (1 Background: The use of physical barriers to prevent the invasion of gingival and connective tissue cells into bone cavities during the healing process is called guided bone regeneration. The objective of this in-vitro study was to compare the growth of human osteoblasts on Poly(Lactic–co–Glycolic (PLGA membranes modified with oxygen plasma and Hydroxyapatite (HA, silicon dioxide (SiO2, and titanium dioxide (TiO2 composite nanoparticles, respectively. (2 Methods: All the membranes received a common treatment with oxygen plasma and were subsequently treated with HA nanostructured coatings (n = 10, SiO2 (n = 10 and TiO2 (n = 10, respectively and a PLGA control membrane (n = 10. The assays were performed using the human osteoblast line MG-63 acquired from the Center for Scientific Instrumentation (CIC from the University of Granada. The cell adhesion and the viability of the osteoblasts were analyzed by means of light-field microphotographs of each condition with the inverted microscope Axio Observer A1 (Carl Zeiss. For the determination of the mitochondrial energy balance, the MitoProbe™ JC-1 Assay Kit was employed. For the determination of cell growth and the morphology of adherent osteoblasts, two techniques were employed: staining with phalloidin-TRITC and staining with DAPI. (3 Results: The modified membranes that show osteoblasts with a morphology more similar to the control osteoblasts follow the order: PLGA/PO2/HA > PLGA/PO2/SiO2 > PLGA/PO2/TiO2 > PLGA (p < 0.05. When analysing the cell viability, a higher percentage of viable cells bound to the membranes was observed as follows: PLGA/PO2/SiO2 > PLGA/PO2/HA > PLGA/PO2/TiO2 > PLGA (p < 0.05, with a better energy balance of the cells adhered to the membranes PLGA/PO2/HA and PLGA/PO2/SiO2. (4 Conclusion: The membrane in which osteoblasts show characteristics more similar to the control osteoblasts is the PLGA/PO2/HA, followed by the PLGA/PO2/SiO2.

  5. Deviation from normal Boltzmann distribution of high-lying energy levels of iron atom excited by Okamoto-cavity microwave-induced plasmas using pure nitrogen and nitrogen–oxygen gases

    International Nuclear Information System (INIS)

    Wagatsuma, Kazuaki

    2015-01-01

    This paper describes several interesting excitation phenomena occurring in a microwave-induced plasma (MIP) excited with Okamoto-cavity, especially when a small amount of oxygen was mixed with nitrogen matrix in the composition of the plasma gas. An ion-to-atom ratio of iron, which was estimated from the intensity ratio of ion to atomic lines having almost the same excitation energy, was reduced by adding oxygen gas to the nitrogen MIP, eventually contributing to an enhancement in the emission intensities of the atomic lines. Furthermore, Boltzmann plots for iron atomic lines were observed in a wide range of the excitation energy from 3.4 to 6.9 eV, indicating that plots of the atomic lines having lower excitation energies (3.4 to 4.8 eV) were well fitted on a straight line while those having more than 5.5 eV deviated upwards from the linear relationship. This overpopulation would result from any other excitation process in addition to the thermal excitation that principally determines the Boltzmann distribution. A Penning-type collision with excited species of nitrogen molecules probably explains this additional excitation mechanism, in which the resulting iron ions recombine with captured electrons, followed by cascade de-excitations between closely-spaced excited levels just below the ionization limit. As a result, these high-lying levels might be more populated than the low-lying levels of iron atom. The ionization of iron would be caused less actively in the nitrogen–oxygen plasma than in a pure nitrogen plasma, because excited species of nitrogen molecule, which can provide the ionization energy in a collision with iron atom, are consumed through collisions with oxygen molecules to cause their dissociation. It was also observed that the overpopulation occurred to a lesser extent when oxygen gas was added to the nitrogen plasma. The reason for this was also attributed to decreased number density of the excited nitrogen species due to collisions with oxygen

  6. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  7. Examining the Effects of Oxygen Plasma on Physical and Dyeing Properties of Some Cellulose Fibers

    Directory of Open Access Journals (Sweden)

    Dilara KOCAK

    2016-09-01

    Full Text Available Cotton, Agava Americana and artichoke fibers were treated with plasma with oxygen gas in Diener Vacuum Plasma for 1, 3 and 5 minutes, with 40 kHz low frequency and at 0.3 mbar pressure. After the plasma treatment, fibers' weight loss %, tensile strength, elongation, fiber diameter, surface topography (SEM, colour changes, and light and washing fastness properties were investigated. A positive increase was observed for mechanical and fastness properties after 5 min plasma treatment. The effects of plasma treatments on dyeing properties of fibers were studied. Dyeing properties of plasma treated fibers were improved after 3 min. treatment. SEM results were also proved the improved physical properties and colour changes due to the rough surface structure.DOI: http://dx.doi.org/10.5755/j01.ms.22.3.9368

  8. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yong Seob [Department of Photoelectronics Information, Chosun College of Science and Technology, Gwangju (Korea, Republic of); Kim, Eungkwon [Digital Broadcasting Examination, Korean Intellectual Property Office, Daejeon, Suwon 440-746 (Korea, Republic of); Hong, Byungyou [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of); Lee, Jaehyoeng, E-mail: jaehyeong@skku.edu [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of)

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{sub 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.

  9. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  10. Synthesis of Pt nanoparticles as catalysts of oxygen reduction with microbubble-assisted low-voltage and low-frequency solution plasma processing

    Science.gov (United States)

    Horiguchi, Genki; Chikaoka, Yu; Shiroishi, Hidenobu; Kosaka, Shinpei; Saito, Morihiro; Kameta, Naohiro; Matsuda, Naoki

    2018-04-01

    In the preparation of metallic nanoparticles by conventional solution plasma (SP) techniques, unstable plasma emission becomes an issue when the voltage and frequency of the waves applied between two electrodes placed in solution are lowered to avoid the boiling of the solution. In this study, we confirm that, in the presence of microbubbles, plasma is generated stably at low voltage (440 V) and low frequency (50-100 Hz) and small-size (≤10 nm) Pt nanoparticles (PtNPs) are synthesized in succession using a flow cell. The smallest PtNPs, ∼3.3 nm in diameter, are obtained using half-wave rectification, a tungsten wire anode, and a platinum wire cathode. The PtNPs are characterized by X-ray diffraction, X-ray photoelectron spectroscopy, transmission electron microscopy, and thermogravimeter-differential thermal analysis. The oxygen reduction reaction (ORR) is investigated in 0.1 M HClO4 solution on carbon-supported PtNPs using a rotating ring-disk electrode. The catalytic activities per initial electrochemical active surface area of the carbon-supported PtNPs synthesized employing the low-voltage, low-frequency (LVLF)-SP technique is higher than that of the commercially available 20 wt% Pt on Vulcan XC-72R. These results indicate that the LVLF-SP technique is a promising approach to producing carbon-supported PtNPs that catalyze ORR with low energy consumption.

  11. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  12. Patterned Well-Aligned ZnO Nanorods Assisted with Polystyrene Monolayer by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Hyun Ji Choi

    2016-08-01

    Full Text Available Zinc oxide is known as a promising material for sensing devices due to its piezoelectric properties. In particular, the alignment of ZnO nanostructures into ordered nanoarrays is expected to improve the device sensitivity due to the large surface area which can be utilized to capture significant quantities of gas particles. However, ZnO nanorods are difficult to grow on the quartz substrate with well-ordered shape. So, we investigated nanostructures by adjusting the interval distance of the arranged ZnO nanorods using polystyrene (PS spheres of various sizes (800 nm, 1300 nm and 1600 nm. In addition, oxygen plasma treatment was used to specify the nucleation site of round, patterned ZnO nanorod growth. Therefore, ZnO nanorods were grown on a quartz substrate with a patterned polystyrene monolayer by the hydrothermal method after oxygen plasma treatment. The obtained ZnO nanostructures were characterized by X-ray diffraction (XRD and field-emission scanning electron microscope (FE-SEM.

  13. Effect of low temperature oxygen plasma treatment on microstructure and adhesion force of graphene

    Science.gov (United States)

    Zhu, Jun; Deng, Heijun; Xue, Wei; Wang, Quan

    2018-01-01

    Graphene has attracted strong attention due to its unique mechanical, electrical, thermal and magnetic properties. In this work, we investigate the effect of low temperature oxygen plasma treatment on microstructure and adhesion force of single-layer graphene (SLG). Low temperature oxygen plasma is used to treat SLG grown by chemical vapor deposition through varying the exposure time. Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy are utilized to identify changes before and after treatment. Raman spectra of treated graphene reveal that peak intensity of the characteristic D and D' peaks increase. Meanwhile, degradation of the G and 2D peaks in X-ray photoelectron spectroscopy indicates that abundant Csbnd OH and Cdbnd O functional groups are introduced into graphene after treatment. AFM investigation shows that surface roughness and adhesion force of treated graphene increase significantly firstly and then slowly. Therefore, this work would offer a practical route to improve the performance of graphene-based devices.

  14. Development of process maps for plasma spray: case study for molybdenum

    International Nuclear Information System (INIS)

    Sampath, S.; Jiang, X.; Kulkarni, A.; Matejicek, J.; Gilmore, D.L.; Neiser, R.A.

    2003-01-01

    A schematic representation referred to as 'process maps' examines the role of process variables on the properties of plasma-sprayed coatings. Process maps have been developed for air plasma spraying of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, primary gas flow, auxiliary gas flow, and powder carrier gas flow. In-flight particle temperatures and velocities were measured and diameters estimated in various areas of the spray plume. Empirical models were developed relating the input parameters to the in-flight particle characteristics. Molybdenum splats and coatings were produced at three distinct process conditions identified from the first-order process map experiments. In addition, substrate surface temperature during deposition was treated as a variable. Within the tested range, modulus, hardness and thermal conductivity increases with particle velocity, while oxygen content and porosity decreases. Increasing substrate deposition temperature resulted in dramatic improvement in coating thermal conductivity and modulus, while simultaneously increasing coating oxide content. Indentation reveals improved fracture resistance for the coatings prepared at higher substrate temperature. Residual stress was significantly affected by substrate temperature, although not to a great extent by particle conditions within the investigated parameter range. Coatings prepared at high substrate temperature with high-energy particles suffered considerably less damage in a wear test. The mechanisms behind these changes are discussed within the context relational maps, which have been proposed

  15. A study of the plasma electronegativity in an argon-oxygen pulsed-dc sputter magnetron

    International Nuclear Information System (INIS)

    You, S D; Dodd, R; Edwards, A; Bradley, J W

    2010-01-01

    Using Langmuir probe-assisted laser photodetachment, the temporal evolution of the O - density was determined in the bulk plasma of a unipolar pulsed-dc magnetron. The source was operated in reactive mode, at a fixed nominal on-time power of 100 W, sputtering Ti in argon-oxygen atmospheres at 1.3 Pa pressure, but over a variation of duty cycles from 5% to 50% and oxygen partial pressures of 10% and 50% of the total pressure. In the plasma on-time, for all duty cycles the negative ion density (n - ) rises marginally reaching values typically less than 2 x 10 15 m -3 with negative ion-to-electron density ratios, α - falls by about 20-30% as fast O - species created at the cathode exit the system. This is followed by a rapid rise in n - to values at least 2 or 3 times that in the on-time. The rate of rise of n - and its maximum value both increase with decreasing duty cycle. In the off-time, the electron density falls rapidly (initial decay rates of several tens of μs), and therefore the afterglow plasma becomes highly electronegative, with α reaching 4.6 and 14.4 for 10% and 50% oxygen partial pressure, respectively. The rapid rise in n - in the afterglow (in which the electron temperature falls from about 5 to 0.5 eV) is attributed to the dissociative attachment of highly excited oxygen metastables, which themselves are created in the pulse on-time. At the lowest duty of 5%, the long-term O - decay times are several hundred μs. Langmuir probe characteristics show the clear signature that negative ions dominate over the electrons in the off-time. From the ion and electron saturation current ratios, α has been estimated in some chosen cases and found to agree within a factor between 2 and 10 with those obtained more directly from the photodetachment method.

  16. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  17. A radio-frequency nonequilibrium atmospheric pressure plasma operating with argon and oxygen

    International Nuclear Information System (INIS)

    Moravej, M.; Yang, X.; Hicks, R.F.; Penelon, J.; Babayan, S.E.

    2006-01-01

    A capacitively coupled, atmospheric pressure plasma has been developed that produces a high concentration of reactive species at a gas temperature below 300 deg. C. The concentration of ground-state oxygen atoms produced by the discharge was measured by NO titration, and found to equal 1.2 vol %, or 1.2±0.4x10 17 cm -3 , using 6.0 vol % O 2 in argon at 150 W/cm 3 . The ozone concentration determined at the same conditions was 4.3±0.5x10 14 cm -3 . A model of the gas phase reactions was developed and yielded O atom and O 3 concentrations in agreement with experiment. This plasma source etched Kapton registered at 5.0 μm/s at 280 deg. C and an electrode-to-sample spacing of 1.5 cm. This fast etch rate is attributed to the high O atom flux generated by the plasma source

  18. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  19. In vitro study of 3D PLGA/n-HAp/β-TCP composite scaffolds with etched oxygen plasma surface modification in bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Roh, Hee-Sang [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of); Jung, Sang-Chul [Department of Environmental Engineering, Sunchon National University, 255 Jungang-ro, Sunchon 57922 (Korea, Republic of); Kook, Min-Suk [Department of Oral and Maxillofacial Surgery, School of Dentistry, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of)

    2016-12-01

    Highlights: • PLGA and PLGA/n-HAp/β-TCP scaffolds were successfully fabricated by 3D printing. • Oxygen plasma etching increases the wettability and surface roughness. • Bioceramics and oxygen plasma etching and could be used to improve the cell affinity. - Abstract: Three-dimensional (3D) scaffolds have many advantageous properties for bone tissue engineering application, due to its controllable properties such as pore size, structural shape and interconnectivity. In this study, effects on oxygen plasma surface modification and adding of nano-hydroxyapatite (n-HAp) and β-tricalcium phosphate (β-TCP) on the 3D PLGA/n-HAp/β-TCP scaffolds for improving preosteoblast cell (MC3T3-E1) adhesion, proliferation and differentiation were investigated. The 3D PLGA/n-HAp/β-TCP scaffolds were fabricated by 3D Bio-Extruder equipment. The 3D scaffolds were prepared with 0°/90° architecture and pore size of approximately 300 μm. In addition 3D scaffolds surface were etched by oxygen plasma to enhance the hydrophilic property and surface roughness. After oxygen plasma treatment, the surface chemistry and morphology were investigated by Fourier transform infrared spectroscopy, scanning electron microscopy, and atomic force microscopy. And also hydrophilic property was measured by contact angle. The MC3T3-E1 cell proliferation and differentiation were investigated by MTT assay and ALP activity. In present work, the 3D PLGA/HAp/beta-TCP composite scaffold with suitable structure for the growth of osteoblast cells was successfully fabricated by 3D rapid prototyping technique. The surface hydrophilicity and roughness of 3D scaffold increased by oxygen plasma treatment had a positive effect on cell adhesion, proliferation, and differentiation. Furthermore, the differentiation of MC3T3-E1 cell was significantly enhanced by adding of n-HAp and β-TCP on 3D PLGA scaffold. As a result, combination of bioceramics and oxygen plasma treatment showed a synergistic effect on

  20. Enhancement of gas sensor response of nanocrystalline zinc oxide for ammonia by plasma treatment

    International Nuclear Information System (INIS)

    Hou, Yue; Jayatissa, Ahalapitiya H.

    2014-01-01

    The effect of oxygen plasma treatment on nanocrystalline ZnO thin film based gas sensor was investigated. ZnO thin films were synthesized on alkali-free glass substrates by a sol–gel process. ZnO thin films were treated with oxygen plasma to change the number of vacancies/defects in ZnO. The effect of oxygen plasma on the structural, electrical, optical and gas sensing properties was investigated as a function of plasma treatment time. The results suggest that the microstructure and the surface morphology can be tuned by oxygen plasma treatment. The optical transmission in the visible range varies after the oxygen plasma treatment. Moreover, it is found that the oxygen plasma has significant impact on the electrical properties of ZnO thin films indicating a variation of resistivity. The oxygen plasma treated ZnO thin film exhibits an enhanced sensing response towards NH 3 in comparison with that of the as-deposited ZnO sensor. When compared with the as-deposited ZnO film, the sensing response was improved by 50% for the optimum oxygen plasma treatment time of 8 min. The selectivity of 8 min plasma treated ZnO sensor was also examined for an important industrial gas mixture of H 2 , CH 4 and NH 3 .

  1. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  2. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  3. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  4. Spin coating and plasma process for 2.5D integrated photonics on multilayer polymers

    International Nuclear Information System (INIS)

    Zebda, A.; Camberlein, L.; Beche, B.; Gaviot, E.; Beche, E.; Duval, D.; Zyss, J.; Jezequel, G.; Solal, F.; Godet, C.

    2008-01-01

    Polymer spin coating, surface plasma treatment and selective UV-lithography processes have been developed to realize 2.5D photonic micro-resonators, made of disk- or ring-shaped upper rib waveguides, using common polymers such as SU8 (biphenol A ether glycidyl), PS233 (polymeric silane) and SOG (siloxane Spin on Glass). Both oxygen and argon plasma treatments, applied to PS233 and SOG before spin-coating the SU8, improve substantially the grip of multilayer devices (SU8 / PS233 or SU8 / SOG). Surface energy components derived from contact angle measurements have been used to optimize the processing conditions. In such integrated photonic devices, the both single-electromagnetic-modes called transverse electric (TE 00 ) and transverse magnetic (TM 00 ) have been excited in a SU8 micro-disk, with a single mode propagation strongly localized near the edge of the disk (i.e. the so called whispering gallery modes)

  5. Molecular Dynamics Insights into Water-Parylene C Interface: Relevance of Oxygen Plasma Treatment for Biocompatibility

    Czech Academy of Sciences Publication Activity Database

    Golda-Cepa, M.; Kulig, W.; Cwiklik, Lukasz; Kotarba, A.

    2017-01-01

    Roč. 9, č. 19 (2017), s. 16685-16693 ISSN 1944-8244 Institutional support: RVO:61388963 Keywords : molecular dynamics * contact angle * surface free energy * parylene C * biomaterials oxygen plasma Subject RIV: CF - Physical ; Theoretical Chemistry OBOR OECD: Physical chemistry Impact factor: 7.504, year: 2016

  6. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  7. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  8. Surface monofunctionalized polymethyl pentene hollow fiber membranes by plasma treatment and hemocompatibility modification for membrane oxygenators

    Science.gov (United States)

    Huang, Xin; Wang, Weiping; Zheng, Zhi; Fan, Wenling; Mao, Chun; Shi, Jialiang; Li, Lei

    2016-01-01

    The hemocompatibility of polymethyl pentene (PMP) hollow fiber membranes (HFMs) was improved through surface modification for membrane oxygenator applications. The modification was performed stepwise with the following: (1) oxygen plasma treatment, (2) functionalization of monosort hydroxyl groups through NaBH4 reduction, and (3) grafting 2-methacryloyloxyethyl phosphorylcholine (MPC) or heparin. SEM, ATR-FTIR, and XPS analyses were conducted to confirm successful grafting during the modification. The hemocompatibility of PMP HFMs was analyzed and compared through protein adsorption, platelet adhesion, and coagulation tests. Pure CO2 and O2 permeation rates, as well as in vitro gas exchange rates, were determined to evaluate the mass transfer properties of PMP HFMs. SEM results showed that different nanofibril topographies were introduced on the HFM surface. ATR-FTIR and XPS spectra indicated the presence of functionalization of monosort hydroxyl group and the grafting of MPC and heparin. Hemocompatibility evaluation results showed that the modified PMP HFMs presented optimal hemocompatibility compared with pristine HFMs. Gas permeation results revealed that gas permeation flux increased in the modified HFMs because of dense surface etching during the plasma treatment. The results of in vitro gas exchange rates showed that all modified PMP HFMs presented decreased gas exchange rates because of potential surface fluid wetting. The proposed strategy exhibits a potential for fabricating membrane oxygenators for biomedical applications to prevent coagulation formation and alter plasma-induced surface topology and composition.

  9. The spatial distribution of temperature and oxygen deficiency in spark-plasma sintered superconducting Bi-based materials

    International Nuclear Information System (INIS)

    Govea-Alcaide, E.; Pérez-Fernández, J.E.; Machado, I.F.; Jardim, R.F.

    2014-01-01

    Pre-reacted powders of (Bi–Pb) 2 Sr 2 Ca 2 Cu 3 O 10+δ (Bi-2223) were consolidated by using the spark plasma sintering (SPS) technique under vacuum and at different consolidate temperatures T D . X-ray diffraction patterns revealed that the dominant phase in all SPS samples is the Bi-2223 phase, but traces of the Bi 2 Sr 2 CaCu 2 O 10+x (Bi-2212) phase were identified. We have found that the transport properties of SPS samples depend on their oxygen content because the SPS process is performed under vacuum. Simulations by using the finite element method (FEM) were performed for determining the actual temperature in which powders are consolidated. From these results we have inferred that SPS samples are oxygen deficient and such a deficiency is more marked near the grain boundaries, suggesting the occurrence of grains with core–shell morphology. We also argued that the width of the shell depends on the consolidation temperature, a feature corroborated by the FEM simulations

  10. Modelling of an intermediate pressure microwave oxygen discharge reactor: from stationary two-dimensional to time-dependent global (volume-averaged) plasma models

    International Nuclear Information System (INIS)

    Kemaneci, Efe; Graef, Wouter; Rahimi, Sara; Van Dijk, Jan; Kroesen, Gerrit; Carbone, Emile; Jimenez-Diaz, Manuel

    2015-01-01

    A microwave-induced oxygen plasma is simulated using both stationary and time-resolved modelling strategies. The stationary model is spatially resolved and it is self-consistently coupled to the microwaves (Jimenez-Diaz et al 2012 J. Phys. D: Appl. Phys. 45 335204), whereas the time-resolved description is based on a global (volume-averaged) model (Kemaneci et al 2014 Plasma Sources Sci. Technol. 23 045002). We observe agreement of the global model data with several published measurements of microwave-induced oxygen plasmas in both continuous and modulated power inputs. Properties of the microwave plasma reactor are investigated and corresponding simulation data based on two distinct models shows agreement on the common parameters. The role of the square wave modulated power input is also investigated within the time-resolved description. (paper)

  11. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  12. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  13. Radiation losses from oxygen and iron impurities in a high temperature plasma

    International Nuclear Information System (INIS)

    Breton, C.; Michelis, C. de; Mattioli, M.

    1976-06-01

    Radiation and ionization losses due to impurities present in a high temperature plasma have been calculated for a light element (oxygen), which is completely stripped in the core of existing Tokamak discharges, and a heavy one (iron), which is only partially stripped. Two extreme cases have been treated: in the first one coronal equilibrium is reached; the radiated power is then equal to the product of the electron density, the impurity density, and a function of the electron temperature; in the second one impurities recycle with a constant radial velocity v 0 in a background plasma; radiation and ionization losses are proportional to the impurity flux and are a decreasing function of the diffusion velocity. The results presented can be used to evaluate losses in a practical case [fr

  14. Controlling the electrical properties of ZnO films by forming zinc and oxide bridges by a plasma and electron-assisted process

    Directory of Open Access Journals (Sweden)

    Norihiro Shimoi

    2012-06-01

    Full Text Available A new method to produce electrically steady ZnO films without any heating process has been developed by using plasma and electron beams to facilitate bonding between the metallic component and the oxygen on coated ZnO films. Both plasma atmosphere and electron beams can function as sources of nonequilibrium bonding energy, forming bridges between the zinc present in the zinc complex and the oxygen in the ZnO particles to construct a zinc-oxide thin film. Our results confirm that it is possible to achieve low conductive characteristics by controlling the acceleration voltage of electrons used to irradiate the ZnO coating. The electrically steady films fabricated have various potential applications, being particularly well-suited to electrical devices on a plastic medium.

  15. Controlling the electrical properties of ZnO films by forming zinc and oxide bridges by a plasma and electron-assisted process

    Energy Technology Data Exchange (ETDEWEB)

    Shimoi, Norihiro; Tanaka, Yasumitsu [Graduate School of Environmental Studies, Tohoku University, 6-6-20 Aoba, Aramaki, Aoba-ku, Sendai 980-8579 (Japan); Harada, Takamitsu [Sendai Technology Center, Consumer-Professional and Devices Group, Sony Corporation, 3-4-1 Sakuragi, Tagajo 985-0842 (Japan); Tanaka, Shun-ichiro [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2012-06-15

    A new method to produce electrically steady ZnO films without any heating process has been developed by using plasma and electron beams to facilitate bonding between the metallic component and the oxygen on coated ZnO films. Both plasma atmosphere and electron beams can function as sources of nonequilibrium bonding energy, forming bridges between the zinc present in the zinc complex and the oxygen in the ZnO particles to construct a zinc-oxide thin film. Our results confirm that it is possible to achieve low conductive characteristics by controlling the acceleration voltage of electrons used to irradiate the ZnO coating. The electrically steady films fabricated have various potential applications, being particularly well-suited to electrical devices on a plastic medium.

  16. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  17. Mechanisms of polymer degradation using an oxygen plasma generator

    Science.gov (United States)

    Colony, Joe A.; Sanford, Edward L.

    1987-01-01

    An RF oxygen plasma generator was used to produce polymer degradation which appears to be similar to that which has been observed in low Earth orbit. Mechanisms of this type of degradation were studied by collecting the reaction products in a cryogenic trap and identifying the molecular species using infrared, mass spectral, and X-ray diffraction techniques. No structurally dependent species were found from Kapton, Teflon, or Saran polymers. However, very reactive free radical entities are produced during the polymer degradation, as well as carbon dioxide and water. Reactions of the free radicals with the glass reaction vessel, with copper metal in the cold trap, and with a triphenyl phosphate scavenger in the cold trap, demonstrated the reactivity of the primary products.

  18. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment

    Science.gov (United States)

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-01

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (1019 cm‑3) are distributed in the initial state, which exist in the forms of Si2N≡Si·, SiO2≡Si·, O3≡Si·, and N3≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H+ and O2‑. Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  19. Evaluation of oxygen species during E-H transition in inductively coupled RF plasmas: combination of experimental results with global model

    Science.gov (United States)

    Meichsner, Jürgen; Wegner, Thomas

    2018-05-01

    Inductively coupled RF plasmas (ICP) in oxygen at low pressure have been intensively studied as a molecular and electronegative model system in the last funding period of the Collaborative Research Centre 24 "Fundamentals of Complex Plasmas". The ICP configuration consists of a planar coil inside a quartz cylinder as dielectric barrier which is immersed in a large stainless steel vacuum chamber. In particular, the E-H mode transition has been investigated, combining experimental results from comprehensive plasma diagnostics as input for analytical rate equation calculation of a volume averaged global model. The averaged density was determined for electrons, negative ions O-, molecular oxygen ground state O2(X3 Σg-) and singlet metastable state O2(a1 Δg) from line-integrated measurements using 160 GHz Gaussian beam microwave interferometry coupled with laser photodetachment experiment and VUV absorption spectroscopy, respectively. Taking into account the relevant elementary processes and rate coefficients from literature together with the measured temperatures and averaged density of electrons, O2(X3 Σg-) and O2(a1 Δg) the steady state density was calculated for O(3P), O2(b1 Σg+), O(1D), O(1S), O3, O-, O2-, and O3-, respectively. The averaged density of negative ions O- from the rate equation calculation is compared with the measured one. The normalized source and loss rates are discussed for O(3P), O2(b1 Σg+) and O-. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  20. Aquaregia and Oxygen Plasma Treatments on Fluorinated Tin Oxide for Assembly of PLEDs Devices Using OC1C10-PPV as Emissive Polymer

    Directory of Open Access Journals (Sweden)

    Emerson Roberto SANTOS

    2009-02-01

    Full Text Available In this work were carried out treatments with oxygen plasma and aquaregia on fluorinated tin oxide (FTO films varying the treatment times. After treatments, the samples were analyzed by techniques measurements: sheet resistance, thickness, Hall effect, transmittance and superficial roughness. Devices using FTO/PEDOT:PSS/OC1C10-PPV/Al were assembled. In this experiment some variations were observed by sheet resistance and thickness and Hall effect measurements indicated most elevated carriers concentration and resistivity for aquaregia than that oxygen plasma. The roughness was elevated for the first minutes with treatment by aquaregia too. In the I-V curves the aquaregia devices presented the lowest threshold voltage for 30 minutes and devices treated by oxygen plasma presented a behavior most resistivity different of typical curves for PLEDs devices.

  1. Work function modifications of graphite surface via oxygen plasma treatment

    Science.gov (United States)

    Duch, J.; Kubisiak, P.; Adolfsson, K. H.; Hakkarainen, M.; Golda-Cepa, M.; Kotarba, A.

    2017-10-01

    The surface modification of graphite by oxygen plasma was investigated experimentally (X-ray diffraction, nanoparticle tracking analysis, laser desorption ionization mass spectrometry, thermogravimetry, water contact angle) and by molecular modelling (Density Functional Theory). Generation of surface functional groups (mainly sbnd OHsurf) leads to substantial changes in electrodonor properties and wettability gauged by work function and water contact angle, respectively. The invoked modifications were analyzed in terms of Helmholtz model taking into account the theoretically determined surface dipole moment of graphite-OHsurf system (μ = 2.71 D) and experimentally measured work function increase (from 0.75 to 1.02 eV) to determine the sbnd OH surface coverage (from 0.70 to 1.03 × 1014 groups cm-2). Since the plasma treatment was confined to the surface, the high thermal stability of the graphite material was preserved as revealed by the thermogravimetric analysis. The obtained results provide a suitable quantitative background for tuning the key operating parameters of carbon electrodes: electronic properties, interaction with water and thermal stability.

  2. Dissociation kinetics of iodine in oxygen-containing electrical discharge plasmas

    International Nuclear Information System (INIS)

    Zakharov, A.I.; Klopovskii, K.S.; Rakhimova, T.V.; Samorodov, V.A.

    1993-01-01

    Studies of the kinetics of gaseous media containing oxygen and iodine molecules have been stimulated to a substantial degree by the search for ways of improving iodine-oxygen lasers and by the need for information on loss processes for atmospheric ozone. Results are presented from an experimental study and numerical simulations of the kinetics of the dissociation of iodine in self-sustained volume discharges in high-pressure O 2 :Ar:I 2 mixtures. It is shown that the well-studied mechanism for dissociation based on excitation of iodine molecules in successive collisions with singlet oxygen and excited iodine atoms is supplanted by a substantially different mechanism involving the creation and loss of 10 radicals when the densities of atomic oxygen and ozone are high enough. It is also shown that iodine fractions as low as ∼10 -3 in the mixture lead to rapid loss of ozone molecules while less than 18% of the discharge energy is expended in the production of singlet oxygen

  3. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  4. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2012-01-01

    decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher

  5. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  6. Process Performances of 2 ns Pulsed Discharge Plasma

    Science.gov (United States)

    Matsumoto, Takao; Wang, Douyan; Namihira, Takao; Akiyama, Hidenori

    2011-08-01

    Pulsed discharge plasmas have been used to treat exhaust gases. Since pulse duration and the rise time of applied voltage to the discharge electrode has a strong influence on the energy efficiency of pollutant removal, the development of a short-pulse generator is of paramount importance for practical applications. In this work, it is demonstrated that the non thermal plasma produced by the 2 ns pulsed discharge has a higher energy efficiency than the 5 ns pulsed discharge plasma for NO removal and ozone generation. Typically, the NO removal efficiency was 1.0 mol kW-1 h-1 for 70% NO removal (initial NO concentration = 200 ppm, gas flow = 10 L/min). Meanwhile, the ozone yield was 500 g kW-1 h-1 for 20 g/m3 ozone concentration in the case of oxygen feeding. These energy efficiencies are the highest in the literature.

  7. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  8. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  9. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  10. Plasma Methane Pyrolysis for Spacecraft Oxygen Loop Closure

    Science.gov (United States)

    Greenwood, Z. W.

    2018-01-01

    Life support is a critical function of any crewed space vehicle or habitat. Human life support systems on the International Space Station (ISS) include a number of atmosphere revitalization (AR) technologies to provide breathable air and a comfortable living environment to the crew. The Trace Contaminant Control System removes harmful volatile organic compounds and other trace contaminants from the circulating air. The Carbon Dioxide Removal Assembly (CDRA) removes metabolic carbon dioxide (CO2) and returns air to the cabin. Humidity is kept at comfortable levels by a number of condensing heat exchangers. The Oxygen Generation Assembly (OGA) electrolyzes water to produce oxygen for the crew and hydrogen (H2) as a byproduct. A Sabatier reaction-based CO2 Reduction Assembly (CRA) was launched to the ISS in 2009 and became fully operational in June 2011.The CRA interfaces with both the OGA and CDRA. Carbon dioxide from the CDRA is compressed and stored in tanks until hydrogen is available from OGA water electrolysis. When the OGA is operational and there is CO2 available, the CRA is activated and produces methane and water via the Sabatier reaction shown in Equation 1... One approach to achieve these higher recovery rates builds upon the ISS AR architecture and includes adding a methane post-processor to recover H2 from CRA methane. NASA has been developing the Plasma Pyrolysis Assembly (PPA) to fill the role of a methane post-processor.

  11. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  12. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  13. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  14. A numerical two layer model for blood oxygenation in lungs

    International Nuclear Information System (INIS)

    Aminatai, A.

    2001-01-01

    In the modelling of the simultaneous transport of O 2 and CO 2 in the pulmonary circulation described in our earlier studies, the blood has been treated as a homogeneous layer of haemoglobin solution. Since the size of the erythrocyte is not negligible in comparison with that of the capillary, the blood can no longer be considered as a homogeneous fluid and hence, It is worthwhile to consider the blood flow as a two-phase flow consisting of cells and plasma. In the present study, the heterogeneous nature of blood has been proposed by considering the axial train model for the flow [whitmore (1967)], in order to analyze the effect of cell free plasma layer on the process of blood oxygenation in pulmonary capillaries. The proposed model consists of a core of suspended erythrocytes surrounded by a cell free plasma layer near the wall. The coupled system of convective diffusion equaions together with the physiologically relevant boundary, entrance and interface conditions is solved numerically by a four-point semi-implicit scheme to gether with a fixed point iterative technique. The distance traversed by the blood before getting fully oxygenated is computed. It is shown that the core haematocrit and the thickness of the cell depleted layer affect the oxygenation process significantly. It is found that (i) oxygen takes longest and carbondioxide is the fastest to attain equilibraton, (ii) the blood is completely oxygenated within one-fifth part of its transit and (iii) the rate of oxygenation is smaller in case of homogeneous model than that in heterogenous model in the capillary. Finally, the effect of various physiological parameters on the rate of oxygenation has been examined

  15. The fabrication of diversiform nanostructure forests based on residue nanomasks synthesized by oxygen plasma removal of photoresist

    Energy Technology Data Exchange (ETDEWEB)

    Mao Haiyang; Wu Di; Wu Wengang; Hao Yilong [National Key Laboratory of Science and Technology on Micro/Nano Fabrication, Institute of Microelectronics, Peking University, Beijing 100871 (China); Xu Jun, E-mail: wuwg@ime.pku.edu.c [Electron Microscopy Laboratory, Peking University, Beijing 100871 (China)

    2009-11-04

    A simple lithography-free approach for fabricating diversiform nanostructure forests is presented. The key technique of the approach is that randomly distributed nanoscale residues can be synthesized on substrates simply by removing photoresist with oxygen plasma bombardment. These nanoresidues can function as masks in the subsequent etching process for nanopillars. By further spacer and then deep etching processes, a variety of forests composed of regular, tulip-like or hollow-head nanopillars as well as nanoneedles are successfully achieved in different etching conditions. The pillars have diameters of 30-200 nm and heights of 400 nm-3 {mu}m. The needles reach several microns in height, with their tips less than 10 nm in diameter. Moreover, microstructures containing these nanostructure forests, such as surface microchannels, have also been fabricated. This approach is compatible with conventional micro/nano-electromechanical system (MEMS/NEMS) fabrication.

  16. The fabrication of diversiform nanostructure forests based on residue nanomasks synthesized by oxygen plasma removal of photoresist

    International Nuclear Information System (INIS)

    Mao Haiyang; Wu Di; Wu Wengang; Hao Yilong; Xu Jun

    2009-01-01

    A simple lithography-free approach for fabricating diversiform nanostructure forests is presented. The key technique of the approach is that randomly distributed nanoscale residues can be synthesized on substrates simply by removing photoresist with oxygen plasma bombardment. These nanoresidues can function as masks in the subsequent etching process for nanopillars. By further spacer and then deep etching processes, a variety of forests composed of regular, tulip-like or hollow-head nanopillars as well as nanoneedles are successfully achieved in different etching conditions. The pillars have diameters of 30-200 nm and heights of 400 nm-3 μm. The needles reach several microns in height, with their tips less than 10 nm in diameter. Moreover, microstructures containing these nanostructure forests, such as surface microchannels, have also been fabricated. This approach is compatible with conventional micro/nano-electromechanical system (MEMS/NEMS) fabrication.

  17. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  18. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  19. Rapid Hydrophilization of Model Polyurethane/Urea (PURPEG Polymer Scaffolds Using Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Rok Zaplotnik

    2016-04-01

    Full Text Available Polyurethane/urea copolymers based on poly(ethylene glycol (PURPEG were exposed to weakly ionized, highly reactive low-pressure oxygen plasma to improve their sorption kinetics. The plasma was sustained with an inductively coupled radiofrequency generator operating at various power levels in either E-mode (up to the forward power of 300 W or H-mode (above 500 W. The treatments that used H-mode caused nearly instant thermal degradation of the polymer samples. The density of the charged particles in E-mode was on the order of 1016 m−3, which prevented material destruction upon plasma treatment, but the density of neutral O-atoms in the ground state was on the order of 1021 m−3. The evolution of plasma characteristics during sample treatment in E-mode was determined by optical emission spectroscopy; surface modifications were determined by water adsorption kinetics and X-ray photoelectron spectroscopy; and etching intensity was determined by residual gas analysis. The results showed moderate surface functionalization with hydroxyl and carboxyl/ester groups, weak etching at a rate of several nm/s, rather slow activation down to a water contact angle of 30° and an ability to rapidly absorb water.

  20. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  1. Formation of cerussite and hydrocerussite during adsorption of lead from aqueous solution on oxidized carbons by cold oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    De Velasco Maldonado, Paola S. [Instituto Tecnologico de Aguascalientes, Av. Adolfo López Mateos No. 1801 Ote. C.P, Aguascalientes, Ags, 20256 (Mexico); Hernández-Montoya, Virginia, E-mail: virginia.hernandez@yahoo.com.mx [Instituto Tecnologico de Aguascalientes, Av. Adolfo López Mateos No. 1801 Ote. C.P, Aguascalientes, Ags, 20256 (Mexico); Concheso, A.; Montes-Morán, Miguel A. [Instituto Nacional del Carbon, INCAR-CSIC, Apartado 73, E-33080, Oviedo (Spain)

    2016-11-15

    Highlights: • The formation of cerussite and hydrocerussite was observed on the carbon surface. • Occurrence of CaCO{sub 3} on the carbons surface plays a crucial role in the formation. • The carbons were prepared by carbonization and oxidation with cold oxygen plasma. • Oxidation with cold oxygen plasma increases the formation of these compounds. - Abstract: A new procedure of elimination of Pb{sup 2+} from aqueous solution using carbon adsorbents, in which high amounts of cerussite and hydrocerussite are deposited on the carbon surfaces, is reported. The procedure includes the preparation of carbons from selected lignocellulosic wastes (pecan nut shells and peach stones) by single carbonization and further oxidation with cold oxygen plasma. The materials prior and after the oxidation treatment were characterized using elemental analysis, FT-IR spectroscopy, SEM/EDX analysis, adsorption of N{sub 2} at −196 °C and X-ray photoelectron spectroscopy. The adsorption of Pb{sup 2+} was carried out in batch systems under constant agitation. The formation of cerussite and hydrocerussite on the spent carbon surfaces was confirmed by XRD, SEM/EDX and FT-IR. A Pb{sup 2+} removal mechanism is proposed in which a co-precipitation of lead nitrate and calcium carbonate would render the formation of the lead carbonates. In such mechanism, the occurrence of CaCO{sub 3} on the surface of the adsorbents plays a crucial role. The presence of calcium carbonate on the precursors is understood on the basis of the thermal evolution of calcium oxalate originally present in the biomass. The oxygen plasma treatment helps to expose the calcium carbonate nanocrystals thus improving dramatically the removal capacity of Pb{sup 2+}. Accordingly, retention capacities as high as 63 mg of Pb{sup 2+} per gram of adsorbent have been attained.

  2. Formation of cerussite and hydrocerussite during adsorption of lead from aqueous solution on oxidized carbons by cold oxygen plasma

    International Nuclear Information System (INIS)

    De Velasco Maldonado, Paola S.; Hernández-Montoya, Virginia; Concheso, A.; Montes-Morán, Miguel A.

    2016-01-01

    Highlights: • The formation of cerussite and hydrocerussite was observed on the carbon surface. • Occurrence of CaCO_3 on the carbons surface plays a crucial role in the formation. • The carbons were prepared by carbonization and oxidation with cold oxygen plasma. • Oxidation with cold oxygen plasma increases the formation of these compounds. - Abstract: A new procedure of elimination of Pb"2"+ from aqueous solution using carbon adsorbents, in which high amounts of cerussite and hydrocerussite are deposited on the carbon surfaces, is reported. The procedure includes the preparation of carbons from selected lignocellulosic wastes (pecan nut shells and peach stones) by single carbonization and further oxidation with cold oxygen plasma. The materials prior and after the oxidation treatment were characterized using elemental analysis, FT-IR spectroscopy, SEM/EDX analysis, adsorption of N_2 at −196 °C and X-ray photoelectron spectroscopy. The adsorption of Pb"2"+ was carried out in batch systems under constant agitation. The formation of cerussite and hydrocerussite on the spent carbon surfaces was confirmed by XRD, SEM/EDX and FT-IR. A Pb"2"+ removal mechanism is proposed in which a co-precipitation of lead nitrate and calcium carbonate would render the formation of the lead carbonates. In such mechanism, the occurrence of CaCO_3 on the surface of the adsorbents plays a crucial role. The presence of calcium carbonate on the precursors is understood on the basis of the thermal evolution of calcium oxalate originally present in the biomass. The oxygen plasma treatment helps to expose the calcium carbonate nanocrystals thus improving dramatically the removal capacity of Pb"2"+. Accordingly, retention capacities as high as 63 mg of Pb"2"+ per gram of adsorbent have been attained.

  3. Long-term stability of superhydrophilic oxygen plasma-modified single-walled carbon nanotube network surfaces and the influence on ammonia gas detection

    Energy Technology Data Exchange (ETDEWEB)

    Min, Sungjoon [Department of Biomicrosystem Technology, Korea University, Seoul 136-713 (Korea, Republic of); Kim, Joonhyub [Department of Control and Instrumentation Engineering, Korea University, 2511 Sejong-ro, Sejong City 339-770 (Korea, Republic of); Park, Chanwon [Department of Electrical and Electronic Engineering, Kangwon National University, Chuncheon 200-701 (Korea, Republic of); Jin, Joon-Hyung, E-mail: jj1023@chol.com [Department of Chemical Engineering, Kyonggi University, 154-42 Gwanggyosan-ro, Yeongtong-gu, Suwon-si, Gyeonggi-do 16227 (Korea, Republic of); Min, Nam Ki, E-mail: nkmin@korea.ac.kr [Department of Biomicrosystem Technology, Korea University, Seoul 136-713 (Korea, Republic of)

    2017-07-15

    Graphical abstract: Superhydrophilic single-walled carbon nanotube obtained by O{sub 2} plasma treatment voluntarily and non-reversibly reverts to a metastable state. This aerobic aging is an essential process to develop a stable carbon nanotube-based sensor. - Highlights: • Superhydrophilic single-walled carbon nanotube network can be obtained by O{sub 2} plasma-based surface modification. • The modified carbon nanotube surface invariably reverts to a metastable state in a non-reversible manner. • Aerobic aging is essential to stabilize the modified carbon nanotube and the carbon nanotube-based sensing device due to minimized sensor-to-sensor variation. - Abstract: Single-walled carbon nanotube (SWCNT) networks are subjected to a low-powered oxygen plasma for the surface modification. Changes in the surface chemical composition and the stability of the plasma-treated SWCNT (p-SWCNT) with aging in air for up to five weeks are studied using X-ray photoelectron spectroscopy (XPS) and contact angle analysis. The contact angle decreases from 120° of the untreated hydrophobic SWCNT to 0° for the superhydrophilic p-SWCNT. Similarly, the ratio of oxygen to carbon (O:C) based on the XPS spectra increases from 0.25 to 1.19, indicating an increase in surface energy of the p-SWCNT. The enhanced surface energy is gradually dissipated and the p-SWCNT network loses the superhydrophilic surface property. However, it never revert to the original hydrophobic surface state but to a metastable hydrophilic state. The aging effect on sensitivity of the p-SWCNT network-based ammonia sensor is investigated to show the importance of the aging process for the stabilization of the p-SWCNT. The best sensitivity for monitoring NH{sub 3} gas is observed with the as-prepared p-SWCNT, and the sensitivity decreases as similar as the p-SWCNT loses its hydrophilicity with time goes by. After a large performance degradation during the aging time for about two weeks, the response

  4. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  5. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  6. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    Science.gov (United States)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  7. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  8. Plasma chemistry in wire chambers

    International Nuclear Information System (INIS)

    Wise, J.

    1990-05-01

    The phenomenology of wire chamber aging is discussed and fundamentals of proportional counters are presented. Free-radical polymerization and plasma polymerization are discussed. The chemistry of wire aging is reviewed. Similarities between wire chamber plasma (>1 atm dc-discharge) and low-pressure rf-discharge plasmas, which have been more widely studied, are suggested. Construction and use of a system to allow study of the plasma reactions occurring in wire chambers is reported. A proportional tube irradiated by an 55 Fe source is used as a model wire chamber. Condensable species in the proportional tube effluent are concentrated in a cryotrap and analyzed by gas chromatography/mass spectrometry. Several different wire chamber gases (methane, argon/methane, ethane, argon/ethane, propane, argon/isobutane) are tested and their reaction products qualitatively identified. For all gases tested except those containing methane, use of hygroscopic filters to remove trace water and oxygen contaminants from the gas resulted in an increase in the average molecular weight of the products, consistent with results from low-pressure rf-discharge plasmas. It is suggested that because water and oxygen inhibit polymer growth in the gas phase that they may also reduce polymer deposition in proportional tubes and therefore retard wire aging processes. Mechanistic implications of the plasma reactions of hydrocarbons with oxygen are suggested. Unresolved issues in this work and proposals for further study are discussed

  9. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  10. Improvement in the Sensitivity of PbO Doped Tin Oxide Thick Film Gas Sensor by RF and Microwave Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    J. K. SRIVASTAVA

    2010-07-01

    Full Text Available In the present work efforts have been made to analyze the effect of oxygen plasma and PbO doping on the sensitivity of SnO2-based thick film gas sensor for methanol, propanol and acetone. The effect of substrate temperature on the response of dual frequency (RF and microwave plasma treated thick film sensor array has also been studied. To achieve this, three sensor arrays (each with four tin oxide sensors doped with different (1 %, 2 %, 3 % and 4 % PbO concentrations were fabricated by thick film technology and then treated with oxygen plasma for various durations (5 min, 10 min. and 15 min.. The plasma treated sensors were found to possess appreciably high sensitivity at room temperature in comparison to untreated sensor. The sensitivity showed the increasing trend with plasma exposure time and 15 minutes exposure time was found to be most suitable as the sensitivity of the plasma treated sensors for this duration were high towards all the chosen vapors with maximum (97 % value for propanol. The sensitivity of the sensors were found to be increasing gradually as PbO concentration was varied from 1- 4%.

  11. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  12. Benefits of oxygen and nitrogen plasma treatment in Vero cell affinity to poly(lactide-co-glycolide acid

    Directory of Open Access Journals (Sweden)

    Andrea Rodrigues Esposito

    2013-01-01

    Full Text Available Cell adhesion on materials surface is critical because this phenomenon occurs before other events, as cell spreading, cell migration and cell differentiation. it is commonly accepted that the adhesion of cells on solid substrate is influenced by several substratum surface properties, such as wettability, surface charge, roughness and topography. plasma technique is a convenient method for modifying surface properties of materials without affecting physical properties. in this study, poly(lactide-co-glycolide, plga, membranes were modified by oxygen and nitrogen plasma to improve polymer hydrophilicity and verify their effect on vero cells culture. the plga membranes, which were characterized by sem and contact angle, showed increased surface rugosity and narrower contact angles. cell adhesion, cytotoxicity assay, sem and cytochemistry analysis showed that plasma treatment was beneficial to cell growth by improving cell-polymer interaction. Cell adhesion on materials surface is critical because this phenomenon occurs before other events, as cell spreading, cell migration and cell differentiation. It is commonly accepted that the adhesion of cells on solid substrate is influenced by several substratum surface properties, such as wettability, surface charge, roughness and topography. Plasma technique is a convenient method for modifying surface properties of materials without affecting physical properties. In this study, poly(lactide-co-glycolide, PLGA, membranes were modified by oxygen and nitrogen plasma to improve polymer hydrophilicity and verify their effect on Vero cells culture. The PLGA membranes, which were characterized by SEM and contact angle, showed increased surface rugosity and narrower contact angles. Cell adhesion, cytotoxicity assay, SEM and cytochemistry analysis showed that plasma treatment was beneficial to cell growth by improving cell-polymer interaction.

  13. Molecular Dynamics Insights into Water-Parylene C Interface: Relevance of Oxygen Plasma Treatment for Biocompatibility

    Czech Academy of Sciences Publication Activity Database

    Golda-Cepa, M.; Kulig, W.; Cwiklik, Lukasz; Kotarba, A.

    2017-01-01

    Roč. 9, č. 19 (2017), s. 16685-16693 ISSN 1944-8244 R&D Projects: GA ČR(CZ) GA17-06792S Institutional support: RVO:61388955 Keywords : molecular dynamics * contact angle * surface free energy * parylene C * biomaterials oxygen plasma Subject RIV: CF - Physical ; Theoretical Chemistry OBOR OECD: Physical chemistry Impact factor: 7.504, year: 2016

  14. A study on rare gas - oxygen reactions excited by low temperature plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ogawa, Hiroaki; Kiuchi, Kiyoshi; Saburi, Tei; Fukaya, Kiyoshi [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2001-03-01

    The concentration of radioactive rare gases like Xe and Kr in nuclear fuels on PWRs and BWRs increases promptly with dependent on the burn-up ratio. These gases are affect to the long performance of nuclear fuel elements due to accumulate in gap between cladding and fuel, because it has the low thermal conductivity. It is also required to develop the practical means to correct these gases including in the off-gas in nuclear plants for inhibiting the environmental pollution. On the present study, we carried out the fundamental research to evaluate the chemical reactivity of these gases under heavy irradiation. We proposed the new excitation mechanism of these gases by expecting the formation of low energy plasma under irradiation. The chemical reactivity on rare gas-oxygen system was examined by using the low energy plasma driven reaction apparatus installed the RF excitation source. The density of electrons and lower pressure limit for the RF excitation was depended on the ionization energy of each gas. It is clarified that Xe is easy to form gaseous oxide due to the high excitation efficiency in low energy plasma. (author)

  15. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Directory of Open Access Journals (Sweden)

    Butakov Evgenii

    2017-01-01

    Full Text Available Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  16. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Science.gov (United States)

    Butakov, Evgenii; Burdukov, Anatoly; Chernetskiy, Mikhail; Kuznetsov, Victor

    2017-10-01

    Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  17. Use of Hopcalite derived Cu-Mn mixed oxide as Oxygen Carrier for Chemical Looping with Oxygen Uncoupling Process

    OpenAIRE

    Adánez-Rubio, Iñaki; Abad Secades, Alberto; Gayán Sanz, Pilar; Adánez-Rubio, Imanol; Diego Poza, Luis F. de; Garcia-Labiano, Francisco; Adánez Elorza, Juan

    2016-01-01

    Chemical-Looping with Oxygen Uncoupling (CLOU) is an alternative Chemical Looping process for the combustion of solid fuels with inherent CO2 capture. The CLOU process needs a material as oxygen carrier with the ability to give gaseous O2 at suitable temperatures for solid fuel combustion, e.g. copper oxide and manganese oxide. In this work, treated commercial Carulite 300® was evaluated as oxygen carrier for CLOU. Carulite 300® is a hopcalite material composed of 29.2 wt.% CuO and 67.4 wt.% ...

  18. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  19. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Science.gov (United States)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez; Gyergyek, Tomaz; Stockel, Jan; Varju, Jozef; Panek, Radomir; Balat-Pichelin, Marianne

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni60Cr30Mo10Ni4Nb1) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr2O4 compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al2O3 crystals.

  20. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  1. Measurement of ozone production scaling in a helium plasma jet with oxygen admixture

    Science.gov (United States)

    Sands, Brian; Ganguly, Biswa

    2012-10-01

    Capillary dielectric barrier plasma jet devices that generate confined streamer-like discharges along a rare gas flow can produce significant quantities of reactive oxygen species with average input powers ranging from 100 mW to >1 W. We have measured spatially-resolved ozone production in a He plasma jet with O2 admixture concentrations up to 5% using absorption spectroscopy of the O3 Hartley band system. A 20-ns risetime, 10-13 kV positive unipolar voltage pulse train was used to power the discharge, with pulse repetition rates varied from 1-20 kHz. The discharge was operated in a transient glow mode to scale the input power by adjusting the gap width between the anode and downstream cathodic plane. Peak ozone number densities in the range of 10^16 - 10^17 cm-3 were measured. At a given voltage, the density of ozone increased monotonically up to 3% O2 admixture (6 mm gap) as the peak discharge current decreased by an order of magnitude. Ozone production increased with distance from the capillary, consistent with observations by other groups. Atomic oxygen production inferred from O-atom 777 nm emission intensity did not scale with ozone as the input power was increased. The spatial distribution of ozone and scaling with input power will be presented.

  2. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  3. Thomson, Raman and Rayleigh scattering on atmospheric plasma jets

    NARCIS (Netherlands)

    Gessel, van A.F.H.

    2010-01-01

    Non-equilibrium atmospheric pressure plasma jets are the subject of growing interest, due to their applicability in many fields, including material processing, surface treatment and medical applications. However the plasma operates in contact with air, thus species like oxygen and nitrogen diffuse

  4. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    Science.gov (United States)

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Plasma arc melting of zirconium

    International Nuclear Information System (INIS)

    Tubesing, P.K.; Korzekwa, D.R.; Dunn, P.S.

    1997-01-01

    Zirconium, like some other refractory metals, has an undesirable sensitivity to interstitials such as oxygen. Traditionally, zirconium is processed by electron beam melting to maintain minimum interstitial contamination. Electron beam melted zirconium, however, does not respond positively to mechanical processing due to its large grain size. The authors undertook a study to determine if plasma arc melting (PAM) technology could be utilized to maintain low interstitial concentrations and improve the response of zirconium to subsequent mechanical processing. The PAM process enabled them to control and maintain low interstitial levels of oxygen and carbon, produce a more favorable grain structure, and with supplementary off-gassing, improve the response to mechanical forming

  6. Longitudinal Relationship between Plasma Reactive Oxygen Metabolites and Periodontal Condition in the Maintenance Phase of Periodontal Treatment

    Directory of Open Access Journals (Sweden)

    Tatsuya Machida

    2014-01-01

    Full Text Available Aim. The present cohort study describes the longitudinal relationship between plasma oxidative status and periodontitis progression during the maintenance phase of treatment. Materials and Methods. Forty-five patients (mean age 58.8 years were monitored from 2008 to 2013. Periodontal conditions, including probing pocket depth (PPD and clinical attachment level (CAL, were recorded. Measurements of plasma reactive oxygen metabolites (ROM and biologic antioxidant potential (BAP were performed to evaluate plasma oxidative status. The patients were assigned into 2 groups as low and high plasma ROM level using a cut-off value which was median of plasma ROM level at baseline. Results. In the subjects with low plasma ROM level at baseline, changes in mean CAL were positively correlated with changes in plasma ROM levels, bleeding on probing, and plaque control record, but not with PPD. In the subjects with high plasma ROM at baseline, changes in CAL were significantly associated with only PPD at baseline. On the other hands there were no significant associations between changes in CAL and those in plasma BAP levels. Conclusions. When plasma ROM level in periodontitis patients was low, increases in plasma ROM level were associated with those in CAL during the maintenance phase of treatment.

  7. Surface modification of carbon/epoxy prepreg using oxygen plasma and its effect on the delamination resistance behavior of carbon/epoxy composites

    International Nuclear Information System (INIS)

    Kim, M.H.; Rhee, K.Y.; Kim, H.J.; Jung, D.H.

    2007-01-01

    It was shown in previous study that the fracture toughness of carbon/epoxy laminated composites could be significantly improved by modifying the surface of the prepreg using Ar + irradiation in an oxygen environment. In this study, the surface of carbon/epoxy prepreg was modified using an oxygen plasma to improve the delamination resistance behavior of carbon/epoxy laminated composites. The variation of the contact angle on the prepreg surface was determined as a function of the modification time, in order to determine the optimal modification time. An XPS analysis was conducted to investigate the chemical changes on the surface of the prepreg caused by the plasma modification. Mode I delamination resistance curves of the composites with and without surface modification were plotted as a function of the delamination increment. The results showed that the contact angle varied from ∼64 o to ∼47 o depending on the modification time and reached a minimum for a modification time of 30 min. The XPS analysis showed that the hydrophilic carbonyl C=O group was formed by the oxygen plasma modification. The results also showed that the delamination resistance behavior was significantly improved by the plasma modification of the prepreg. This improvement was caused by the better layer-to-layer adhesion as well as increased interfacial strength between the fibers and matrix

  8. Two-and-one-half-dimensional magnetohydrodynamic simulations of the plasma sheet in the presence of oxygen ions: The plasma sheet oscillation and compressional Pc 5 waves

    International Nuclear Information System (INIS)

    Lu Li; Liu Zhenxing; Cao Jinbin

    2002-01-01

    Two-and-one-half-dimensional magnetohydrodynamic simulations of the multicomponent plasma sheet with the velocity curl term in the magnetic equation are represented. The simulation results can be summarized as follows: (1) There is an oscillation of the plasma sheet with the period on the order of 400 s (Pc 5 range); (2) the magnetic equator is a node of the magnetic field disturbance; (3) the magnetic energy integral varies antiphase with the internal energy integral; (4) disturbed waves have a propagating speed on the order of 10 km/s earthward; (5) the abundance of oxygen ions influences amplitude, period, and dissipation of the plasma sheet oscillation. It is suggested that the compressional Pc 5 waves, which are observed in the plasma sheet close to the magnetic equator, may be caused by the plasma sheet oscillation, or may be generated from the resonance of the plasma sheet oscillation with some Pc 5 perturbation waves coming from the outer magnetosphere

  9. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  10. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  11. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  12. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  13. Anti-corrosion performance of oxidized and oxygen plasma-implanted NiTi alloys

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu, Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys are useful orthopedic biomaterials on account of its super-elastic and shape memory properties. However, the problem associated with out-diffusion of harmful nickel ions in prolonged use inside the human body raises a critical safety concern. Titanium oxide films are deemed to be chemically inert and biocompatible and hence suitable to be the barrier layers to impede the leaching of Ni from the NiTi substrate to biological tissues and fluids. In the work reported in this paper, we compare the anti-corrosion efficacy of oxide films produced by atmospheric-pressure oxidation and oxygen plasma ion implantation. Our results show that the oxidized samples do not possess improved corrosion resistance and may even fare worse than the untreated samples. On the other hand, the plasma-implanted surfaces exhibit much improved corrosion resistance. Our work also shows that post-implantation annealing can further promote the anti-corrosion capability of the samples

  14. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  15. Plasma interactions determine the composition in pulsed laser deposited thin films

    Science.gov (United States)

    Chen, Jikun; Döbeli, Max; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas

    2014-09-01

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La0.6Sr0.4MnO3, we demonstrate for as grown La0.6Sr0.4MnO3-δ films that a congruent transfer of metallic species is achieved in two pressure windows: ˜10-3 mbar and ˜2 × 10-1 mbar. In the intermediate pressure range, La0.6Sr0.4MnO3-δ becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  16. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  17. Oxygen-plasma-modified biomimetic nanofibrous scaffolds for enhanced compatibility of cardiovascular implants

    Directory of Open Access Journals (Sweden)

    Anna Maria Pappa

    2015-01-01

    Full Text Available Electrospun nanofibrous scaffolds have been extensively used in several biomedical applications for tissue engineering due to their morphological resemblance to the extracellular matrix (ECM. Especially, there is a need for the cardiovascular implants to exhibit a nanostructured surface that mimics the native endothelium in order to promote endothelialization and to reduce the complications of thrombosis and implant failure. Thus, we herein fabricated poly-ε-caprolactone (PCL electrospun nanofibrous scaffolds, to serve as coatings for cardiovascular implants and guide tissue regeneration. Oxygen plasma treatment was applied in order to modify the surface chemistry of the scaffold and its effect on cell attachment and growth was evaluated. The conditions of the surface modification were properly adjusted in order to define those conditions of the treatment that result in surfaces favorable for cell growth, while maintaining morphological integrity and mechanical behavior. Goniometry (contact angle measurements, scanning electron microscopy (SEM, atomic force microscopy (AFM, and X-ray photoelectron spectroscopy (XPS measurements were used to evaluate the morphological and chemical changes induced by the plasma treatment. Moreover, depth-sensing nanoindentation was performed to study the resistance of the plasma-treated scaffolds to plastic deformation. Lastly, the cell studies indicated that all scaffolds were cytocompatible, with the plasma-treated ones expressing a more pronounced cell viability and adhesion. All the above findings demonstrate the great potential of these biomimetic tissue-engineering constructs as efficient coatings for enhanced compatibility of cardiovascular implants.

  18. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    Energy Technology Data Exchange (ETDEWEB)

    Safari, A. [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Gheisari, Kh., E-mail: khgheisari@scu.ac.ir [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Farbod, M. [Physics Department, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of)

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni–Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100–200 nm and was dependent on the production conditions. - Highlights: • Nanocrystalline Ni ferrite powders are prepared by plasma arc discharge process. • The mean particle size of the as-synthesized ceramic powders is about 100 nm. • The highest saturation magnetization is observed as zinc powders removed completely from the initial mixture.

  19. Carbon fiber manufacturing via plasma technology

    Science.gov (United States)

    Paulauskas, Felix L.; Yarborough, Kenneth D.; Meek, Thomas T.

    2002-01-01

    The disclosed invention introduces a novel method of manufacturing carbon and/or graphite fibers that avoids the high costs associated with conventional carbonization processes. The method of the present invention avoids these costs by utilizing plasma technology in connection with electromagnetic radiation to produce carbon and/or graphite fibers from fully or partially stabilized carbon fiber precursors. In general, the stabilized or partially stabilized carbon fiber precursors are placed under slight tension, in an oxygen-free atmosphere, and carbonized using a plasma and electromagnetic radiation having a power input which is increased as the fibers become more carbonized and progress towards a final carbon or graphite product. In an additional step, the final carbon or graphite product may be surface treated with an oxygen-plasma treatment to enhance adhesion to matrix materials.

  20. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  1. Chitin and Cellulose Processing in Low-Temperature Electron Beam Plasma

    Directory of Open Access Journals (Sweden)

    Tatiana Vasilieva

    2017-11-01

    Full Text Available Polysaccharide processing by means of low-temperature Electron Beam Plasma (EBP is a promising alternative to the time-consuming and environmentally hazardous chemical hydrolysis in oligosaccharide production. The present paper considers mechanisms of the EBP-stimulated destruction of crab shell chitin, cellulose sulfate, and microcrystalline cellulose, as well as characterization of the produced oligosaccharides. The polysaccharide powders were treated in oxygen EBP for 1–20 min at 40 °C in a mixing reactor placed in the zone of the EBP generation. The chemical structure and molecular mass of the oligosaccharides were analyzed by size exclusion and the reversed phase chromatography, FTIR-spectroscopy, XRD-, and NMR-techniques. The EBP action on original polysaccharides reduces their crystallinity index and polymerization degree. Water-soluble products with lower molecular weight chitooligosaccharides (weight-average molecular mass, Mw = 1000–2000 Da and polydispersity index 2.2 and cellulose oligosaccharides with polymerization degrees 3–10 were obtained. The 1H-NMR analysis revealed 25–40% deacetylation of the EBP-treated chitin and FTIR-spectroscopy detected an increase of carbonyl- and carboxyl-groups in the oligosaccharides produced. Possible reactions of β-1,4-glycosidic bonds’ destruction due to active oxygen species and high-energy electrons are given.

  2. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez [Jozef Stefan Institute, Jamova 39, SI-1000 Ljubljana (Slovenia); Gyergyek, Tomaz [University of Ljubljana, Faculty of Electrical Engineering, Trzaska 25, SI-1000 Ljubljana (Slovenia); Stockel, Jan; Varju, Jozef; Panek, Radomir [Institute of Plasma Physics, Academy of Sciences of the Czech Republic, Ze Slovankou 3, Praha 8 (Czech Republic); Balat-Pichelin, Marianne, E-mail: marianne.balat@promes.cnrs.fr [PROMES-CNRS Laboratory, 7 rue du four solaire, 66120 Font Romeu Odeillo (France)

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni{sub 60}Cr{sub 30}Mo{sub 10}Ni{sub 4}Nb{sub 1}) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr{sub 2}O{sub 4} compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al{sub 2}O{sub 3} crystals.

  3. Anxiety-induced plasma norepinephrine augmentation increases reactive oxygen species formation by monocytes in essential hypertension.

    Science.gov (United States)

    Yasunari, Kenichi; Matsui, Tokuzo; Maeda, Kensaku; Nakamura, Munehiro; Watanabe, Takanori; Kiriike, Nobuo

    2006-06-01

    An association between anxiety and depression and increased blood pressure (BP) and cardiovascular disease risk has not been firmly established. We examined the hypothesis that anxiety and depression lead to increased plasma catecholamines and to production of reactive oxygen species (ROS) by mononuclear cells (MNC) in hypertensive individuals. We also studied the role of BP in this effect. In Protocol 1, a cross-sectional study was performed in 146 hypertensive patients to evaluate whether anxiety and depression affect BP and ROS formation by MNC through increasing plasma catecholamines. In Protocol 2, a 6-month randomized controlled trial using a subtherapeutic dose of the alpha(1)-adrenergic receptor antagonist doxazosin (1 mg/day) versus placebo in 86 patients with essential hypertension was performed to determine whether the increase in ROS formation by MNC was independent of BP. In Protocol 1, a significant relationship was observed between the following: trait anxiety and plasma norepinephrine (r = 0.32, P anxiety may increase plasma norepinephrine and increase ROS formation by MNC independent of BP in hypertensive patients.

  4. Decomposition of poly(amide-imide) film enameled on solid copper wire using atmospheric pressure non-equilibrium plasma.

    Science.gov (United States)

    Sugiyama, Kazuo; Suzuki, Katsunori; Kuwasima, Shusuke; Aoki, Yosuke; Yajima, Tatsuhiko

    2009-01-01

    The decomposition of a poly(amide-imide) thin film coated on a solid copper wire was attempted using atmospheric pressure non-equilibrium plasma. The plasma was produced by applying microwave power to an electrically conductive material in a gas mixture of argon, oxygen, and hydrogen. The poly(amide-imide) thin film was easily decomposed by argon-oxygen mixed gas plasma and an oxidized copper surface was obtained. The reduction of the oxidized surface with argon-hydrogen mixed gas plasma rapidly yielded a metallic copper surface. A continuous plasma heat-treatment process using a combination of both the argon-oxygen plasma and argon-hydrogen plasma was found to be suitable for the decomposition of the poly(amide-imide) thin film coated on the solid copper wire.

  5. Pulsed Plasma Processing of Diesel Engine Exhaust Final Report CRADA No. TC-0336-92-1-C

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Bernard T. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Broering, Louis [Cummins Engine Company, Inc., Columbus, IN (United States)

    2017-11-09

    The goal was to develop an exhaust-gas treatment process for the reduction of NOx and hydrocarbon from diesel engines. The project began believing that direct chemical reduction on NOx was possible through the use of non-thermal plasmas. The original CRADA began in 1993 and was scheduled to finish in 1996. It had as its goals three metrics: 1) remove two grams/brake-horse-power-hour of NOx, 2) have no more than five percent energy penalty, and 3) cost no more than ten percent of the engine cost. These goals were all aimed at heavy-duty diesel trucks. This CRADA had its Defense Program funding eliminated by DOE prior to completion in 1995. Prior to loss of funding from DOE, LLNL discovered that due to the large oxygen content in diesel exhaust, direct chemical reduction was not possible. In understanding why, a breakthrough was achieved that combined the use of a non-thermal plasma and a catalyst. This process was named Plasma Assisted Catalytic Reduction (P ACR). Because of this breakthrough, the CRADA became a funds-in only CRADA, once DOE DP funding ended. As a result, the funding decreased from about 1M dollars per year to about $400k per year. Subsequently, progress slowed as well. The CRADA was amended several times to reflect the funds-in nature. At each amendment, the deliverables were modified; the goals remained the same but the focus changed from heavy-duty to lightduty to SUVs. The diesel-engine NOx problem is similar to the furnace and boiler NOx emission problem with the added constraint that ammonia-like additives are impractical for a mobile source. Lean-burning gasoline engines are an additional area of application because the standard three-way catalyst is rendered ineffective by the presence of oxygen. In the P ACR process an electrical discharge is used to create a non-thermal plasma that contains oxidative radicals O and OH. These oxidative radicals convert NO to NO2. Selective catalytic

  6. Plasma arc and cold crucible furnace vitrification for medium level waste: a review

    International Nuclear Information System (INIS)

    Poitou, S.; Fiquet, O.; Bourdeloie, C.; Gramondi, P.; Rebollo, F.; Girold, C.; Charvillat, J.P.; Boen, R.; Jouan, A.; Ladirat, C.; Nabot, J.P.; Ochem, D.; Baronnet, J.M.

    2001-01-01

    Initially developed for high-level waste reprocessing, several vitrification processes have been under study since the 80's at the French Atomic Energy Commission (CEA) for other waste categories. According to the French law concerning waste management research passed on December 30, 1991, vitrification may be applied to mixed medium-level waste. A review of processes developed at CEA is presented: cold crucible furnace heated by induced current, refractory furnace heated by nitrogen transferred arc plasma torch, and coupling of cold crucible furnace with oxygen transferred plasma arc twin torch. Furthermore, gas post-combustion has been studied with an oxygen non-transferred plasma torch. (authors)

  7. Plasma Deposited Thin Iron Oxide Films as Electrocatalyst for Oxygen Reduction Reaction in Proton Exchange Membrane Fuel Cells

    Directory of Open Access Journals (Sweden)

    Lukasz JOZWIAK

    2017-02-01

    Full Text Available The possibility of using plasma deposited thin films of iron oxides as electrocatalyst for oxygen reduction reaction (ORR in proton exchange membrane fuel cells (PEMFC was examined. Results of energy-dispersive X-ray spectroscopy (EDX and X-ray photoelectron spectroscopy (XPS analysis indicated that the plasma deposit consisted mainly of FeOX structures with the X parameter close to 1.5. For as deposited material iron atoms are almost exclusively in the Fe3+ oxidation state without annealing in oxygen containing atmosphere. However, the annealing procedure can be used to remove the remains of carbon deposit from surface. The single cell test (SCT was performed to determine the suitability of the produced material for ORR. Preliminary results showed that power density of 0.23 mW/cm2 could be reached in the tested cell.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.14406

  8. Measuring oxidation processes: Atomic oxygen flux monitor

    International Nuclear Information System (INIS)

    Anon.

    1991-01-01

    Of the existing 95 high-energy accelerators in the world, the Stanford Linear Collider (SLC) at the Stanford Linear Accelerator Center (SLAC) is the only one of the linear-collider type, where electrons and positrons are smashed together at energies of 50 GeV using linear beams instead of beam rings for achieving interactions. Use of a collider eliminates energy losses in the form of x-rays due to the curved trajectory of the rings, a phenomena known as bremsstrauhlung. Because these losses are eliminated, higher interaction energies are reached. Consequently the SLC produced the first Z particle in quantities large enough to allow measurement of its physical properties with some accuracy. SLAC intends to probe still deeper into the structure of matter by next polarizing the electrons in the beam. The surface of the source for these polarized particles, typically gallium arsenide, must be kept clean of contaminants. One method for accomplishing this task requires the oxidation of the surface, from which the oxidized contaminants are later boiled off. The technique requires careful measurement of the oxidation process. SLAC researchers have developed a technique for measuring the atomic oxygen flux in this process. The method uses a silver film on a quartz-crystal, deposition-rate monitor. Measuring the initial oxidation rate of the silver, which is proportional to the atomic oxygen flux, determines a lower limit on that flux in the range of 10 13 to 10 17 atoms per square centimeter per second. Furthermore, the deposition is reversible by exposing the sensor to atomic hydrogen. This technique has wider applications to processes in solid-state and surface physics as well as surface chemistry. In semiconductor manufacturing where a precise thickness of oxide must be deposited, this technique could be used to monitor the critical flux of atomic oxygen in the process

  9. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  10. Role of process conditions on the microstructure, stoichiometry and functional performance of atmospheric plasma sprayed La(Sr)MnO3 coatings

    Science.gov (United States)

    Han, Su Jung; Chen, Yikai; Sampath, Sanjay

    2014-08-01

    Strontium doped lanthanum manganite (LSM) perovskite coatings were produced via atmospheric plasma spray technique to examine their applicability as electrically conductive coatings to protect chromium-poisoning of cathode side metallic interconnects in solid oxide fuel cells. Various plasma spray process conditions were manipulated including plasma power, total gas flow and content of H2 in the plasma gas in order to understand their effects on coating properties as well as efficacy as a protectant against Cr-poisoning. In-flight temperatures and velocities of spray particles were monitored for the various plasma spray conditions enabling assessment of thermal and kinetic energies of LSM particles. As anticipated, coating density improves with increasing thermal and/or kinetic energies of the LSM particles. However, the LSM particles also experienced significant phase decomposition at higher thermal exposure and longer residence time conditions. Due to preferential loss of oxygen and manganese, La2O3 phase is also formed under certain processing regimes. The resultant mixed-phase coating is ineffective both from electrical transport and as a protective coating for the metallic interconnect. Concomitantly, coatings with limited decomposition show excellent conductivity and protection characteristics demonstrating the need for mechanism driven process optimization for these functional oxide coatings.

  11. Detection of submonolayer oxygen-18 on a gold surface by nuclear reaction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wielunski, L.S.; Kenny, M.J.; Wieczorek, L. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1993-12-31

    A gold substrate is the preferred solid surface for formation of an organic self-assembled monolayer ( SAM ). Device fabrication process may require the gold film to be exposed to photolithographic processing and plasma treatment prior to molecular assembly. It has been observed that oxygen plasma treatment prevents the formation of SAMs; however, subsequent treatment with an argon plasma allows assembly of the organic monolayers. To understand the mechanisms involved, a plasma containing 98% {sup 18}O was used and the film surface was analysed using the {sup 18}O (p,{alpha}){sup 15}N nuclear reaction. 5 refs., 1 tab., 3 figs.

  12. Detection of submonolayer oxygen-18 on a gold surface by nuclear reaction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wielunski, L S; Kenny, M J; Wieczorek, L [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1994-12-31

    A gold substrate is the preferred solid surface for formation of an organic self-assembled monolayer ( SAM ). Device fabrication process may require the gold film to be exposed to photolithographic processing and plasma treatment prior to molecular assembly. It has been observed that oxygen plasma treatment prevents the formation of SAMs; however, subsequent treatment with an argon plasma allows assembly of the organic monolayers. To understand the mechanisms involved, a plasma containing 98% {sup 18}O was used and the film surface was analysed using the {sup 18}O (p,{alpha}){sup 15}N nuclear reaction. 5 refs., 1 tab., 3 figs.

  13. Fermentation process using specific oxygen uptake rates as a process control

    Science.gov (United States)

    Van Hoek, Pim [Minnetonka, MN; Aristidou, Aristos [Maple Grove, MN; Rush, Brian [Minneapolis, MN

    2011-05-10

    Specific oxygen uptake (OUR) is used as a process control parameter in fermentation processes. OUR is determined during at least the production phase of a fermentation process, and process parameters are adjusted to maintain the OUR within desired ranges. The invention is particularly applicable when the fermentation is conducted using a microorganism having a natural PDC pathway that has been disrupted so that it no longer functions. Microorganisms of this sort often produce poorly under strictly anaerobic conditions. Microaeration controlled by monitoring OUR allows the performance of the microorganism to be optimized.

  14. Atomic and plasma-material interaction data for fusion. V. 2

    International Nuclear Information System (INIS)

    1992-01-01

    This issues of the Atomic and Plasma-Material Interaction Data for Fusion contains 9 papers on atomic and molecular processes in the edge region of magnetically confined fusion plasmas, including spectroscopic data for fusion edge plasmas; electron collision processes with plasma edge neutrals; electron-ion collisions in the plasma edge; cross-section data for collisions of electrons with hydrocarbon molecules; dissociative and energy transfer reactions involving vibrationally excited hydrogen or deuterium molecules; an assessment of ion-atom collision data for magnetic fusion plasma edge modeling; an extended scaling of cross sections for the ionization of atomic and molecular hydrogen as well as helium by multiply-charged ions; ion-molecule collision processes relevant to fusion edge plasmas; and radiative losses and electron cooling rates for carbon and oxygen plasma impurities. Refs, figs and tabs

  15. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  16. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  17. The effect of dielectric top lids on materials processing in a low frequency inductively coupled plasma (LF-ICP) reactor

    International Nuclear Information System (INIS)

    Lim, J.W.M.; Chan, C.S.; Xu, L.; Xu, S.

    2014-01-01

    The advent of the plasma revolution began in the 1970's with the exploitation of plasma sources for anisotropic etching and processing of materials. In recent years, plasma processing has gained popularity, with research institutions adopting projects in the field and industries implementing dry processing in their production lines. The advantages of utilizing plasma sources would be uniform processing over a large exposed surface area, and the reduction of toxic emissions. This leads to reduced costs borne by manufacturers which could be passed down as consumer savings, and a reduction in negative environmental impacts. Yet, one constraint that plagues the industry would be the control of contaminants in a plasma reactor which becomes evident when reactions are conducted in a clean vacuum environment. In this work, amorphous silicon (a-Si) thin films were grown on glass substrates in a low frequency inductively coupled plasma (LF-ICP) reactor with a top lid made of quartz. Even though the chamber was kept at high vacuum (~10 −4 Pa), it was evident through secondary ion mass spectroscopy (SIMS) and Fourier-transform infra-red spectroscopy (FTIR) that oxygen contaminants were present. With the aid of optical emission spectroscopy (OES) the contaminant species were identified. The design of the LF-ICP reactor was then modified to incorporate an Alumina (Al 2 O 3 ) lid. Results indicate that there were reduced amounts of contaminants present in the reactor, and that an added benefit of increased power transfer to the plasma, improving deposition rate of thin films was realized. The results of this study is conclusive in showing that Al 2 O 3 is a good alternative as a top-lid of an LF-ICP reactor, and offers industries a solution in improving quality and rate of growth of thin films. (author)

  18. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  19. Application of Nanofibrillated Cellulose on BOPP/LDPE Film as Oxygen Barrier and Antimicrobial Coating Based on Cold Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Peng Lu

    2018-05-01

    Full Text Available The application of nanofibrillated cellulose (NC films in packaging industry has been hindered by its lack of heat-sealing ability. Incorporation of NC films with the biaxially oriented polypropylene/low density polyethylene (BOPP/LDPE laminates can take advantage of each material and endow the films with novel functions for food packaging applications. In this study, a coating that consists of NC and nisin was applied onto a cold plasma treated BOPP/LDPE film to fabricate a novel active packaging with an improved oxygen barrier performance and an added antimicrobial effect. The results showed that cold plasma treatment improved the surface hydrophilicity of BOPP/LDPE films for better attachment of the coatings. NC coatings significantly enhanced oxygen barrier property of the BOPP/LDPE film, with an oxygen transmission rate as low as 24.02 cc/m2·day as compared to that of the non-coated one (67.03 cc/m2·day. The addition of nisin in the coating at a concentration of 5 mg/g caused no significant change in barrier properties but imparted the film excellent antimicrobial properties, with a growth inhibition of L. monocytogenes by 94%. All films exhibit satisfying mechanical properties and transparency, and this new film has the potential to be used as antimicrobial and oxygen barrier packaging.

  20. Temperature measurement in low pressure plasmas. Temperaturmessungen im Niederdruckplasma

    Energy Technology Data Exchange (ETDEWEB)

    Rosenbauer, K.A.; Wilting, H.; Schramm, G. (Duesseldorf Univ. (Germany, F.R.). Abt. fuer Histologie und Embryologie)

    1989-11-01

    The present work discusses the influence of various parameters on the substrate temperature in a low pressure plasma. The measurement method chosen utilized Signotherm (Merck) temperature sensors embedded in silicon between two glass substrates. All measurements were made in a 200 G Plasma Processor from Technics Plasma GmbH. The substrate temperature is dependent on the process time, the RF power, the process gas and the position in the chamber. The substrate temperature increases with increasing process time and increasing power. Due to the location of the microwave port from the magnetron to the chamber, the substrate temperature is highest in the center of the chamber. Measurements performed in an air plasma yielded higher results than in an oxygen plasma. (orig.).

  1. Parallel processing for a 1-D time-dependent solution to impurity rate equations for fusion plasma simulations

    International Nuclear Information System (INIS)

    Veerasingam, R.

    1990-01-01

    In fusion plasmas impurities such as carbon, oxygen or nickel can contaminate the plasma and cause degradation of the performance of a fusion device through radiation. However, impurities can also be used as diagnostics to obtain information about a plasma through spectroscopic experiments which can then be used in plasma modeling and simulations. In the past, serial algorithms have been described for either the time dependent or steady state problem. In this paper, we describe a parallel procedure adopted to solve the time-dependent problem. It can be shown that for the steady state problem a parallel procedure would not be a useful application of parallelization because a few seconds of the Central Processing Unit time on a CRAY-XMP or IBM 3090/600S would suffice to obtain the solution, while this is not the case for the time-dependent problem. In order to study the effects of low Z and high Z impurities on the final state of a plasma, time-dependent solutions are necessary. For purposes of diagnostics and comparisons with experiments, a fast turn around time of the simulations would be advantageous. We have implemented a parallel algorithm on and IBM 3090/600S and tested its performance for a typical set of fusion plasma parameters. 4 refs., 1 tab

  2. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  3. Plasma interactions determine the composition in pulsed laser deposited thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Laboratory of Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2014-09-15

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La{sub 0.6}Sr{sub 0.4}MnO{sub 3}, we demonstrate for as grown La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} films that a congruent transfer of metallic species is achieved in two pressure windows: ∼10{sup −3} mbar and ∼2 × 10{sup −1} mbar. In the intermediate pressure range, La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  4. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  5. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  6. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  7. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  8. Changes in Peak Oxygen Uptake and Plasma Volume in Fit and Unfit Subjects Following Exposure to a Simulation of Microgravity

    National Research Council Canada - National Science Library

    Convertino, Victor

    1997-01-01

    To test the hypothesis that the magnitude of reduction in plasma volume and work capacity following exposure to simulated microgravity is dependent on the initial level of aerobic fitness, peak oxygen uptake (VO2peak...

  9. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  10. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  11. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  12. Plasma Post Oxidation of Plasma Nitrocarburized SKD 61 Steel

    Institute of Scientific and Technical Information of China (English)

    2008-01-01

    Plasma nitrocarburizing and plasma oxidizing treatments were performed to improve the wear and corrosion resistance of SKD 61 steel. Plasma nitrocarburizing was conducted for 12 h at 540℃ in the nitrogen,hydrogen and methane atmosphere to produce the ε-Fe,2-3(N,C) phase. The compound layer produced by plasma nitrocarburising was predominantly composed of ε-phase, with a small proportion of γ′-Fe4(N,C) phase.The thickness of the compound layer and the diffusion layer are about 10 μm and about 200μm, respectively.Plasma post oxidation was performed on the nitrocarburized samples with various oxygen/hydrogen ratio at constant temperature of 500℃ for 1 h. The very thin magnetite (Fe3O4) layer of 1-2μm in thickness on top of the compound layer was obtained. Anodic polarization test revealed that plasma nitrocarburizing process contributed a significant improvement of corrosion resistance of SKD 61 steel. However, the corrosion characteristics of the nitrocarburized compound layer was deteriorated by oxidation treatment.

  13. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  14. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  15. Hemocompatibility and oxygenation performance of polysulfone membranes grafted with polyethylene glycol and heparin by plasma-induced surface modification.

    Science.gov (United States)

    Wang, Weiping; Zheng, Zhi; Huang, Xin; Fan, Wenling; Yu, Wenkui; Zhang, Zhibing; Li, Lei; Mao, Chun

    2017-10-01

    Polyethylene glycol (PEG) and heparin (Hep) were grafted onto polysulfone (PSF) membrane by plasma-induced surface modification to prepare PSF-PEG-Hep membranes used for artificial lung. The effects of plasma treatment parameters, including power, gas type, gas flow rate, and treatment time, were investigated, and different PEG chains were bonded covalently onto the surface in the postplasma grafting process. Membrane surfaces were characterized by water contact angle, PEG grafting degree, attenuated total reflectance-Fourier transform infrared spectroscopy, ultraviolet-visible spectrophotometry, X-ray photoelectron spectroscopy, critical water permeability pressure, and scanning electron microscopy. Protein adsorption, platelet adhesion, and coagulation tests showed significant improvement in the hemocompatibility of PSF-PEG-Hep membranes compared to pristine PSF membrane. Gas exchange tests through PSF-PEG6000-Hep membrane showed that when the flow rate of porcine blood reached 5.0 L/min, the permeation fluxes of O 2 and CO 2 reached 192.6 and 166.9 mL/min, respectively, which were close to the gas exchange capacity of a commercial membrane oxygenator. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1737-1746, 2017. © 2016 Wiley Periodicals, Inc.

  16. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  17. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  18. Disposal of olive mill wastewater with DC arc plasma method.

    Science.gov (United States)

    Ibrahimoglu, Beycan; Yilmazoglu, M Zeki

    2018-07-01

    Olive mill wastewater is an industrial waste, generated as a byproduct of olive oil production process and generally contains components such as organic matter, suspended solids, oil, and grease. Although various methods have been developed to achieve the disposal of this industrial wastewater, due to the low cost, the most common disposal application is the passive storage in the lagoons. The main objective of this study is to reduce pollution parameters in olive mill wastewater and draw water to discharge limits by using plasma technology. Plasma-assisted disposal of olive mill wastewater method could be an alternative disposal technique when considering potential utilization of treated water in agricultural areas and economic value of flammable plasma gas which is the byproduct of disposal process. According to the experimental results, the rates of COD (chemical oxygen demand) and BOD (biological oxygen demand) of olive mill wastewater are decreased by 94.42% and 95.37%, respectively. The dissolved oxygen amount is increased from 0.36 to 6.97 mg/l. In addition, plasma gas with high H 2 content and treated water that can be used in agricultural areas for irrigation are obtained from non-dischargeable wastewater. Copyright © 2018 Elsevier Ltd. All rights reserved.

  19. Surface recombination of oxygen atoms in O2 plasma at increased pressure: II. Vibrational temperature and surface production of ozone

    Science.gov (United States)

    Lopaev, D. V.; Malykhin, E. M.; Zyryanov, S. M.

    2011-01-01

    Ozone production in an oxygen glow discharge in a quartz tube was studied in the pressure range of 10-50 Torr. The O3 density distribution along the tube diameter was measured by UV absorption spectroscopy, and ozone vibrational temperature TV was found comparing the calculated ab initio absorption spectra with the experimental ones. It has been shown that the O3 production mainly occurs on a tube surface whereas ozone is lost in the tube centre where in contrast the electron and oxygen atom densities are maximal. Two models were used to analyse the obtained results. The first one is a kinetic 1D model for the processes occurring near the tube walls with the participation of the main particles: O(3P), O2, O2(1Δg) and O3 molecules in different vibrational states. The agreement of O3 and O(3P) density profiles and TV calculated in the model with observed ones was reached by varying the single model parameter—ozone production probability (\\gamma_{O_{3}}) on the quartz tube surface on the assumption that O3 production occurs mainly in the surface recombination of physisorbed O(3P) and O2. The phenomenological model of the surface processes with the participation of oxygen atoms and molecules including singlet oxygen molecules was also considered to analyse \\gamma_{O_{3}} data obtained in the kinetic model. A good agreement between the experimental data and the data of both models—the kinetic 1D model and the phenomenological surface model—was obtained in the full range of the studied conditions that allowed consideration of the ozone surface production mechanism in more detail. The important role of singlet oxygen in ozone surface production was shown. The O3 surface production rate directly depends on the density of physisorbed oxygen atoms and molecules and can be high with increasing pressure and energy inputted into plasma while simultaneously keeping the surface temperature low enough. Using the special discharge cell design, such an approach opens up the

  20. Surface recombination of oxygen atoms in O2 plasma at increased pressure: II. Vibrational temperature and surface production of ozone

    International Nuclear Information System (INIS)

    Lopaev, D V; Malykhin, E M; Zyryanov, S M

    2011-01-01

    Ozone production in an oxygen glow discharge in a quartz tube was studied in the pressure range of 10-50 Torr. The O 3 density distribution along the tube diameter was measured by UV absorption spectroscopy, and ozone vibrational temperature T V was found comparing the calculated ab initio absorption spectra with the experimental ones. It has been shown that the O 3 production mainly occurs on a tube surface whereas ozone is lost in the tube centre where in contrast the electron and oxygen atom densities are maximal. Two models were used to analyse the obtained results. The first one is a kinetic 1D model for the processes occurring near the tube walls with the participation of the main particles: O( 3 P), O 2 , O 2 ( 1 Δ g ) and O 3 molecules in different vibrational states. The agreement of O 3 and O( 3 P) density profiles and T V calculated in the model with observed ones was reached by varying the single model parameter-ozone production probability (γ O 3 ) on the quartz tube surface on the assumption that O 3 production occurs mainly in the surface recombination of physisorbed O( 3 P) and O 2 . The phenomenological model of the surface processes with the participation of oxygen atoms and molecules including singlet oxygen molecules was also considered to analyse γ O 3 data obtained in the kinetic model. A good agreement between the experimental data and the data of both models-the kinetic 1D model and the phenomenological surface model-was obtained in the full range of the studied conditions that allowed consideration of the ozone surface production mechanism in more detail. The important role of singlet oxygen in ozone surface production was shown. The O 3 surface production rate directly depends on the density of physisorbed oxygen atoms and molecules and can be high with increasing pressure and energy inputted into plasma while simultaneously keeping the surface temperature low enough. Using the special discharge cell design, such an approach opens up

  1. Diamond films deposited by oxygen-enhanced linear plasma chemistry

    Czech Academy of Sciences Publication Activity Database

    Kromka, Alexander; Babchenko, Oleg; Ižák, Tibor; Varga, Marián; Davydova, Marina; Krátká, Marie; Rezek, Bohuslav

    2013-01-01

    Roč. 5, č. 6 (2013), s. 509-514 ISSN 2164-6627 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional support: RVO:68378271 Keywords : diamond films * process gas chemistry * pulsed microwave plasma * surface conductivity of diamond Subject RIV: BM - Solid Matter Physics ; Magnetism

  2. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  3. Reactive Oxygen Species and Antioxidant in Seminal Plasma and Their Impact on Male Fertility

    Directory of Open Access Journals (Sweden)

    Mohammad Eid Hammadeh

    2009-01-01

    Full Text Available Spermatozoa generate reactive oxygen species (ROS in physiological amounts, which play arole in sperm functions during sperm capacitation, acrosome reaction (AR, and oocyte fusion. Inaddition, damaged sperm are likely to be the source of ROS. The most important ROS producedby human sperm are hydrogen peroxide, superoxide anion and hydroxyl radicals. Besides, humanseminal plasma and sperm possess an antioxidant system to scavenge ROS and prevent ROS relatedcellular damage. Under normal circumstances, there is an appropriate balance between oxidants andantioxidants. A shift in the levels of ROS towards pro-oxidants in semen can induce oxidative stress(OS on spermatozoa.Male infertility is associated with increased ROS and decreased total antioxidant activity in theseminal plasma. ROS induce nuclear DNA strand breaks. Besides, due to a high polyunsaturatedfatty acid content human sperm plasma membranes are highly sensitive to ROS induced lipidperoxidation thus decreasing membrane fluidity. This will result in increased lipid peroxidation(LPO, decreased sperm motility, viability, function and ultimately lead to infertility. The protectiveaction of antioxidants against the deleterious effect of ROS on cellular lipids, proteins and DNA hasbeen supported by several scientific studies.The purpose of the present review is to address the possible relationship between ROS andantioxidants production in seminal plasma, and the role they may play in influencing the outcomeof assisted reproductive technology (ART.

  4. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  5. Modulation of electrical properties in Cu/n-type InP Schottky junctions using oxygen plasma treatment

    International Nuclear Information System (INIS)

    Kim, Hogyoung; Jung, Chan Yeong; Hyun Kim, Se; Cho, Yunae; Kim, Dong-Wook

    2015-01-01

    Using current–voltage (I–V) measurements, we investigated the effect of oxygen plasma treatment on the temperature-dependent electrical properties of Cu/n-type indium phosphide (InP) Schottky contacts at temperatures in the range 100–300 K. Changes in the electrical parameters were evident below 180 K for the low-plasma-power sample (100 W), which is indicative of the presence of a wider distribution of regions of low barrier height. Modified Richardson plots were used to obtain Richardson constants, which were similar to the theoretical value of 9.4 A cm −2 K −2 for n-type InP. This suggests that, for all the samples, a thermionic emission model including a spatially inhomogeneous Schottky barrier can be used to describe the charge transport phenomena at the metal/semiconductor interface. The voltage dependence of the reverse-bias current revealed that Schottky emission was dominant for the untreated and high-plasma-power (250 W) samples. For the low-plasma-power sample, Poole–Frenkel emission was dominant at low voltages, whereas Schottky emission dominated at higher voltages. Defect states and nonuniformity of the interfacial layer appear to be significant in the reverse-bias charge transport properties of the low-plasma-power sample. (paper)

  6. Ion measurements in premixed methane-oxygen flames

    KAUST Repository

    Alquaity, Awad

    2014-07-25

    Ions are formed as a result of chemi-ionization processes in combustion systems. Recently, there has been an increasing interest in understanding flame ion chemistry due to the possible application of external electric fields to reduce emissions and improve combustion efficiency by active control of combustion process. In order to predict the effect of external electric fields on combustion plasma, it is critical to gain a good understanding of the flame ion chemistry. In this work, a Molecular Beam Mass Spectrometer (MBMS) is utilized to measure ion concentration profiles in premixed methane-oxygen-argon burner-stabilized flames. Lean, stoichiometric and rich flames at atmospheric pressure are used to study the dependence of ion chemistry on equivalence ratio of premixed flames. The relative ion concentration profiles are compared qualitatively with previous methane-oxygen studies and show good agreement. The relative ion concentration data obtained in the present study can be used to validate and improve ion chemistry models for methane-oxygen flames.

  7. Oral Administration of the Japanese Traditional Medicine Keishibukuryogan-ka-yokuinin Decreases Reactive Oxygen Metabolites in Rat Plasma: Identification of Chemical Constituents Contributing to Antioxidant Activity

    Directory of Open Access Journals (Sweden)

    Yosuke Matsubara

    2017-02-01

    Full Text Available Insufficient detoxification and/or overproduction of reactive oxygen species (ROS induce cellular and tissue damage, and generated reactive oxygen metabolites become exacerbating factors of dermatitis. Keishibukuryogan-ka-yokuinin (KBGY is a traditional Japanese medicine prescribed to treat dermatitis such as acne vulgaris. Our aim was to verify the antioxidant properties of KBGY, and identify its active constituents by blood pharmacokinetic techniques. Chemical constituents were quantified in extracts of KBGY, crude components, and the plasma of rats treated with a single oral administration of KBGY. Twenty-three KBGY compounds were detected in plasma, including gallic acid, prunasin, paeoniflorin, and azelaic acid, which have been reported to be effective for inflammation. KBGY decreased level of the diacron-reactive oxygen metabolites (d-ROMs in plasma. ROS-scavenging and lipid hydroperoxide (LPO generation assays revealed that gallic acid, 3-O-methylgallic acid, (+-catechin, and lariciresinol possess strong antioxidant activities. Gallic acid was active at a similar concentration to the maximum plasma concentration, therefore, our findings indicate that gallic acid is an important active constituent contributing to the antioxidant effects of KBGY. KBGY and its active constituents may improve redox imbalances induced by oxidative stress as an optional treatment for skin diseases.

  8. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  9. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    International Nuclear Information System (INIS)

    Smietana, M; Koba, M; Mikulic, P; Bock, W J

    2014-01-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs. (paper)

  10. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    Science.gov (United States)

    Smietana, M.; Koba, M.; Mikulic, P.; Bock, W. J.

    2014-11-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs.

  11. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  12. The oxycoal process with cryogenic oxygen supply

    Science.gov (United States)

    Kather, Alfons; Scheffknecht, Günter

    2009-09-01

    Due to its large reserves, coal is expected to continue to play an important role in the future. However, specific and absolute CO2 emissions are among the highest when burning coal for power generation. Therefore, the capture of CO2 from power plants may contribute significantly in reducing global CO2 emissions. This review deals with the oxyfuel process, where pure oxygen is used for burning coal, resulting in a flue gas with high CO2 concentrations. After further conditioning, the highly concentrated CO2 is compressed and transported in the liquid state to, for example, geological storages. The enormous oxygen demand is generated in an air-separation unit by a cryogenic process, which is the only available state-of-the-art technology. The generation of oxygen and the purification and liquefaction of the CO2-enriched flue gas consumes significant auxiliary power. Therefore, the overall net efficiency is expected to be lowered by 8 to 12 percentage points, corresponding to a 21 to 36% increase in fuel consumption. Oxygen combustion is associated with higher temperatures compared with conventional air combustion. Both the fuel properties as well as limitations of steam and metal temperatures of the various heat exchanger sections of the steam generator require a moderation of the temperatures during combustion and in the subsequent heat-transfer sections. This is done by means of flue gas recirculation. The interdependencies among fuel properties, the amount and the temperature of the recycled flue gas, and the resulting oxygen concentration in the combustion atmosphere are investigated. Expected effects of the modified flue gas composition in comparison with the air-fired case are studied theoretically and experimentally. The different atmosphere resulting from oxygen-fired combustion gives rise to various questions related to firing, in particular, with regard to the combustion mechanism, pollutant reduction, the risk of corrosion, and the properties of the fly

  13. The oxycoal process with cryogenic oxygen supply.

    Science.gov (United States)

    Kather, Alfons; Scheffknecht, Günter

    2009-09-01

    Due to its large reserves, coal is expected to continue to play an important role in the future. However, specific and absolute CO2 emissions are among the highest when burning coal for power generation. Therefore, the capture of CO2 from power plants may contribute significantly in reducing global CO2 emissions. This review deals with the oxyfuel process, where pure oxygen is used for burning coal, resulting in a flue gas with high CO2 concentrations. After further conditioning, the highly concentrated CO2 is compressed and transported in the liquid state to, for example, geological storages. The enormous oxygen demand is generated in an air-separation unit by a cryogenic process, which is the only available state-of-the-art technology. The generation of oxygen and the purification and liquefaction of the CO2-enriched flue gas consumes significant auxiliary power. Therefore, the overall net efficiency is expected to be lowered by 8 to 12 percentage points, corresponding to a 21 to 36% increase in fuel consumption. Oxygen combustion is associated with higher temperatures compared with conventional air combustion. Both the fuel properties as well as limitations of steam and metal temperatures of the various heat exchanger sections of the steam generator require a moderation of the temperatures during combustion and in the subsequent heat-transfer sections. This is done by means of flue gas recirculation. The interdependencies among fuel properties, the amount and the temperature of the recycled flue gas, and the resulting oxygen concentration in the combustion atmosphere are investigated. Expected effects of the modified flue gas composition in comparison with the air-fired case are studied theoretically and experimentally. The different atmosphere resulting from oxygen-fired combustion gives rise to various questions related to firing, in particular, with regard to the combustion mechanism, pollutant reduction, the risk of corrosion, and the properties of the fly

  14. Influence of oxygen concentration on ethylene removal using dielectric barrier discharge

    Science.gov (United States)

    Takahashi, Katsuyuki; Motodate, Takuma; Takaki, Koichi; Koide, Shoji

    2018-01-01

    Ethylene gas is decomposed using a dielectric barrier discharge plasma reactor for long-period preservation of fruits and vegetables. The oxygen concentration in ambient gas is varied from 2 to 20% to simulate the fruit and vegetable transport container. The experimental results show that the efficiency of ethylene gas decomposition increases with decreasing oxygen concentration. The reactions of ethylene molecules with ozone are analyzed by Fourier transform infrared spectrometry. The analysis results show that the oxidization process by ozone is later than that by oxygen atoms. The amount of oxygen atoms that contribute to ethylene removal increases with decreasing oxygen concentration because the reaction between oxygen radicals and oxygen molecules is suppressed at low oxygen concentrations. Ozone is completely removed and the energy efficiency of C2H4 removal is increased using manganese dioxide as a catalyst.

  15. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  16. Aging of oxygen and hydrogen plasma discharge treated a-C:H and ta-C coatings

    Science.gov (United States)

    Bachmann, Svenja; Schulze, Marcus; Morasch, Jan; Hesse, Sabine; Hussein, Laith; Krell, Lisa; Schnagl, Johann; Stark, Robert W.; Narayan, Suman

    2016-05-01

    Surface modification with gas plasma is an efficient and easy way to improve the surface energy and the tribological behavior of diamond-like carbon (DLC) coatings, e.g., in biomedical implants or as protective coatings. However, the long-term performance of the plasma treated DLC coatings is not fully clear. We thus studied the long-term stability of two kinds of DLC coatings, namely (a) hydrogenated amorphous carbon (a-C:H) and (b) tetrahedral amorphous carbon (ta-C) treated at different radio frequency (RF) power and time of oxygen (O2) and hydrogen (H2) plasma. Their surface properties, e.g. surface wettability, structure and tribological behavior, were studied at regular intervals for a period of two months using contact angle goniometer, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), lateral force microscopy (LFM) and ball on disc apparatus. The surface energy of both the coatings decreased upon aging. The higher the RF power and time of treatment, the higher was the hydrophobicity upon aging. XPS analysis showed that the increase in hydrophobicity could be due to adsorption of unavoidable volatile organic components in the atmosphere. The H2 plasma treated ta-C was capable of rearranging its structural bonds upon aging. The nano-friction measurements by LFM showed that the coefficient of friction of plasma treated a-C:H and ta-C decreased upon aging. The results indicate that the surface properties of plasma treated a-C:H and ta-C are not stable on long-term and are influenced by the environmental conditions.

  17. Surface preparation of gold nanostructures on glass by ultraviolet ozone and oxygen plasma for thermal atomic layer deposition of Al{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Lancaster, Cady A., E-mail: lancaster@chem.utah.edu; Shumaker-Parry, Jennifer S., E-mail: shumaker-parry@chem.utah.edu

    2016-08-01

    Thin film deposition to create robust plasmonic nanomaterials is a growing area of research. Plasmonic nanomaterials have tunable optical properties and can be used as substrates for surface-enhanced spectroscopies. Due to the surface sensitivity and the dependence of the near-field behavior on structural details, degradation from cleaning or spectroscopic interrogation causes plasmonic nanostructures to lose distinctive localized surface plasmon resonances or exhibit diminished optical near-field enhancements over time. To decrease degradation, conformal thin films of alumina are deposited on nanostructured substrates using atomic layer deposition. While film growth on homogenous surfaces has been studied extensively, atomic layer deposition-based film growth on heterogeneous nanostructured surfaces is not well characterized. In this report, we have evaluated the impact of oxygen plasma and ultraviolet ozone pre-treatments on Au nanoparticle substrates for thin film growth by monitoring changes in plasmonic response and nanostructure morphology. We have found that ultraviolet ozone is more effective than oxygen plasma for cleaning gold nanostructured surfaces, which is in contrast to bulk films of the same material. Our results show that oxygen plasma treatment negatively impacts the nanostructure and alumina coating based on both scanning electron microscopy analysis of morphology and changes in the plasmonic response. - Highlights: • Plasmonic response indicates oxygen plasma damages Au structures and Al{sub 2}O{sub 3} films. • Ultraviolet ozone (UVO) re-activates aged Al{sub 2}O{sub 3}-coated Au nanostructures. • UVO treatments do not damage Au or Al{sub 2}O{sub 3}-coated nanostructures.

  18. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  19. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  20. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  1. Reactions on catalytic probe surface during oxygen plasma treatment of polyether sulphone: Reakcije na površini katalitične sonde med plazemsko obdelavo polieter sulfona:

    OpenAIRE

    Mozetič, Miran

    1998-01-01

    Experiments on the behavior of a nickel catalytic probe during activation of the surface of polyether sulphone in oxygen plasma are described. The temperature of the probe mounted 30 cm apart from inductively coupled RF oxygen plasma was measured for the case of empty dischaarge vessel and the case a sample with the dimensions of 8 cm x 1.2 cm x 0.4 cm was mounted in the middle of the discharge coil. It was found that both the maximum temperature and the first time derivative of the probe was...

  2. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  3. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  4. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  5. Analysis of flammability limits for the liquefaction process of oxygen-bearing coal-bed methane

    International Nuclear Information System (INIS)

    Li, Q.Y.; Wang, L.; Ju, Y.L.

    2011-01-01

    Highlights: → A novel liquefaction and distillation process is designed for oxygen bearing coal-bed methane. → Oxygen contained in coal-bed methane is removed in distillation process. → Flammability limits are analyzed for the whole operation process. → We find explosion hazard may exist in distillation tower. → Effective measures are proposed to ensure the operation safety in distillation tower. - Abstract: A novel liquefaction and distillation process has been proposed and designed for the typical oxygen-bearing coal-bed methane (CBM), in which the impurities of the oxygen and nitrogen components are removed in the distillation column. The flammability limit theory combining with HYSYS simulation results are employed to analyze and calculate the flammability limits and the results indicate that no flammability hazard exists in the stages of compression, liquefaction and throttling. However, flammability hazard exists at the top the distillation column because the methane mole fraction decreases to the value below the upper flammability limit (UFL). The safety measures of initially removing oxygen content from the feed gas combining with the control of the bottom flowrate (flowrate of the liquid product at column bottom) are proposed to ensure the operation safety of the liquefaction process. The results reveal that the operation safety of the whole process can be guaranteed, together with high methane recovery rate and high purity of the liquid product. The applicability of the liquefaction process has also been analyzed in this paper. The simulation results can offer references for the separation of oxygen from CBM, the analysis of flammability limits and the safety measures for the whole process.

  6. Oxygen plasma effects on zero resistance behavior of Yb,Er-doped YBCO (123) based superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Helmut; Rathmann, Dirk [Department of Physics, Biophysics, and Photosynthesis, Freie Universitaet Berlin (Germany); Banko, Franziska; Steinhart, Martin [Physical Chemistry, Institute of Chemistry of New Materials, University of Osnabrueck (Germany); Nordmann, Joerg; Voss, Benjamin [Inorganic Chemistry, Institute of Chemistry of New Materials, University of Osnabrueck (Germany); Walder, Lorenz [Organic Chemistry, Molecular Electrochemistry, Institute of Chemistry of New Materials, University of Osnabrueck (Germany)

    2014-08-15

    Rare-earth doped YBa{sub 2}Cu{sub 3}O{sub 7-δ} samples were synthesized starting from the corresponding oxides Y{sub 2}O{sub 3}, Yb{sub 2}O{sub 3}, Er{sub 2}O{sub 3}, CuO as well as Ba(OH){sub 2} by heating to 950 C under air. The doping concentrations were adjusted to 15 % Yb, 7 % Er and 20 % Yb, 15 % Er, respectively, with respect to the yttrium content. The orthogonal phased (123) YBCO ceramics were exposed to an oxygen plasma for 140 and 380 min. Zero resistance temperature (T{sub c,0}) was determined by measuring the resistivity-temperature dependence using a classical four point measurement approach. Under optimal conditions with respect to the material composition and the oxygen treatment an unusual high zero resistance temperature of 97.5 K (T{sub c,onset} ∼ 101 K) was achieved. This represents an increase of T{sub c,0} by 7.5 K. The oxygen treatment could not be monitored by IR spectroscopy, X-ray fluorescence spectroscopy, and X-ray powder diffraction. The results showed good reproducibility. (Copyright copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Oxygen negative glow: reactive species and emissivity

    International Nuclear Information System (INIS)

    Sahli, Khaled

    1991-01-01

    This research thesis addresses the study of a specific type of oxygen plasma created by electron beams (1 keV, 20 mA/cm"2), negative glow of a luminescent discharge in abnormal regime. The objective is to test the qualities of this plasma as source of two 'active' species of oxygen (singlet molecular oxygen and atomic oxygen) which are useful in applications. The experiment mainly bears on the use of VUV (120 to 150 nm) absorption spectroscopy measurements of concentrations of these both species, and on the recording of plasma emissivity space profiles in the visible region (450 to 850 nm). It appears that low concentrations of singlet oxygen definitely exclude this type of discharge for iodine laser applications. On the contrary, concentrations measured for atomic oxygen show it is a good candidate for the oxidation of large surfaces by sheets of beams. The satisfying comparison of emissivity results with a published model confirm the prevailing role of fast electrons, and gives evidence of an important effect of temperature: temperature can reach 1000 K, and this is in agreement with the presented measurement [fr

  8. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  9. Plasma cleaning and the removal of carbon from metal surfaces

    International Nuclear Information System (INIS)

    Baker, M.A.

    1980-01-01

    In an investigation of the plasma cleaning of metals and the plasma etching of carbon, a mass spectrometer was used as a sensitive process monitor. CO 2 produced by the plasma oxidation of carbon films or of organic contamination and occluded carbon at the surfaces of metals proved to be the most suitable gas to monitor. A good correlation was obtained between the measured etch rate of carbon and the resulting CO 2 partial pressure monitored continuously with the mass spectrometer. The rate of etching of carbon in an oxygen-argon plasma at 0.1 Torr was high when the carbon was at cathode potential and low when it was electrically isolated in the plasma, thus confirming the findings of previous workers and indicating the importance of ion bombardment in the etching process. Superficial organic contamination on the surfaces of the metals aluminium and copper and of the alloy Inconel 625 was quickly removed by the oxygen-argon plasma when the metal was electrically isolated and also when it was at cathode potential. Occluded carbon (or carbides) at or near the surfaces of the metals was removed slowly and only when the metal was at cathode potential, thus illustrating again the importance of ion bombardment. (Auth.)

  10. Oxygen isotopic signature of CO2 from combustion processes

    Directory of Open Access Journals (Sweden)

    W. A. Brand

    2011-02-01

    Full Text Available For a comprehensive understanding of the global carbon cycle precise knowledge of all processes is necessary. Stable isotope (13C and 18O abundances provide information for the qualification and the quantification of the diverse source and sink processes. This study focuses on the δ18O signature of CO2 from combustion processes, which are widely present both naturally (wild fires, and human induced (fossil fuel combustion, biomass burning in the carbon cycle. All these combustion processes use atmospheric oxygen, of which the isotopic signature is assumed to be constant with time throughout the whole atmosphere. The combustion is generally presumed to take place at high temperatures, thus minimizing isotopic fractionation. Therefore it is generally supposed that the 18O signature of the produced CO2 is equal to that of the atmospheric oxygen. This study, however, reveals that the situation is much more complicated and that important fractionation effects do occur. From laboratory studies fractionation effects on the order of up to 26%permil; became obvious in the derived CO2 from combustion of different kinds of material, a clear differentiation of about 7‰ was also found in car exhausts which were sampled directly under ambient atmospheric conditions. We investigated a wide range of materials (both different raw materials and similar materials with different inherent 18O signature, sample geometries (e.g. texture and surface-volume ratios and combustion circumstances. We found that the main factor influencing the specific isotopic signatures of the combustion-derived CO2 and of the concomitantly released oxygen-containing side products, is the case-specific rate of combustion. This points firmly into the direction of (diffusive transport of oxygen to the reaction zone as the cause of the isotope fractionation. The original total 18O signature of the material appeared to have little influence, however, a contribution of specific bio

  11. Incineration/vitrification of radioactive wastes and combustion of pyrolysis gases in thermal plasmas; Incineration/vitrification de dechets radioactifs et combustion de gaz de pyrolyse en plasma d`arc

    Energy Technology Data Exchange (ETDEWEB)

    Girold, Ch. [CEA de la Vallee du Rhone, Departement de Retraitement des Dechets et du Demantelemnet, 30 - Marcoule (France)]|[Limoges Univ., 87 (France)

    1997-03-01

    Two thermal plasma processes used for incineration of radioactive technological wastes (cellulose, plastics, rubber...) have been investigated. First, the different types of radioactive wastes are presented, with a special attention to those which may benefit from a high temperature thermal treatment. The most significant thermal plasma processes, suitable for this goal, are described. Then, the author deals with the post-combustion, in an oxygen plasma jet reactor, of gases from burnable radioactive waste pyrolysis. An experimental planning method as been used to evaluate the combustion performances in the reactor, with a wide range of gas composition and running parameters such as oxygen excess and electrical power. The results of a modeling of kinetics, based on 116 chemicals reactions between 25 species, are compared with experimental values. Finally, an oxygen plasma reactor where the arc is transferred on a basalt melt is experimented. The efficiency of the combustion and the homogeneity of the glass are discussed. The volatility of some glass elements and tracers added to the wastes is also approached in two different ways: by post-trial material balance and by an optical emission spectroscopic method. The author built a diagnostic method that allows the following versus time of the metallic vapours above the melt. (author) 51 refs.

  12. Functional State Modelling of Cultivation Processes: Dissolved Oxygen Limitation State

    Directory of Open Access Journals (Sweden)

    Olympia Roeva

    2015-04-01

    Full Text Available A new functional state, namely dissolved oxygen limitation state for both bacteria Escherichia coli and yeast Saccharomyces cerevisiae fed-batch cultivation processes is presented in this study. Functional state modelling approach is applied to cultivation processes in order to overcome the main disadvantages of using global process model, namely complex model structure and a big number of model parameters. Alongwith the newly introduced dissolved oxygen limitation state, second acetate production state and first acetate production state are recognized during the fed-batch cultivation of E. coli, while mixed oxidative state and first ethanol production state are recognized during the fed-batch cultivation of S. cerevisiae. For all mentioned above functional states both structural and parameter identification is here performed based on experimental data of E. coli and S. cerevisiae fed-batch cultivations.

  13. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  14. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  15. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  16. A ReaxFF-based molecular dynamics study of the mechanisms of interactions between reactive oxygen plasma species and the Candida albicans cell wall

    Science.gov (United States)

    Zhao, T.; Shi, L.; Zhang, Y. T.; Zou, L.; Zhang, L.

    2017-10-01

    Atmospheric pressure non-equilibrium plasmas have attracted significant attention and have been widely used to inactivate pathogens, yet the mechanisms underlying the interactions between plasma-generated species and bio-organisms have not been elucidated clearly. In this paper, reactive molecular dynamics simulations are employed to investigate the mechanisms of interactions between reactive oxygen plasma species (O, OH, and O2) and β-1,6-glucan (a model for the C. albicans cell wall) from a microscopic point of view. Our simulations show that O and OH species can break structurally important C-C and C-O bonds, while O2 molecules exhibit only weak, non-bonded interactions with β-1,6-glucan. Hydrogen abstraction from hydroxyl or CH groups occurs first in all bond cleavage mechanisms. This is followed by a cascade of bond cleavage and double bond formation events. These lead to the destruction of the fungal cell wall. O and OH have similar effects related to their bond cleavage mechanisms. Our simulation results provide fundamental insights into the mechanisms underlying the interactions between reactive oxygen plasma species and the fungal cell wall of C. albicans at the atomic level.

  17. Measurement of OH, NO, O and N atoms in helium plasma jet for ROS/RNS controlled biomedical processes

    Science.gov (United States)

    Yonemori, Seiya; Kamakura, Taku; Ono, Ryo

    2014-10-01

    Atmospheric-pressure plasmas are of emerging interest for new plasma applications such as cancer treatment, cell activation and sterilization. In those biomedical processes, reactive oxygen/nitrogen species (ROS/RNS) are said that they play significant role. It is though that active species give oxidative stress and induce biomedical reactions. In this study, we measured OH, NO, O and N atoms using laser induced fluorescence (LIF) measurement and found that voltage polarity affect particular ROS. When negative high voltage was applied to the plasma jet, O atom density was tripled compared to the case of positive applied voltage. In that case, O atom density was around 3 × 1015 [cm-3] at maximum. In contrast, OH and NO density did not change their density depending on the polarity of applied voltage, measured as in order of 1013 and 1014 [cm-3] at maximum, respectively. From ICCD imaging measurement, it could be seen that negative high voltage enhanced secondary emission in plasma bullet propagation and it can affect the effective production of particular ROS. Since ROS/RNS dose can be a quantitative criterion to control plasma biomedical application, those measurement results is able to be applied for in vivo and in vitro plasma biomedical experiments. This study is supported by the Grant-in-Aid for Science Research by the Ministry of Education, Culture, Sport, Science and Technology.

  18. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    Science.gov (United States)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Döbeli, Max; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-10-01

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially 18O substituted La0.6Sr0.4MnO3 target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  19. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  20. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  1. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  2. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  3. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  4. Secondary electron emission from plasma processed accelerating cavity grade niobium

    Science.gov (United States)

    Basovic, Milos

    by different techniques. Specifically, this work provides the results of SEY from the plasma cleaned cavity grade niobium (Nb) samples. Pure niobium is currently the material of choice for the fabrication of Superconducting Radio Frequency (SRF) cavities. The effect of plasma processing with two different gases will be examined in two groups of samples. The first group of samples is made from cavity grade niobium. The second group of samples is made from the same material, but include a welded joint made by electron beam welding, since in niobium SRF cavities the peak electric and magnetic field are seen in close proximity to the welded joints. Both groups of samples will be exposed to nitrogen (N2) and a mixture of argon with oxygen (Ar/O2) plasma. It is the goal of this research to determine the SEY on these two groups of samples before and after plasma processing as a function of the energy of primary electrons. The SEY as a function of the angle of incidence of the primary electrons is tested on the samples treated with Ar/O2 plasma.

  5. Secondary Electron Emission from Plasma Processed Accelerating Cavity Grade Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Basovic, Milos [Old Dominion Univ., Norfolk, VA (United States)

    2016-05-01

    by different techniques. Specifically, this work provides the results of SEY from the plasma cleaned cavity grade niobium (Nb) samples. Pure niobium is currently the material of choice for the fabrication of Superconducting Radio Frequency (SRF) cavities. The effect of plasma processing with two different gases will be examined in two groups of samples. The first group of samples is made from cavity grade niobium. The second group of samples is made from the same material, but include a welded joint made by electron beam welding, since in niobium SRF cavities the peak electric and magnetic field are seen in close proximity to the welded joints. Both groups of samples will be exposed to nitrogen (N2) and a mixture of argon with oxygen (Ar/O2) plasma. It is the goal of this research to determine the SEY on these two groups of samples before and after plasma processing as a function of the energy of primary electrons. The SEY as a function of the angle of incidence of the primary electrons is tested on the samples treated with Ar/O2 plasma.

  6. Plasma monitoring of the RLVIP-process with a Langmuir probe

    Science.gov (United States)

    Huber, D.; Hallbauer, A.; Pulker, H. K.

    2005-09-01

    The aim of this investigation was to study the characteristics of a reactive-low-voltage-high-current-ion-plating plasma and to correlate the observed plasma data with the properties of films deposited under such conditions. A Langmuir probe system (Smart Probe - Scientific Systems) was inserted into a Balzers BAP 800 ion plating plant above the e-gun evaporation source close to the insulated substrate holder. In this position during RLVIP deposition, plasma potential, floating potential, self-bias voltage, electron temperature, ion current density, and particle number density were measured and calculated, respectively. All measurements were performed in dependence of arc current (20-80A) and oxygen partial pressure (1 - 36 x 10-4mbar). With rising arc current the number of charged particles, the self-bias voltage between plasma and substrates as well as the energy of the condensing and bombarding species were increased. These data explain the increase of density, refractive index and mechanical stress of RLVIP-metal-oxide-layers, like Ta2O5 and Nb2O5, deposited with higher arc currents. An increase of gas pressure decreased the energy of the particles and therefore reduced slightly film density and refractive index. However, it improved chemistry and eliminated unwanted residual optical absorption and also decreased compressive mechanical film stress.

  7. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  8. Preparation and modification of carbon nanotubes electrodes by cold plasmas processes toward the preparation of amperometric biosensors

    Energy Technology Data Exchange (ETDEWEB)

    Luais, E. [CEISAM, Universite de Nantes, CNRS, 2 rue de la Houssiniere, 44322 Nantes cedex 3 (France); IMN, Universite de Nantes, CNRS, 2 rue de la Houssiniere, 44322 Nantes cedex 3 (France); PCI, Universite du Maine, CNRS, rue Aristote, 72085 Le Mans cedex 9 (France); Thobie-Gautier, C. [CEISAM, Universite de Nantes, CNRS, 2 rue de la Houssiniere, 44322 Nantes cedex 3 (France); Tailleur, A.; Djouadi, M.-A.; Granier, A.; Tessier, P.Y. [IMN, Universite de Nantes, CNRS, 2 rue de la Houssiniere, 44322 Nantes cedex 3 (France); Debarnot, D.; Poncin-Epaillard, F. [PCI, Universite du Maine, CNRS, rue Aristote, 72085 Le Mans cedex 9 (France); Boujtita, M., E-mail: mohammed.boujtita@univ-nantes.f [CEISAM, Universite de Nantes, CNRS, 2 rue de la Houssiniere, 44322 Nantes cedex 3 (France)

    2010-11-30

    An electrochemical transducer based on vertically aligned carbon nanotubes (CNT) was prepared as a platform for biosensor development. Prior to enzyme immobilization, the CNT were treated using a microwave plasma system (CO{sub 2} and N{sub 2}/H{sub 2}) in order to functionalize the CNT surface with oxygenated and aminated groups. The morphological aspect of the electrode surface was examined by SEM and its chemical structure was also elucidated by XPS analysis. It was found out that microwave plasma system (CO{sub 2} and N{sub 2}/H{sub 2}) not only functionalizes the CNT but also permits to avoid the collapse phenomena retaining thus the alignment structure of the electrode surface. The electrochemical properties of the resulting new material based on CNT were carried out by cyclic voltammetry and were found suitable to develop high sensitive enzyme (HRP) biosensors operating on direct electron transfer process.

  9. Two dimensional PMMA nanofluidic device fabricated by hot embossing and oxygen plasma assisted thermal bonding methods

    Science.gov (United States)

    Yin, Zhifu; Sun, Lei; Zou, Helin; Cheng, E.

    2015-05-01

    A method for obtaining a low-cost and high-replication precision two-dimensional (2D) nanofluidic device with a polymethyl methacrylate (PMMA) sheet is proposed. To improve the replication precision of the 2D PMMA nanochannels during the hot embossing process, the deformation of the PMMA sheet was analyzed by a numerical simulation method. The constants of the generalized Maxwell model used in the numerical simulation were calculated by experimental compressive creep curves based on previously established fitting formula. With optimized process parameters, 176 nm-wide and 180 nm-deep nanochannels were successfully replicated into the PMMA sheet with a replication precision of 98.2%. To thermal bond the 2D PMMA nanochannels with high bonding strength and low dimensional loss, the parameters of the oxygen plasma treatment and thermal bonding process were optimized. In order to measure the dimensional loss of 2D nanochannels after thermal bonding, a dimension loss evaluating method based on the nanoindentation experiments was proposed. According to the dimension loss evaluating method, the total dimensional loss of 2D nanochannels was 6 nm and 21 nm in width and depth, respectively. The tensile bonding strength of the 2D PMMA nanofluidic device was 0.57 MPa. The fluorescence images demonstrate that there was no blocking or leakage over the entire microchannels and nanochannels.

  10. Oxygen activation at the plasma membrane: relation between superoxide and hydroxyl radical production by isolated membranes.

    Science.gov (United States)

    Heyno, Eiri; Mary, Véronique; Schopfer, Peter; Krieger-Liszkay, Anja

    2011-07-01

    Production of reactive oxygen species (hydroxyl radicals, superoxide radicals and hydrogen peroxide) was studied using EPR spin-trapping techniques and specific dyes in isolated plasma membranes from the growing and the non-growing zones of hypocotyls and roots of etiolated soybean seedlings as well as coleoptiles and roots of etiolated maize seedlings. NAD(P)H mediated the production of superoxide in all plasma membrane samples. Hydroxyl radicals were only produced by the membranes of the hypocotyl growing zone when a Fenton catalyst (FeEDTA) was present. By contrast, in membranes from other parts of the seedlings a low rate of spontaneous hydroxyl radical formation was observed due to the presence of small amounts of tightly bound peroxidase. It is concluded that apoplastic hydroxyl radical generation depends fully, or for the most part, on peroxidase localized in the cell wall. In soybean plasma membranes from the growing zone of the hypocotyl pharmacological tests showed that the superoxide production could potentially be attributed to the action of at least two enzymes, an NADPH oxidase and, in the presence of menadione, a quinone reductase.

  11. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  12. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  13. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  14. Oxygen permeation and thermo-chemical stability of oxygen separation membrane materials for the oxyfuel process

    Energy Technology Data Exchange (ETDEWEB)

    Ellett, Anna Judith

    2009-07-01

    The reduction of CO{sub 2} emissions, generally held to be one of the most significant contributors to global warming, is a major technological issue. CO{sub 2} Capture and Storage (CCS) techniques applied to large stationary sources such as coal-fired power plants could efficiently contribute to the global carbon mitigation effort. The oxyfuel process, which consists in the burning of coal in an oxygen-rich atmosphere to produce a flue gas highly concentrated in CO{sub 2}, is a technology considered for zero CO{sub 2} emission coal-fired power plants. The production of this O{sub 2}-rich combustion gas from air can be carried out using high purity oxygen separation membranes. Some of the most promising materials for this application are mixed ionic-electronic conducting (MIEC) materials with perovskite and K{sub 2}NiF{sub 4} perovskite-related structures. The present work examines the selection of La{sub 0.58}Sr{sub 0.4}Co{sub 0.2}Fe{sub 0.8}O{sub 3-{delta}} (LSCF58), La{sub 2}NiO{sub 4+{delta}}, Pr{sub 0.58}Sr{sub 0.4}Co{sub 0.2}Fe{sub 0.8}O{sub 3-{delta}} (PSCF58) and Ba{sub 0.5}Sr{sub 0.5}Co{sub 0.8}Fe{sub 0.2}O{sub 3-{delta}} (BSCF50) as membrane materials for the separation of O{sub 2} and N{sub 2} in the framework of the oxyfuel process with flue gas recycling. Annealing experiments were carried out on pellets exposed to CO{sub 2}, water vapour, O{sub 2} and Cr{sub 2}O{sub 3} in order to determine the thermo-chemical resistance to the atmospheres and the high temperature conditions present during membrane operation in a coal-fired power plant. The degradation of their microstructure was investigated using Scanning Electron Microscopy (SEM) in combination with electron dispersive spectroscopy (EDS) as well as X-Ray Diffraction (XRD). Also, the oxygen permeation fluxes of selected membranes were investigated as a function of temperature. The membrane materials selected were characterised using thermo-analytical techniques such as precision thermogravimetric

  15. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  16. Development of barrier coatings for cellulosic-based materials by cold plasma methods

    Science.gov (United States)

    Denes, Agnes Reka

    Cellulose-based materials are ideal candidates for future industries that need to be based on environmentally safe technologies and renewable resources. Wood represents an important raw material and its application as construction material is well established. Cellophane is one of the most important cellulosic material and it is widely used as packaging material in the food industry. Outdoor exposure of wood causes a combination of physical and chemical degradation processes due to the combined effects of sunlight, moisture, fungi, and bacteria. Cold-plasma-induced surface modifications are an attractive way for tailoring the characteristics of lignocellulosic substrates to prevent weathering degradation. Plasma-polymerized hexamethyldisiloxane (PPHMDSO) was deposited onto wood surfaces to create water repellent characteristics. The presence of a crosslinked macromolecular structure was detected. The plasma coated samples exhibited very high water contact angle values indicating the existence of hydrophobic surfaces. Reflective and electromagnetic radiation-absorbent substances were incorporated with a high-molecular-weight polydimethylsiloxane polymer in liquid phase and deposited as thin layers on wood surfaces. The macromolecular films, containing the dispersed materials, were then converted into a three dimensional solid state network by exposure to a oxygen-plasma. It was demonstrated that both UV-absorbent and reflectant components incorporated into the plasma-generated PDMSO matrix protected the wood from weathering degradation. Reduced oxidation and less degradation was observed after simulated weathering. High water contact angle values indicated a strong hydrophobic character of the oxygen plasma-treated PDMSO-coated samples. Plasma-enhanced surface modifications and coatings were employed to create water-vapor barrier layers on cellophane substrate surfaces. HMDSO was selected as a plasma gas and oxygen was used to ablate amorphous regions. Oxygen plasma

  17. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  18. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  19. Effects of irradiation distance on supply of reactive oxygen species to the bottom of a Petri dish filled with liquid by an atmospheric O{sub 2}/He plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Kawasaki, Toshiyuki, E-mail: kawasaki@nbu.ac.jp; Kusumegi, Shota; Kudo, Akihiro; Sakanoshita, Tomohiro; Tsurumaru, Takuya; Sato, Akihiro [Department of Mechanical and Electrical Engineering, Nippon Bunri University, Oita, Oita 870-0397 (Japan); Uchida, Giichiro [Joining and Welding Research Institute, Osaka University, Ibaraki, Osaka 567-0047 (Japan); Koga, Kazunori; Shiratani, Masaharu [Graduate School of Information Science and Electrical Engineering, Kyushu University, Fukuoka, Fukuoka 819-0395 (Japan)

    2016-05-07

    The impact of irradiation distances on plasma jet-induced specific effects on the supply of reactive oxygen species (ROS) to the bottom of a Petri dish filled with liquid was investigated using a KI-starch gel reagent that can be employed as a ROS indicator even in water. O{sub 3} exposure experiments without plasma irradiation were also performed to elucidate the specific effects of the plasma jet. Relative concentrations of ROS transported to the bottom were evaluated using absorbance measurements. The results indicated that ROS supply to the bottom is markedly enhanced by the plasma jet irradiation at shorter irradiation distances, whereas similar results could not be obtained for the O{sub 3} exposure. In these cases, the liquid mixing in the depth direction was also enhanced by the plasma jet irradiation only, and the supply of reactive atomic oxygen to the liquid surface was markedly increased as well.

  20. A Computational-Experimental Study of Plasma Processing of Carbides at High Temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Bronson, Arturo [Univ. of Texas, El Paso, TX (United States); Kumar, Vinod [Univ. of Texas, El Paso, TX (United States)

    2016-02-01

    The effects of plasma on carbides were computationally investigated for the ultimate development of adherent, dense scales such as Al2O3-TiO2 systems toward oxidation resistance at 1873 K. An alumina scale forms on the carbide because of the significant stability of Al2O3 as the outer scale adjacent to the gas phase compared to rutile, though TiO and Ti2O3 may form as components of an inner layer of a complicated scale. A sequence of surface reactions starting with the adsorption of oxygen on the surface was applied to experimental data from Donnelly’s research group who reported the adsorption of O2 in a plasma atmosphere as a function of power. In addition to the adsorbed oxygen (Oad) as the rate determining step, it controlled the cascading reaction sequence of the adsorbed species of AlO, AlO2 and AlO3, as indicated in the present study. The rate of oxygen adsorption also depends on the ratio of the final to initial adsorbed oxygen as a function the oxygen potential. In a secondary research thrust, Ti3AlC was synthesized and subsequently oxidized. A 39Ti-14Al-47TiC (in wt%) mixture was equilibrated by using a pseudo-isopiestic technique to form ultimately an aggregate of Ti3AlC, Ti2AlC and TiC phases. The aggregate was primarily composed of Ti3AlC with minor amounts of Ti2AlC and TiC, as determined by an X-ray diffraction analysis. The Ti3AlC/Ti2AlC/TiC aggregate was subsequently oxidized at 1873 K to form a scale composed of an outer layer of Al2O3-TiO2-Al2TiO5 with an inner layer consisting of TiO-Al2O3- Al4CO3. The measured scale thickness grew according to Wagner’s parabolic growth rate, which estimates an effective diffusion coefficient of 6 (10)-8 cm2/s. The scale

  1. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  2. Effect of substrate temperature on the structure of amorphous oxygenated hydrocarbon films grown with a pulsed supersonic methane plasma flow

    Energy Technology Data Exchange (ETDEWEB)

    Fedoseeva, Yu. V., E-mail: fedoseeva@niic.nsc.ru [Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Pozdnyakov, G.A. [Khristianovich Institute of Theoretical and Applied Mechanics, SB RAS, Novosibirsk 630090 (Russian Federation); Okotrub, A.V.; Kanygin, M.A. [Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Nastaushev, Yu. V. [Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Vilkov, O.Y. [St. Petersburg State University, St. Petersburg 198504 (Russian Federation); Bulusheva, L.G. [Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation)

    2016-11-01

    Highlights: • A deposition of supersonic methane plasma flow on silicon substrate produces amorphous oxygenated hydrocarbon (CO{sub x}H{sub y}) film. • The thickness, composition, and wettability of the film depend on the substrate temperature. • A rise of the substrate temperature from 500 to 700 °C promotes the sp{sup 3}-hybridization carbon formation. - Abstract: Since amorphous oxygenated hydrocarbon (CO{sub x}H{sub y}) films are promising engineering materials a study of the structure and composition of the films depending on the conditions of synthesis is important for controlling of their physicochemical properties. Here, we used the methods of scanning and transmission electron microscopy, X-ray photoelectron, near-edge X-ray absorption fine structure, Fourier transform infrared and Raman spectroscopy to reveal changes in the chemical connectivity of CO{sub x}H{sub y} films grown on silicon substrates heated to 300, 500, and 700 °C using a supersonic flow of methane plasma. It was found that the CO{sub x}H{sub y} films, deposited at 300 and 500 °C, were mainly composed of the sp{sup 2}-hybridized carbon areas with various oxygen species. A rise of the substrate temperature caused an increase of the portion of tetrahedral carbon atoms as well as carboxyl and hydroxyl groups. With growth of the substrate temperature, the film thickness reduced monotonically from 400 to 180 nm, while the film adhesion improved substantially. The films, deposited at lower temperatures, showed high hydrophilicity due to porosity and presence of oxygenated groups both at the surface and in the bulk.

  3. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  4. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  5. Microsecond-pulsed dielectric barrier discharge plasma stimulation of tissue macrophages for treatment of peripheral vascular disease

    Energy Technology Data Exchange (ETDEWEB)

    Miller, V., E-mail: vmiller@coe.drexel.edu; Lin, A.; Brettschneider, J.; Fridman, G.; Fridman, A. [AJ Drexel Plasma Institute, Drexel University, Camden, New Jersey 08103 (United States); Kako, F.; Gabunia, K.; Kelemen, S.; Autieri, M. [Department of Physiology, Independence Blue Cross Cardiovascular Research Center, Temple University School of Medicine, Philadelphia, Pennsylvania 19140 (United States)

    2015-12-15

    Angiogenesis is the formation of new blood vessels from pre-existing vessels and normally occurs during the process of inflammatory reactions, wound healing, tissue repair, and restoration of blood flow after injury or insult. Stimulation of angiogenesis is a promising and an important step in the treatment of peripheral artery disease. Reactive oxygen species have been shown to be involved in stimulation of this process. For this reason, we have developed and validated a non-equilibrium atmospheric temperature and pressure short-pulsed dielectric barrier discharge plasma system, which can non-destructively generate reactive oxygen species and other active species at the surface of the tissue being treated. We show that this plasma treatment stimulates the production of vascular endothelial growth factor, matrix metalloproteinase-9, and CXCL 1 that in turn induces angiogenesis in mouse aortic rings in vitro. This effect may be mediated by the direct effect of plasma generated reactive oxygen species on tissue.

  6. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  7. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [General Energy Research Department, Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2015-10-28

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially {sup 18}O substituted La{sub 0.6}Sr{sub 0.4}MnO{sub 3} target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  8. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  9. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  10. Incineration/vitrification of radioactive wastes and combustion of pyrolysis gases in thermal plasmas

    International Nuclear Information System (INIS)

    Girold, Ch.

    1997-03-01

    Two thermal plasma processes used for incineration of radioactive technological wastes (cellulose, plastics, rubber...) have been investigated. First, the different types of radioactive wastes are presented, with a special attention to those which may benefit from a high temperature thermal treatment. The most significant thermal plasma processes, suitable for this goal, are described. Then, the author deals with the post-combustion, in an oxygen plasma jet reactor, of gases from burnable radioactive waste pyrolysis. An experimental planning method as been used to evaluate the combustion performances in the reactor, with a wide range of gas composition and running parameters such as oxygen excess and electrical power. The results of a modeling of kinetics, based on 116 chemicals reactions between 25 species, are compared with experimental values. Finally, an oxygen plasma reactor where the arc is transferred on a basalt melt is experimented. The efficiency of the combustion and the homogeneity of the glass are discussed. The volatility of some glass elements and tracers added to the wastes is also approached in two different ways: by post-trial material balance and by an optical emission spectroscopic method. The author built a diagnostic method that allows the following versus time of the metallic vapours above the melt. (author)

  11. Modular and efficient ozone systems based on massively parallel chemical processing in microchannel plasma arrays: performance and commercialization

    Science.gov (United States)

    Kim, M.-H.; Cho, J. H.; Park, S.-J.; Eden, J. G.

    2017-08-01

    Plasmachemical systems based on the production of a specific molecule (O3) in literally thousands of microchannel plasmas simultaneously have been demonstrated, developed and engineered over the past seven years, and commercialized. At the heart of this new plasma technology is the plasma chip, a flat aluminum strip fabricated by photolithographic and wet chemical processes and comprising 24-48 channels, micromachined into nanoporous aluminum oxide, with embedded electrodes. By integrating 4-6 chips into a module, the mass output of an ozone microplasma system is scaled linearly with the number of modules operating in parallel. A 115 g/hr (2.7 kg/day) ozone system, for example, is realized by the combined output of 18 modules comprising 72 chips and 1,800 microchannels. The implications of this plasma processing architecture for scaling ozone production capability, and reducing capital and service costs when introducing redundancy into the system, are profound. In contrast to conventional ozone generator technology, microplasma systems operate reliably (albeit with reduced output) in ambient air and humidity levels up to 90%, a characteristic attributable to the water adsorption/desorption properties and electrical breakdown strength of nanoporous alumina. Extensive testing has documented chip and system lifetimes (MTBF) beyond 5,000 hours, and efficiencies >130 g/kWh when oxygen is the feedstock gas. Furthermore, the weight and volume of microplasma systems are a factor of 3-10 lower than those for conventional ozone systems of comparable output. Massively-parallel plasmachemical processing offers functionality, performance, and commercial value beyond that afforded by conventional technology, and is currently in operation in more than 30 countries worldwide.

  12. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  13. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  14. Microwave exposure as a fast and cost-effective alternative of oxygen plasma treatment of indium-tin oxide electrode for application in organic solar cells

    Science.gov (United States)

    Soultati, Anastasia; Kostis, Ioannis; Papadimitropoulos, Giorgos; Zeniou, Angelos; Gogolides, Evangelos; Alexandropoulos, Dimitris; Vainos, Nikos; Davazoglou, Dimitris; Speliotis, Thanassis; Stathopoulos, Nikolaos A.; Argitis, Panagiotis; Vasilopoulou, Maria

    2017-12-01

    Pre-treatment methods are commonly employed to clean as well as to modify electrode surfaces. Many previous reports suggest that modifying the surface properties of indium tin oxide (ITO) by oxygen plasma treatment is a crucial step for the fabrication of high performance organic solar cells. In this work, we propose a fast and cost-effective microwave exposure step for the modification of the surface properties of ITO anode electrodes used in organic solar cells. It is demonstrated that a short microwave exposure improves the hydrophilicity and reduces the roughness of the ITO surface, as revealed by contact angle and atomic force microscopy (AFM) measurements, respectively, leading to a better quality of the PEDOT:PSS film coated on top of it. Similar results were obtained with the commonly used oxygen plasma treatment of ITO suggesting that microwave exposure is an effective process for modifying the surface properties of ITO with the benefits of low-cost, easy and fast processing. In addition, the influence of the microwave exposure of ITO anode electrode on the performance of an organic solar cell based on the poly(3-hexylthiophene):[6,6]-phenyl C70 butyric acid methyl ester (P3HT:PC70BM) blend is investigated. The 71% efficiency enhancement obtained in the microwave annealed-ITO based device as compared to the device with the as-received ITO was mainly attributed to the improvement in the short circuit current (J sc) and decreased leakage current caused by the reduced series and the increased shunt resistances and also by the higher charge generation efficiency, and the reduced recombination losses.

  15. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  16. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  17. Aging of oxygen and hydrogen plasma discharge treated a-C:H and ta-C coatings

    Energy Technology Data Exchange (ETDEWEB)

    Bachmann, Svenja [Physics of Surfaces, Institute of Materials Science, Technische Universität Darmstadt, Alarich-Weiss-Str. 16, 64287 Darmstadt (Germany); BMW Group, Hufelandstraße 4, 80788 Munich (Germany); Schulze, Marcus [Physics of Surfaces, Institute of Materials Science, Technische Universität Darmstadt, Alarich-Weiss-Str. 16, 64287 Darmstadt (Germany); Center of Smart Interfaces, Technische Universität Darmstadt, Alarich-Weiss-Str. 10, 64287 Darmstadt (Germany); Morasch, Jan [Institute of Materials Science, Technische Universität Darmstadt, Surface Science Division, Jovanka-Bonschits-Straße 2, 64287 Darmstadt (Germany); Hesse, Sabine [Physics of Surfaces, Institute of Materials Science, Technische Universität Darmstadt, Alarich-Weiss-Str. 16, 64287 Darmstadt (Germany); Center of Smart Interfaces, Technische Universität Darmstadt, Alarich-Weiss-Str. 10, 64287 Darmstadt (Germany); Hussein, Laith [Eduard-Zintl-Institut, Department of Chemistry, Technische Universität Darmstadt, Alarich-Weiss-Str. 12, 64287, Darmstadt (Germany); Krell, Lisa; Schnagl, Johann [BMW Group, Hufelandstraße 4, 80788 Munich (Germany); Stark, Robert W. [Physics of Surfaces, Institute of Materials Science, Technische Universität Darmstadt, Alarich-Weiss-Str. 16, 64287 Darmstadt (Germany); Center of Smart Interfaces, Technische Universität Darmstadt, Alarich-Weiss-Str. 10, 64287 Darmstadt (Germany); and others

    2016-05-15

    Highlights: • The water CA of O{sub 2} and H{sub 2} plasma treated a-C:H and ta-C changes from hydrophillic to hydrophobic on aging. • XPS study indicates that the decrease in surface energy of plasma treated a-C:H and ta-C could be due to adsorption of organic component from air. • The COFLFM of O{sub 2} and H{sub 2} plasma treated a-C:H and ta-C decreased upon aging. • The COF of glycerol lubricated ta-C showed no sign of change upon aging. - Abstract: Surface modification with gas plasma is an efficient and easy way to improve the surface energy and the tribological behavior of diamond-like carbon (DLC) coatings, e.g., in biomedical implants or as protective coatings. However, the long-term performance of the plasma treated DLC coatings is not fully clear. We thus studied the long-term stability of two kinds of DLC coatings, namely (a) hydrogenated amorphous carbon (a-C:H) and (b) tetrahedral amorphous carbon (ta-C) treated at different radio frequency (RF) power and time of oxygen (O{sub 2}) and hydrogen (H{sub 2}) plasma. Their surface properties, e.g. surface wettability, structure and tribological behavior, were studied at regular intervals for a period of two months using contact angle goniometer, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), lateral force microscopy (LFM) and ball on disc apparatus. The surface energy of both the coatings decreased upon aging. The higher the RF power and time of treatment, the higher was the hydrophobicity upon aging. XPS analysis showed that the increase in hydrophobicity could be due to adsorption of unavoidable volatile organic components in the atmosphere. The H{sub 2} plasma treated ta-C was capable of rearranging its structural bonds upon aging. The nano-friction measurements by LFM showed that the coefficient of friction of plasma treated a-C:H and ta-C decreased upon aging. The results indicate that the surface properties of plasma treated a‐C:H and ta‐C are not stable on long-term and are

  18. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  19. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  20. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  1. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  2. Growth enhancement and gene expression of Arabidopsis thaliana irradiated with active oxygen species

    Science.gov (United States)

    Watanabe, Satoshi; Ono, Reoto; Hayashi, Nobuya; Shiratani, Masaharu; Tashiro, Kosuke; Kuhara, Satoru; Inoue, Asami; Yasuda, Kaori; Hagiwara, Hiroko

    2016-07-01

    The characteristics of plant growth enhancement effect and the mechanism of the enhancement induced by plasma irradiation are investigated using various active species in plasma. Active oxygen species in oxygen plasma are effective for growth enhancement of plants. DNA microarray analysis of Arabidopsis thaliana indicates that the genes coding proteins that counter oxidative stresses by eliminating active oxygen species are expressed at significantly high levels. The size of plant cells increases owing to oxygen plasma irradiation. The increases in gene expression levels and cell size suggest that the increase in the expression level of the expansin protein is essential for plant growth enhancement phenomena.

  3. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  4. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  5. A Green Process for High-Concentration Ethylene and Hydrogen Production from Methane in a Plasma-Followed-by-Catalyst Reactor

    International Nuclear Information System (INIS)

    Wang Kangjun; Li Xiaosong; Zhu Aimin

    2011-01-01

    A green process for the oxygen-free conversion of methane to high-concentration ethylene and hydrogen in a plasma-followed-by-catalyst (PFC) reactor is presented. Without any catalysts and with pure methane used as the feed gas, a stable kilohertz spark discharge leads to an acetylene yield of 64.1%, ethylene yield of 2.5% and hydrogen yield of 59.0% with 80.0% of methane conversion at a methane flow rate of 50 cm 3 /min and a specific input energy of 38.4 kJ/L. In the effluent gas from a stable kilohertz spark discharge reactor, the concentrations of acetylene, ethylene and hydrogen were 18.1%, 0.7% and 66.9%, respectively. When catalysts Pd-Ag/SiO 2 were employed in the second stage with discharge conditions same as in the case of plasma alone, the PFC reactor provides an ethylene yield of 52.1% and hydrogen yield of 43.4%. The concentrations of ethylene and hydrogen in the effluent gas from the PFC reactor were found to be as high as 17.1% and 62.6%, respectively. Moreover, no acetylene was detected in the effluent gas. This means that a high concentration of ethylene and oxygen-free hydrogen can be co-produced directly from methane in the PFC reactor.

  6. 'Pre-prosthetic use of poly(lactic-co-glycolic acid) membranes treated with oxygen plasma and TiO2 nanocomposite particles for guided bone regeneration processes'.

    Science.gov (United States)

    Castillo-Dalí, Gabriel; Castillo-Oyagüe, Raquel; Terriza, Antonia; Saffar, Jean-Louis; Batista-Cruzado, Antonio; Lynch, Christopher D; Sloan, Alastair J; Gutiérrez-Pérez, José-Luis; Torres-Lagares, Daniel

    2016-04-01

    Guided bone regeneration (GBR) processes are frequently necessary to achieve appropriate substrates before the restoration of edentulous areas. This study aimed to evaluate the bone regeneration reliability of a new poly-lactic-co-glycolic acid (PLGA) membrane after treatment with oxygen plasma (PO2) and titanium dioxide (TiO2) composite nanoparticles. Circumferential bone defects (diameter: 10mm; depth: 3mm) were created on the parietal bones of eight experimentation rabbits and were randomly covered with control membranes (Group 1: PLGA) or experimental membranes (Group 2: PLGA/PO2/TiO2). The animals were euthanized two months afterwards, and a morphologic study was then performed under microscope using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone formed in the grown defects, concentration of osteoclasts, and intensity of osteosynthetic activity were assessed. Comparisons among the groups and with the original bone tissue were made using the Kruskal-Wallis test. The level of significance was set in advance at a=0.05. The experimental group recorded higher values for new bone formation, mineralised bone length, and osteoclast concentration; this group also registered the highest osteosynthetic activity. Bone layers in advanced formation stages and low proportions of immature tissue were observed in the study group. The functionalised membranes showed the best efficacy for bone regeneration. The addition of TiO2 nanoparticles onto PLGA/PO2 membranes for GBR processes may be a promising technique to restore bone dimensions and anatomic contours as a prerequisite to well-supported and natural-appearing prosthetic rehabilitations. Copyright © 2016 Elsevier Ltd. All rights reserved.

  7. Nanotexture Optimization by Oxygen Plasma of Mesoporous Silica Thin Film for Enrichment of Low Molecular Weight Peptides Captured from Human Serum

    Science.gov (United States)

    Hu, Ye; Peng, Yang; Brousseau, Louis; Bouamrani, Ali; Liu, Xuewu; Ferrari, Mauro

    2010-01-01

    This study investigated the optimization of mesoporous silica thin films by nanotexturing using oxygen plasma versus thermal oxidation. Calcination in oxygen plasma provides superior control over pore formation with regard to the pore surface and higher fidelity to the structure of the polymer template. The resulting porous film offers an ideal substrate for the selective partitioning of peptides from complex mixtures. The improved chemico-physical characteristics of porous thin films (pore size distribution, nanostructure, surface properties and pore connectivity) were systematically characterized with XRD, Ellipsometry, FTIR, TEM and N2 adsorption/desorption. The enrichment of low molecular weight proteins captured from human serum on mesoporous silica thin films fabricated by both methodologies were investigated by comparison of their MALDI-TOF MS profiles. This novel on-chip fractionation technology offers advantages in recovering the low molecular weight peptides from human serum, which has been recognized as an informative resource for early diagnosis of cancer and other diseases. PMID:21179395

  8. Effect of pores formation process and oxygen plasma treatment to hydroxyapatite formation on bioactive PEEK prepared by incorporation of precursor of apatite.

    Science.gov (United States)

    Yabutsuka, Takeshi; Fukushima, Keito; Hiruta, Tomoko; Takai, Shigeomi; Yao, Takeshi

    2017-12-01

    When bioinert substrates with fine-sized pores are immersed in a simulated body fluid (SBF) and the pH value or the temperature is increased, fine particles of calcium phosphate, which the authors denoted as 'precursor of apatite' (PrA), are formed in the pores. By this method, hydroxyapatite formation ability can be provided to various kinds of bioinert materials. In this study, the authors studied fabrication methods of bioactive PEEK by using the above-mentioned process. First, the fine-sized pores were formed on the surface of the PEEK substrate by H 2 SO 4 treatment. Next, to provide hydrophilic property to the PEEK, the surfaces of the PEEK were treated with O 2 plasma. Finally, PrA were formed in the pores by the above-mentioned process, which is denoted as 'Alkaline SBF' treatment, and the bioactive PEEK was obtained. By immersing in SBF with the physiological condition, hydroxyapatite formation was induced on the whole surface of the substrate within 1day. The formation of PrA directly contributed to hydroxyapatite formation ability. By applying the O 2 plasma treatment, hydroxyapatite formation was uniformly performed on the whole surface of the substrate. The H 2 SO 4 treatment contributed to a considerable enhancement of adhesive strength of the formed hydroxyapatite layer formed in SBF because of the increase of surface areas of the substrate. As a comparative study, the sandblasting method was applied as the pores formation process instead of the H 2 SO 4 treatment. Although hydroxyapatite formation was provided also in this case, however, the adhesion of the formed hydroxyapatite layer to the substrate was not sufficient even if the O 2 plasma treatment was conducted. This result indicates that the fine-sized pores should be formed on the whole surface of the substrate uniformly to achieve high adhesive strength of the hydroxyapatite layer. Therefore, it is considered that the H 2 SO 4 treatment before the O 2 plasma and the 'Alkaline SBF' treatment

  9. Plasma and catalyst for the oxidation of NOx

    Science.gov (United States)

    Jõgi, Indrek; Erme, Kalev; Levoll, Erik; Raud, Jüri; Stamate, Eugen

    2018-03-01

    Efficient exhaust gas cleaning from NO x (NO and NO2) by absorption and adsorption based methods requires the oxidation of NO. The application of non-thermal plasma is considered as a promising oxidation method but the oxidation of NO by direct plasma remains limited due to the back-reaction of NO2 to NO mediated by O radicals in plasma. Indirect NO oxidation by plasma produced ozone allows to circumvent the back-reaction and further oxidize NO2 to N2O5 but the slow reaction rate for the latter process limits the efficiency of this process. Present paper gives an overview of the role of metal-oxide catalysts in the improvement of oxidation efficiency for both direct and indirect plasma oxidation of NO x . The plasma produced active oxygen species (O, O3) were shown to play an important role in the reactions taking place on the catalyst surfaces while the exact mechanism and extent of the effect were different for direct and indirect oxidation. In the case of direct plasma oxidation, both short and long lifetime oxygen species could reach the catalyst and participate in the oxidation of NO to NO2. The back-reaction in the plasma phase remained still important factor and limited the effect of catalyst. In the case of indirect oxidation, only ozone could reach the catalyst surface and improve the oxidation of NO2 to N2O5. The effect of catalyst at different experimental conditions was quantitatively described with the aid of simple global chemical kinetic models derived for the NO x oxidation either by plasma or ozone. The models allowed to compare the effect of different catalysts and to analyze the limitations for the efficiency improvement by catalyst.

  10. Effects of plasma on polyethylene fiber surface for prosthodontic application

    Directory of Open Access Journals (Sweden)

    Silvana Marques Miranda SPYRIDES

    2015-12-01

    Full Text Available ABSTRACT Plasma technology has the potential to improve the adherence of fibers to polymeric matrices, and there are prospects for its application in dentistry to reinforce the dental particulate composite. Objectives This study aimed to investigate the effect of oxygen or argon plasma treatment on polyethylene fibers. Material and Methods Connect, Construct, InFibra, and InFibra treated with oxygen or argon plasma were topographically evaluated by scanning electron microscopy (SEM, and chemically by X-ray photoelectron spectroscopy (XPS. For bending analysis, one indirect composite (Signum was reinforced with polyethylene fiber (Connect, Construct, or InFibra. The InFibra fiber was subjected to three different treatments: (1 single application of silane, (2 oxygen or argon plasma for 1 or 3 min, (3 oxygen or argon plasma and subsequent application of silane. The samples (25x2x2 mm, 6 unreinforced and 60 reinforced with fibers, were subjected to three-point loading tests to obtain their flexural strength and deflection. The results were statistically analyzed with ANOVA and the Bonferroni correction for multiple comparison tests. Results SEM analysis showed that oxygen and argon plasma treatments promote roughness on the polyethylene fiber surface. X-ray photoelectron spectroscopy (XPS analysis shows that both plasmas were effective in incorporating oxygenated functional groups. Argon or oxygen plasma treatment affected the flexural strength and deflection of a fiber reinforced composite. The application of silane does not promote an increase in the flexural strength of the reinforced composites. Conclusions Oxygen and argon plasma treatments were effective in incorporating oxygenated functional groups and surface roughness. The highest strength values were obtained in the group reinforced with polyethylene fibers treated with oxygen plasma for 3 min.

  11. UV excimer laser and low temperature plasma treatments of polyamide materials

    Science.gov (United States)

    Yip, Yiu Wan Joanne

    ) functional groups, which increased water absorption. However, after tetrafluoromethane plasma treatment it was found that the -CF, -CF2 and -CF3 groups were introduced to the polyamide surface and this enhanced the hydrophobicity of the fabric. Suggested explanations are given of the mechanisms that produce the structure of the polyamide after the processes of laser irradiation (both high- and low-fluence) and plasma treatment. The fundamental approach used in modelling was considered the temperature profile of the material during the treatment. The development of high-fluence induced structures was caused by elevated temperatures in the subsurface volume and preexisting stress caused by fiber extrusion. The structure formation under LF laser irradiation was determined by thermal effect accompanied by the optical phenomenon of interference. Ripple structures formed by plasma were closely related to physical or chemical etching. Possible applications of plasma and laser technologies in the textile and clothing industries are considered. Oxygen plasma seems to be the best candidate to improve the wettability of the fabric, while tetrafluoromethane plasma can be applied to produce a water repellent surface. Surface treatments including CF4 plasma, high-fluence and low-fluence laser treatments produce a deeper color in disperse dyed fabrics using the same amount of dyestuff as chemicals like leveling agents and dyestuff can be reduced during the textile manufacturing process. UV laser and low temperature plasma modification processes are promising techniques for polymer/fabric surface modification and have industrial potential as they are environmentally friendly dry processes which do not involve any solvents.

  12. The Effect of Plasma Surface Treatment on a Porous Green Ceramic Film with Polymeric Binder Materials

    International Nuclear Information System (INIS)

    Yun Jeong Woo

    2013-01-01

    To reduce time and energy during thermal binder removal in the ceramic process, plasma surface treatment was applied before the lamination process. The adhesion strength in the lamination films was enhanced by oxidative plasma treatment of the porous green ceramic film with polymeric binding materials. The oxygen plasma characteristics were investigated through experimental parameters and weight loss analysis. The experimental results revealed the need for parameter analysis, including gas material, process time, flow rate, and discharge power, and supported a mechanism consisting of competing ablation and deposition processes. The weight loss analysis was conducted for cyclic plasma treatment rather than continuous plasma treatment for the purpose of improving the film's permeability by suppressing deposition of the ablated species. The cyclic plasma treatment improved the permeability compared to the continuous plasma treatment.

  13. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  14. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  15. Plasma chemical and electrical modelling of a negative DC corona in pure oxygen

    Energy Technology Data Exchange (ETDEWEB)

    Soria, C [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain); Pontiga, F [Departamento de FIsica Aplicada II, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain); Castellanos, A [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain)

    2004-02-01

    A complex plasma chemical and electrical model of a negative stationary wire-to-cylinder corona discharge in pure oxygen is presented. The corona discharge is assumed to have axial and azimuthal symmetry. The experimental current-voltage characteristic is required as input data, but there are no other adjustable or empirical parameters. The experimental validation of the results of the model comes from its prediction of the ozone concentration. The role played by different reactions and species is analysed in detail using the results of the simulation. The effect of the gas temperature and of the decomposition of ozone at the electrodes is also investigated. The agreement between the model and the experiments is excellent when the effect of ozone decomposition at the electrodes is taken into account.

  16. Plasma chemical and electrical modelling of a negative DC corona in pure oxygen

    International Nuclear Information System (INIS)

    Soria, C; Pontiga, F; Castellanos, A

    2004-01-01

    A complex plasma chemical and electrical model of a negative stationary wire-to-cylinder corona discharge in pure oxygen is presented. The corona discharge is assumed to have axial and azimuthal symmetry. The experimental current-voltage characteristic is required as input data, but there are no other adjustable or empirical parameters. The experimental validation of the results of the model comes from its prediction of the ozone concentration. The role played by different reactions and species is analysed in detail using the results of the simulation. The effect of the gas temperature and of the decomposition of ozone at the electrodes is also investigated. The agreement between the model and the experiments is excellent when the effect of ozone decomposition at the electrodes is taken into account

  17. Singlet oxygen production and quenching mechanisms in travelling microwave discharges

    International Nuclear Information System (INIS)

    Savin, Yu V; Goryachev, L V; Adamenkov, Yu A; Rakhimova, T V; Mankelevich, Yu A; Popov, N A; Adamenkov, A A; Egorov, V V; Ilyin, S P; Kolobyanin, Yu V; Kudryashov, E A; Rogozhnikov, G S; Vyskubenko, B A

    2004-01-01

    Experimental and theoretical studies of singlet oxygen excitation in travelling microwave (TMW) discharges are presented. Singlet oxygen O 2 (a 1 Δ g ) concentrations and atomic oxygen mole fraction have been measured for different pressures, input powers and distances from the MW resonator. It was shown that a steady-state TMW discharge with a coaxial cavity resonator could provide a maximal O 2 (a 1 Δ g ) yield of 22% for 2 Torr of pure oxygen and 27-30% for He : O 2 = 1 : 1 mixture. The two-dimensional (r, z) model developed for calculations of plasma-chemical kinetics, heat and mass transfer was used for simulation of processes in the TMW discharge under study. Effects of gas pressure, gas flow rate and input power are studied and compared with experimental measurements of O 2 (a 1 Δ g ) concentrations and atomic oxygen mole fractions

  18. Effect of wettability and surface roughness on the adhesion properties of collagen on PDMS films treated by capacitively coupled oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Juárez-Moreno, J.A. [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico); Ávila-Ortega, A. [Facultad de Ingeniería Química—UADY, Periférico Norte Kilómetro 33.5, Col. Chuburna de Hidalgo Inn, C.P. , 97203 Mérida, Yucatán (Mexico); Oliva, A.I. [Centro de Investigación y de Estudios Avanzados del IPN–Unidad Mérida, Km. 6 Antigua carretera a Progreso Apdo. Postal 73, Cordemex, 97310 Mérida, Yucatán (Mexico); Avilés, F. [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico); Cauich-Rodríguez, J.V., E-mail: jvcr@cicy.mx [Centro de Investigación Científica de Yucatán, Calle 43 No. 130, Col. Chuburna de Hidalgo C.P., 97200 Mérida, Yucatán (Mexico)

    2015-09-15

    Highlights: • Plasma treatment was used as an adhesive tool for PDMS/collagen composite preparation. • Response surface methodology was used for statistical optimization. • A microscopic roughness can also lead to a mechanical interlocking between materials. • Hydroxyl groups on the PDMS surface contribute to the enhanced chemical interactions. • PDMS/collagen composite obtained by plasma treatment exhibited higher peel strength. - Abstract: Direct chemical bonding of biomolecules to the surface of chemically inert polymers such as polydimethylsiloxane (PDMS) is not easily achieved. Therefore, pre-activation of such materials, followed by attachment of the biomolecule is necessary. This paper describes a procedure to functionalize a PDMS surface by oxygen-based plasma followed by the adhesion of collagen type I for the preparation of adhesive-free bilayer composite intended as skin substitute. Plasma treatments between 40 and 120 W for 5 to 15 min were used and the extent of surface modification was followed by contact angle, Fourier transform infrared (FTIR) spectroscopy, atomic force microscopy (AFM), scanning electron microscopy (SEM) and adhesion test. It was found that as the plasma power and time were increased, PDMS contact angle decreased while surface roughness increased as revealed by SEM and AFM. The formation of oxygen-containing functional groups at the surface was detected by FTIR. T-peel tests, performed on PDMS treated at 80 W/13 min and covered with collagen showed maximum peel strength of 0.1 N/mm which was 3 times higher than that measured for the untreated bilayer composite. The observed enhancement in the adhesion strength was attributed to the increased mechanical interlocking driven by the increased roughness and the formation of hydrophilic functional groups.

  19. Effect of wettability and surface roughness on the adhesion properties of collagen on PDMS films treated by capacitively coupled oxygen plasma

    International Nuclear Information System (INIS)

    Juárez-Moreno, J.A.; Ávila-Ortega, A.; Oliva, A.I.; Avilés, F.; Cauich-Rodríguez, J.V.

    2015-01-01

    Highlights: • Plasma treatment was used as an adhesive tool for PDMS/collagen composite preparation. • Response surface methodology was used for statistical optimization. • A microscopic roughness can also lead to a mechanical interlocking between materials. • Hydroxyl groups on the PDMS surface contribute to the enhanced chemical interactions. • PDMS/collagen composite obtained by plasma treatment exhibited higher peel strength. - Abstract: Direct chemical bonding of biomolecules to the surface of chemically inert polymers such as polydimethylsiloxane (PDMS) is not easily achieved. Therefore, pre-activation of such materials, followed by attachment of the biomolecule is necessary. This paper describes a procedure to functionalize a PDMS surface by oxygen-based plasma followed by the adhesion of collagen type I for the preparation of adhesive-free bilayer composite intended as skin substitute. Plasma treatments between 40 and 120 W for 5 to 15 min were used and the extent of surface modification was followed by contact angle, Fourier transform infrared (FTIR) spectroscopy, atomic force microscopy (AFM), scanning electron microscopy (SEM) and adhesion test. It was found that as the plasma power and time were increased, PDMS contact angle decreased while surface roughness increased as revealed by SEM and AFM. The formation of oxygen-containing functional groups at the surface was detected by FTIR. T-peel tests, performed on PDMS treated at 80 W/13 min and covered with collagen showed maximum peel strength of 0.1 N/mm which was 3 times higher than that measured for the untreated bilayer composite. The observed enhancement in the adhesion strength was attributed to the increased mechanical interlocking driven by the increased roughness and the formation of hydrophilic functional groups

  20. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  1. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  2. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  3. Radial and azimuthal distribution of Io's oxygen neutral cloud observed by Hisaki/EXCEED

    Science.gov (United States)

    Koga, R.; Tsuchiya, F.; Kagitani, M.; Sakanoi, T.; Yoneda, M.; Yoshikawa, I.; Yoshioka, K.; Murakami, G.; Yamazaki, A.; Kimura, T.; Smith, H. T.

    2017-12-01

    We report the spatial distributions of oxygen neural cloud surrounding Jupiter's moon Io and along Io's orbit observed by the HISAKI satellite. Atomic oxygen and sulfur in Io's atmosphere escape from the exobase and move to corona ( 5.8 Io radii) mainly due to atmospheric sputtering. Io plasma torus is formed by ionization of these atoms by electron impact and charge exchange processes. It is essential to examine the dominant source of Io plasma torus, particularly in the vicinity of Io (5.8 Io radii; extended neutral clouds). The spatial distribution of oxygen and sulfur neutral clouds is important to understand the source. The extreme ultraviolet spectrometer called EXCEED (Extreme Ultraviolet Spectroscope for Exospheric Dynamics) installed on the Hisaki satellite observed Io plasma torus continuously in 2014-2015, and we carried out the monitoring of the distribution of atomic oxygen emission at 130.4 nm. The emission averaged over the distance range of 4.5-6.5 Jovian radii on the dawn and dusk sides strongly depends on the Io phase angle (IPA), and has a emission peak between IPA of 60-90 degrees on the dawn side, and between 240-270 degrees on the dusk side, respectively. It also shows the asymmetry with respect to Io's position: the intensity averaged for IPA 60-90 degrees (13.3 Rayleighs (R)) is 1.2 times greater than that for IPA 90-120 degrees (11.1 R) on the dawn side. The similar tendency is found on the dusk side. Weak atomic oxygen emission (4 R) uniformly distributes in every IPA. We also examined the radial distribution of the oxygen neutral cloud during the same period and found the emission peak near Io's orbit with decreasing the intensity toward 8.0 Jupiter radii. The results show the high density component of the oxygen neutral cloud is concentrated around Io and extends mainly toward leading side of Io. In addition, the low density neutrals uniformly exist along Io's orbit. Both components extend radially outward up to 8 Jovian radii with

  4. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  5. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  6. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  7. High-frequency underwater plasma discharge application in antibacterial activity

    International Nuclear Information System (INIS)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U.; Mongre, R. K.; Jeong, D. K.; Suresh, R.; Lee, H. J.

    2017-01-01

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O_2) injected and hydrogen peroxide (H_2O_2) added discharge in water was achieved. The effect of H_2O_2 dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H_2O_2 addition with O_2 injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH"•, H, and O). Interestingly, the results demonstrated that O_2 injected and H_2O_2 added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  8. Hydrogen uptake in alumina thin films synthesized from an aluminum plasma stream in an oxygen ambient

    International Nuclear Information System (INIS)

    Schneider, J.M.; Anders, A.; Hjoervarsson, B.; Petrov, I.; Macak, K.; Helmersson, U.; Sundgren, J.

    1999-01-01

    We describe the hydrogen uptake during the synthesis of alumina films from H 2 O present in the high vacuum gas background. The hydrogen concentration in the films was determined by the 1 H( 15 N,αγ) 12 C nuclear resonance reaction. Furthermore, we show the presence of hydrogen ions in the plasma stream by time-of-flight mass spectrometry. The hydrogen content increased in both the film and the plasma stream, as the oxygen partial pressure was increased. On the basis of these measurements and thermodynamic considerations, we suggest that an aluminum oxide hydroxide compound is formed, both on the cathode surface as well as in the film. The large scatter in the data reported in the literature for refractive index and chemical stability of alumina thin films can be explained on the basis of the suggested aluminum oxide hydroxide formation. copyright 1999 American Institute of Physics

  9. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  10. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  11. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  12. 27.12 MHz plasma generation in supercritical carbon dioxide

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Toyota, Hiromichi; Nomura, Shinfuku; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro; Yamashita, Hiroshi

    2007-01-01

    An experiment was conducted for generating high-frequency plasma in supercritical carbon dioxide; it is expected to have the potential for applications in various types of practical processes. It was successfully generated at 6-20 MPa using electrodes mounted in a supercritical cell with a gap of 1 mm. Emission spectra were then measured to investigate the physical properties of supercritical carbon dioxide plasma. The results indicated that while the emission spectra for carbon dioxide and carbon monoxide could be mainly obtained at a low pressure, the emission spectra for atomic oxygen could be obtained in the supercritical state, which increased with the pressure. The temperature of the plasma in supercritical state was estimated to be approximately 6000-7000 K on the assumption of local thermodynamic equilibrium and the calculation results of thermal equilibrium composition in this state showed the increase of atomic oxygen by the decomposition of CO 2

  13. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  14. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  15. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  16. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  17. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  18. Cytocompatibility studies of vertically-aligned multi-walled carbon nanotubes: Raw material and functionalized by oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Lobo, A.O., E-mail: loboao@yahoo.com [Laboratorio Associado de Sensores e Materiais, INPE, Sao Jose dos Campos/SP (Brazil); Instituto Tecnologico de Aeronautica, ITA, Sao Jose dos Campos/SP (Brazil); Laboratorio de Nanotecnologia Biomedica, Universidade do Vale do Paraiba, Sao Jose dos Campos/SP (Brazil); Corat, M.A.F. [Centro Multidisciplinar para Investigacao Biologica na Area da Ciencia em Animais de Laboratorio, CEMIB, UNICAMP, Campinas/SP (Brazil); Antunes, E.F. [Laboratorio Associado de Sensores e Materiais, INPE, Sao Jose dos Campos/SP (Brazil); Instituto Tecnologico de Aeronautica, ITA, Sao Jose dos Campos/SP (Brazil); Ramos, S.C. [Instituto Tecnologico de Aeronautica, ITA, Sao Jose dos Campos/SP (Brazil); Pacheco-Soares, C. [Laboratorio de Dinamica de Compartimentos Celulares, UNIVAP, Sao Jose dos Campos/SP (Brazil); and others

    2012-05-01

    It was presented a strong difference on cell adhesion and proliferation of functionalized vertically-aligned multi-walled carbon nanotube (VACNT) scaffolds compared to raw-VACNT. Biocompatibility in vitro tests were performed on raw-VACNT after superficial modification by oxygen plasma, which changes its superhydrophobic character to superhydrophilic. Two cytocompatibility tests were applied: 1) total lactate dehydrogenase colorimetric assay for the study of proliferating cells; and 2) cellular adhesion by scanning electron microscopy. Results showed that superhydrophilic VACNT scaffolds stimulate cell growth with proliferation up to 70% higher than normal growth of cell culture.

  19. Water quality and processes affecting dissolved oxygen concentrations in the Blackwater River, Canaan Valley, West Virginia

    Science.gov (United States)

    Waldron, M.C.; Wiley, J.B.

    1996-01-01

    The water quality and environmental processes affecting dissolved oxygen were determined for the Blackwater River in Canaan Valley, West Virginia. Canaan Valley is oval-shaped (14 miles by 5 miles) and is located in the Allegheny Mountains at an average elevation of 3,200 feet above sea level. Tourism, population, and real estate development have increased in the past two decades. Most streams in Canaan Valley are a dilute calcium magnesium bicarbonate-type water. Streamwater typicaly was soft and low in alkalinity and dissolved solids. Maximum values for specific conductance, hardness, alkalinity, and dissolved solids occurred during low-flow periods when streamflow was at or near baseflow. Dissolved oxygen concentrations are most sensitive to processes affecting the rate of reaeration. The reaeration is affected by solubility (atmospheric pressure, water temperature, humidity, and cloud cover) and processes that determine stream turbulence (stream depth, width, velocity, and roughness). In the headwaters, photosynthetic dissolved oxygen production by benthic algae can result in supersaturated dissolved oxygen concentrations. In beaver pools, dissolved oxygen consumption from sediment oxygen demand and carbonaceous biochemical oxygen demand can result in dissolved oxygen deficits.

  20. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  1. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  2. Oxygen diffusion in soils: Understanding the factors and processes needed for modeling

    Directory of Open Access Journals (Sweden)

    José Neira

    2015-08-01

    Full Text Available Oxygen is an important element for plant growth. Reducing its concentration in the soil affects plant physiological processes such as nutrient and water uptake as well as respiration, the redox potential of soil elements and the activity of microorganisms. The main mechanism of oxygen transport in the soil is by diffusion, a dynamic process greatly influenced by soil physical properties such as texture and structure, conditioning, pore size distribution, tortuosity and connectivity. Organic matter is a modifying agent of the soil's chemical and physical properties, affecting its structure and the porous matrix, which are determinants of oxygen transport. This study reviews the theory of soil gas diffusion and the effect of soil organic matter on the soil's physical properties and transport of gases. It also reviews gas diffusion models, particularly those including the effect of soil organic matter.

  3. Plasma oxidation of the high T/sub c/ superconducting perovskites

    International Nuclear Information System (INIS)

    Bagley, B.G.; Greene, L.H.; Tarascon, J.; Hull, G.W.

    1987-01-01

    A near room-temperature plasma oxidation process is shown to restore superconductivity and metalliclike behavior in oxygen deficient La/sub 2-//sub x/ Sr/sub x/ CuO/sub 4-//sub y/ and YBa 2 Cu 3 O/sub 7-//sub x/ compounds. In the YBa 2 Cu 3 O/sub 7-//sub x/ compound the conversion from an oxygen deficient n-type tetragonal to the p-type orthorhombic phase with a concomitant factor of 5 x 10 5 increase in room-temperature conductivity is also accomplished. This process is of technological importance because oxygen can be restored in these materials at temperatures compatible with device processing. Of scientific interest, the process allows us to carefully control the oxidation state and thereby systematically study the 90 and 55 K superconducting transitions in YBa 2 Cu 3 O/sub 7-//sub x/

  4. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  5. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  6. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  7. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  8. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  9. Contrasting characteristics of sub-microsecond pulsed atmospheric air and atmospheric pressure helium-oxygen glow discharges

    International Nuclear Information System (INIS)

    Walsh, J L; Liu, D X; Iza, F; Kong, M G; Rong, M Z

    2010-01-01

    Glow discharges in air are often considered to be the ultimate low-temperature atmospheric pressure plasmas for numerous chamber-free applications. This is due to the ubiquitous presence of air and the perceived abundance of reactive oxygen and nitrogen species in air plasmas. In this paper, sub-microsecond pulsed atmospheric air plasmas are shown to produce a low concentration of excited oxygen atoms but an abundance of excited nitrogen species, UV photons and ozone molecules. This contrasts sharply with the efficient production of excited oxygen atoms in comparable helium-oxygen discharges. Relevant reaction chemistry analysed with a global model suggests that collisional excitation of O 2 by helium metastables is significantly more efficient than electron dissociative excitation of O 2 , electron excitation of O and ion-ion recombination. These results suggest different practical uses of the two oxygen-containing atmospheric discharges, with air plasmas being well suited for nitrogen and UV based chemistry and He-O 2 plasmas for excited atomic oxygen based chemistry. (fast track communication)

  10. Oxygen transport membrane system and method for transferring heat to catalytic/process reactors

    Science.gov (United States)

    Kelly, Sean M.; Kromer, Brian R.; Litwin, Michael M.; Rosen, Lee J.; Christie, Gervase Maxwell; Wilson, Jamie R.; Kosowski, Lawrence W.; Robinson, Charles

    2016-01-19

    A method and apparatus for producing heat used in a synthesis gas production process is provided. The disclosed method and apparatus include a plurality of tubular oxygen transport membrane elements adapted to separate oxygen from an oxygen containing stream contacting the retentate side of the membrane elements. The permeated oxygen is combusted with a hydrogen containing synthesis gas stream contacting the permeate side of the tubular oxygen transport membrane elements thereby generating a reaction product stream and radiant heat. The present method and apparatus also includes at least one catalytic reactor containing a catalyst to promote the steam reforming reaction wherein the catalytic reactor is surrounded by the plurality of tubular oxygen transport membrane elements. The view factor between the catalytic reactor and the plurality of tubular oxygen transport membrane elements radiating heat to the catalytic reactor is greater than or equal to 0.5

  11. The oxycoal process with cryogenic oxygen supply

    OpenAIRE

    Kather, Alfons; Scheffknecht, G?nter

    2009-01-01

    Due to its large reserves, coal is expected to continue to play an important role in the future. However, specific and absolute CO2 emissions are among the highest when burning coal for power generation. Therefore, the capture of CO2 from power plants may contribute significantly in reducing global CO2 emissions. This review deals with the oxyfuel process, where pure oxygen is used for burning coal, resulting in a flue gas with high CO2 concentrations. After further conditioning, the highly c...

  12. Glow discharge in singlet oxygen

    International Nuclear Information System (INIS)

    Vagin, N.P.; Ionin, A.A.; Klimachev, Yu.M.; Sinitsyn, D.V.; Yuryshev, N.N.; Kochetov, I.V.; Napartovich, A.P.

    2003-01-01

    Currently, there is no experimental data on the plasma balance in gas mixtures with a high content of singlet delta oxygen O 2 ( 1 Δ g ). These data can be obtained by studying the parameters of an electric discharge in singlet oxygen produced by a chemical generator. The O 2 ( 1 Δ g ) molecules significantly change the kinetics of electrons and negative ions in plasma. Hence, the discharge conditions at low and high O 2 ( 1 Δ g ) concentrations are very different. Here, the parameters of the positive column of a glow discharge in a gas flow from a chemical singlet-oxygen generator are studied. It is experimentally shown that, at an O 2 ( 1 Δ g ) concentration of 50% and at pressures of 1.5 and 2 torr, the electric field required to sustain the discharge is considerably lower than in the case when all of the oxygen molecules are in the ground state. A theoretical model of the glow discharge is proposed whose predictions are in good agreement with the experimental data

  13. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    Science.gov (United States)

    Safari, A.; Gheisari, Kh.; Farbod, M.

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni-Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100-200 nm and was dependent on the production conditions.

  14. Generation and Role of Reactive Oxygen and Nitrogen Species Induced by Plasma, Lasers, Chemical Agents, and Other Systems in Dentistry

    Science.gov (United States)

    Jha, Nayansi; Ryu, Jae Jun

    2017-01-01

    The generation of reactive oxygen and nitrogen species (RONS) has been found to occur during inflammatory procedures, during cell ischemia, and in various crucial developmental processes such as cell differentiation and along cell signaling pathways. The most common sources of intracellular RONS are the mitochondrial electron transport system, NADH oxidase, and cytochrome P450. In this review, we analyzed the extracellular and intracellular sources of reactive species, their cell signaling pathways, the mechanisms of action, and their positive and negative effects in the dental field. In dentistry, ROS can be found—in lasers, photosensitizers, bleaching agents, cold plasma, and even resin cements, all of which contribute to the generation and prevalence of ROS. Nonthermal plasma has been used as a source of ROS for biomedical applications and has the potential for use with dental stem cells as well. There are different types of dental stem cells, but their therapeutic use remains largely untapped, with the focus currently on only periodontal ligament stem cells. More research is necessary in this area, including studies about ROS mechanisms with dental cells, along with the utilization of reactive species in redox medicine. Such studies will help to provide successful treatment modalities for various diseases. PMID:29204250

  15. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability.

    Science.gov (United States)

    Struzzi, Claudia; Scardamaglia, Mattia; Hemberg, Axel; Petaccia, Luca; Colomer, Jean-François; Snyders, Rony; Bittencourt, Carla

    2015-01-01

    Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  16. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability

    Directory of Open Access Journals (Sweden)

    Claudia Struzzi

    2015-12-01

    Full Text Available Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  17. Development of non-thermal plasma jet and its potential application for color degradation of organic pollutant in wastewater treatment

    Science.gov (United States)

    Pirdo Kasih, Tota; Kharisma, Angel; Perdana, Muhammad Kevin; Murphiyanto, Richard Dimas Julian

    2017-12-01

    This paper presents the development of non-thermal plasma-based AOPs for color degradation in wastewater treatment. The plasma itself was generated by an in-house high voltage power supply (HVPS). Instead of gas-phase plasma system, we applied plasma jet system underwater during wastewater treatment without additional any chemicals (chemical-free processing). The method is thought to maximize the energy transfer and increase the efficient interaction between plasma and solution during the process. Our plasma jet system could proceed either by using helium (He), argon (Ar) and air as the medium in an open air atmosphere. Exploring the developed plasma to be applied in organic wastewater treatment, we demonstrated that the plasma jet could be generated underwater and yields in color degradation of methylene blue (MB) wastewater model. When using Ar gas as a medium, the color degradation of MB could be achieved within 90 minutes. Whereas, by using Ar with an admixing of oxygen (O2) gas, the similar result could be accomplished within 60 minutes. Additional O2 gas in the latter might produce more hydroxyl radicals and oxygen-based species which speed up the oxidative reaction with organic pollutants, and hence accelerate the process of color degradation.

  18. Effect of oxygen on the bias-enhanced nucleation of diamond on silicon

    DEFF Research Database (Denmark)

    Schreck, M.; Christensen, Carsten; Stritzker, B.

    1999-01-01

    The influence of traces of oxygen in the process gas on the bias-enhanced nucleation (BEN) of diamond on silicon has been studied in the present work. CO2 in concentrations ranging from 0 to 3000 ppm was added during the nucleation procedure at U-bias = -200 V in microwave plasma chemical vapour...

  19. Fluorescence measurement of atomic oxygen concentration in a dielectric barrier discharge

    Science.gov (United States)

    Dvořák, P.; Mrkvičková, M.; Obrusník, A.; Kratzer, J.; Dědina, J.; Procházka, V.

    2017-06-01

    Concentration of atomic oxygen was measured in a volume dielectric barrier discharge (DBD) ignited in mixtures of Ar + O2(+ H2) at atmospheric pressure. Two-photon absorption laser induced fluorescence (TALIF) of atomic oxygen was used and this method was calibrated by TALIF of Xe in a mixture of argon and a trace of xenon. The calibration was performed at atmospheric pressure and it was shown that quenching by three-body collisions has negligible effect on the life time of excited Xe atoms. The concentration of atomic oxygen in the DBD was around 1021 m-3 and it was stable during the whole discharge period. The concentration did not depend much on the electric power delivered to the discharge provided that the power was sufficiently high so that the visible discharge filled the whole reactor volume. Both the addition of hydrogen or replacing of argon by helium led to a significant decrease of atomic oxygen concentration. The TALIF measurements of O concentration levels in the DBD plasma performed in this work are made use of e.g. in the field analytical chemistry. The results contribute to understanding the processes of analyte hydride preconcentration and subsequent atomization in the field of trace element analysis where DBD plasma atomizers are employed.

  20. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  1. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  2. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  3. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  4. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  5. The Warm Plasma Composition in the Inner Magnetosphere during 2012-2015

    Science.gov (United States)

    Jahn, J. M.; Goldstein, J.; Reeves, G. D.; Fernandes, P. A.; Skoug, R. M.; Larsen, B.; Spence, H. E.

    2017-12-01

    Ionospheric heavy ions play an important role in the dynamics of Earth's magnetosphere. The greater mass and gyro radius of ionospheric oxygen differentiates its behavior from protons at the same energies. Oxygen may have an impact on tail reconnection processes, and it can at least temporarily dominate the energy content of the ring current during geomagnetic storms. At sub-keV energies, multi-species ion populations in the inner magnetosphere form the warm plasma cloak, occupying the energy range between the plasmasphere and the ring current. Lastly, cold lighter ions from the mid-latitude ionosphere create the co-rotating plasmasphere whose outer regions can interact with the plasma cloak, plasma sheet, ring current, and outer electron belt. In this paper we present a statistical view of warm, cloak-like ion populations in the inner magnetosphere, contrasting in particular the warm plasma composition during quiet and active times. We study the relative abundances and absolute densities of warm plasma measured by the Van Allen Probes, whose two spacecraft cover the inner magnetosphere from plasmaspheric altitudes close to Earth to just inside geostationary orbit. We observe that warm (> 30 eV) oxygen is most abundant closer to the plasmasphere boundary whereas warm hydrogen dominates closer to geostationary orbit. Warm helium is usually a minor constituent, but shows a noticeable enhancement in the near-Earth dusk sector.

  6. Processing of membranes for oxygenation using the Bellhouse-effect

    Directory of Open Access Journals (Sweden)

    Neußer C.

    2015-09-01

    Full Text Available State-of-the-art lung support systems are limited to short time application because of a lack of long term hemocompatibility and protein absorption on the membrane surfaces. In a highly interdisciplinary project at RWTH Aachen University a biohybrid lung assist system with endothelialised gas exchange flat membranes is developed to improve long term compatibility of oxygenators. To increase the gas exchange performance of flat membranes hollows are imprinted in the membrane surfaces. This approach is based on the research of B. J. Bell-house et al. [1], who discovered this effect, now known as Bellhouse-effect, around 1960. In this paper a processes to manufacture membrane assemblies for oxygenation with imprinted hollows on the flat membrane surfaces is reviewed.

  7. Calculation of transport coefficients in an axisymmetric plasma

    International Nuclear Information System (INIS)

    Shumaker, D.E.

    1976-01-01

    A method of calculating the transport coefficient in an axisymmetric toroidal plasma is presented. This method is useful in calculating the transport coefficients in a Tokamak plasma confinement device. The particle density and temperature are shown to be a constant on a magnetic flux surface. Transport equations are given for the total particle flux and total energy flux crossing a closed toroidal surface. Also transport equations are given for the toroidal magnetic flux. A computer code was written to calculate the transport coefficients for a three species plasma, electrons and two species of ions. This is useful for calculating the transport coefficients of a plasma which contains impurities. It was found that the particle and energy transport coefficients are increased by a large amount, and the transport coefficients for the toroidal magnetic field are reduced by a small amount. For example, a deuterium plasma with 1.3 percent oxygen, one of the particle transport coefficients is increased by a factor of about four. The transport coefficients for the toroidal magnetic flux are reduced by about 20 percent. The increase in the particle transport coefficient is due to the collisional scattering of the deuterons by the heavy oxygen ions which is larger than the deuteron electron scattering, the normal process for particle transport in a two species plasma. The reduction in the toroidal magnetic flux transport coefficients are left unexplained

  8. Effects of the multi-step activation process on the carrier concentration of p-type GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae-Kwan [Department of Materials Science and Metallurgical Engineering, Sunchon National University, Sunchon, Chonnam 540-742 (Korea, Republic of); Jeon, Seong-Ran [LED Research and Business Division, Korea Photonics Technology Institute, Gwanju 500-779 (Korea, Republic of); Lee, Ji-Myon, E-mail: jimlee@sunchon.ac.kr [Department of Printed Electronics Engineering, Sunchon National University, Sunchon, Chonnam 540-742 (Korea, Republic of)

    2014-06-25

    Highlights: • Hole concentration of p-GaN was enhanced by multi-step activation process. • The O{sub 2} plasma treatment is attributed to the enhanced hole concentration of p-GaN. • PL peak intensity was also enhanced by MS activation process. - Abstract: A multi-step activation method, which include an oxygen plasma treatment, chemical treatment, and post annealing in N{sub 2} was proposed to enhance the hole concentration of a p-type GaN epitaxial layer. This process was found to effectively activate p-GaN by increasing the hole concentration compared to that of the conventionally annealed sample. After the optimal oxygen plasma treatment (10 min at a source and table power of 500 W and 100 W, respectively), followed by a HCl and buffered oxide etchant treatment, and then by a post-RTA process in a N{sub 2} environment, the hole concentration was increased from 4.0 × 10{sup 17} to 2.0 × 10{sup 18} cm{sup −3}. The oxygen plasma was found to effectively remove the remaining H atoms and subsequent wet treatment can effectively remove the GaO{sub x} that had formed during O plasma treatment, resulting in the higher intensity of photoluminescence.

  9. High-frequency underwater plasma discharge application in antibacterial activity

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U. [Jeju National University, Department of Nuclear and Energy Engineering (Korea, Republic of); Mongre, R. K.; Jeong, D. K. [Jeju National University, Faculty of Biotechnology (Korea, Republic of); Suresh, R.; Lee, H. J., E-mail: hjlee@jejunu.ac.kr [Jeju National University, Department of Nuclear and Energy Engineering (Korea, Republic of)

    2017-03-15

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O{sub 2}) injected and hydrogen peroxide (H{sub 2}O{sub 2}) added discharge in water was achieved. The effect of H{sub 2}O{sub 2} dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H{sub 2}O{sub 2} addition with O{sub 2} injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH{sup •}, H, and O). Interestingly, the results demonstrated that O{sub 2} injected and H{sub 2}O{sub 2} added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  10. Oxide cathodes produced by plasma deposition

    International Nuclear Information System (INIS)

    Scheitrum, G.; Caryotakis, G.; Pi, T.; Umstattd, R.; Brown, I.; Montiero, O.

    1997-01-01

    These are two distinct applications for high-current-density, long-life thermionic cathodes. The first application is as a substitute for explosive emission cathodes used in high-power microwave (HPM) devices being developed for Air Force programs. The second application is in SLAC's X-band klystrons for the Next Linear Collider (NLC). SLAC, UCD, and LBL are developing a plasma deposition process that eliminates the problems with binders, carbonate reduction, peeling, and porosity. The emission layer is deposited using plasma deposition of metallic barium in vacuum with an oxygen background gas. An applied bias voltage drives the oxide plasma into the nickel surface. Since the oxide is deposited directly, it does not have problems with poisoning from a hydrocarbon binder. The density of the oxide layer is increased from the 40--50% for standard oxide cathodes to nearly 100% for plasma deposition

  11. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  12. Investigating Tribological Characteristics of HVOF Sprayed AISI 316 Stainless Steel Coating by Pulsed Plasma Nitriding

    Science.gov (United States)

    Mindivan, H.

    2018-01-01

    In this study, surface modification of aluminum alloy using High-Velocity Oxygen Fuel (HVOF) thermal spray and pulsed plasma nitriding processes was investigated. AISI 316 stainless steel coating on 1050 aluminum alloy substrate by HVOF process was pulsed plasma nitrided at 793 K under 0.00025 MPa pressure for 43200 s in a gas mixture of 75 % N2 and 25 % H2. The results showed that the pulse plasma nitriding process produced a surface layer with CrN, iron nitrides (Fe3N, Fe4N) and expanded austenite (γN). The pulsed plasma nitrided HVOF-sprayed coating showed higher surface hardness, lower wear rate and coefficient of friction than the untreated HVOF-sprayed one.

  13. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  14. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  15. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  16. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  17. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  18. Effect of oxygen partial pressure on oxidation of Mo-metal

    Science.gov (United States)

    Sharma, Rabindar Kumar; Kumar, Prabhat; Singh, Megha; Gopal, Pawar; Reddy, G. B.

    2018-05-01

    This report explains the effect of oxygen partial pressure (PO2 ) on oxidation of Mo-metal in oxygen plasma. XRD results indulge that oxide layers formed on Mo-surfaces at different oxygen partial pressures have two different oxide phases (i.e. orthorhombic MoO3 and monoclinic Mo8O23). Intense XRD peaks at high pressure (i.e. 2.0×10-1 Torr) points out the formation of thick oxide layer on Mo-surface due to presence of large oxygen species in chamber and less oxide volatilization. Whereas, at low PO2 (6.5×10-2 and 7.5×10-2 Torr.) the reduced peak strength is owing to high oxide volatilization rate. SEM micrographs and thickness measurements also support XRD results and confirm that the optimum -2value of PO2 to deposited thicker and uniform oxide film on glass substrate is 7.5×10-2 Torr through plasma assistedoxidation process. Further to study the compositional properties, EDX of the sample M2 (the best sample) is carried out, which confirms that the stoichiometric ratio is less than 3 (i.e. 2.88). Less stoichiometric ratio again confirms the presence of sub oxides in oxide layers on Mo metal as evidenced by XRD results. All the observed results are well in consonance with each other.

  19. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  20. Surface modification of a natural zeolite by treatment with cold oxygen plasma: Characterization and application in water treatment

    Science.gov (United States)

    De Velasco-Maldonado, Paola S.; Hernández-Montoya, Virginia; Montes-Morán, Miguel A.; Vázquez, Norma Aurea-Rangel; Pérez-Cruz, Ma. Ana

    2018-03-01

    In the present work the possible surface modification of natural zeolite using cold oxygen plasma was studied. The sample with and without treatment was characterized using nitrogen adsorption isotherms at -196 °C, FT-IR spectroscopy, SEM/EDX analysis and X-Ray Diffraction. Additionally, the two samples were used for the removal of lead and acid, basic, reactive and food dyes in batch systems. The natural zeolite was found to be a mesoporous material with a low specific surface area (23 m2/g). X-ray patterns confirmed that clinoptilolite was the main crystal structure present in the natural zeolite. The molecular properties of dyes and the zeolitic structure were studied using molecular simulation, with the purpose to understand the adsorption mechanism. The results pointed out that only the roughness of the clinoptilolite was affected by the plasma treatment, whereas the specific surface area, chemical functionality and crystal structure remained constant. Finally, adsorption results confirmed that the plasma treatment had no significant effects on the dyes and lead retention capacities of the natural zeolite.

  1. PULLOUT BEHAVIOR OF OXYGEN PLASMA TREATED POLYMER FIBERS FROM CEMENT MATRIX

    Directory of Open Access Journals (Sweden)

    Jan Trejbal

    2017-11-01

    Full Text Available The aim of this work is to describe bonding properties between surface treated polymer fibers and a cement matrix. In order to increase an interaction between the matrix and fiber surfaces, two fiber types having approx. 0.5 mm in diameter were modified by mean of oxygen plasma treatment. Surface physical changes of treated fibers were examined using SEM morphology observation and interfacial adhesion mechanical tests. The principle of mechanical tests rested on a single fiber pulling out from the matrix (cement paste, CEM I 42.5 R, w/c 0.4. The embedded length was equal to 50 % of original fiber length (50 mm, where the fiber free-end displacement and force resisting to the displacement were monitored. It was pointed out that interfacial shear stress needed to break the bond between the modified fibers and the matrix increased almost by 15–65 % if compared to reference fibers. When the fiber free-end displacement reached to 3.5 mm, the shear strength increased almost twice.

  2. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O{sub 2} induced remote plasma: effect of oxygen fraction

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091 Damascus (Syrian Arab Republic)], E-mail: scientific6@aec.org.sy

    2008-09-07

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O{sub 2} mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ({chi}{sub O{sub 2}}=0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at ({chi}{sub O{sub 2}}=0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease ({approx}one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O{sub 2} mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm{sup -1}) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  3. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  4. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  5. Dielectric barrier discharge plasma treatment of cellulose nanofibre surfaces

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Madsen, Bo; Berglund, Linn

    2017-01-01

    on the nanofibre surface. Ultrasonic irradiation further enhanced the wetting and oxidation of the nanofibre coating. Scanning electron microscopic observations showed skeleton-like features on the plasma-treated surface, indicating preferential etching of weaker domains, such as low-molecular weight domains......Dielectric barrier discharge plasma treatment was applied to modify cellulose nanofibre (CNF) surfaces with and without ultrasonic irradiation. The plasma treatment improved the wetting by deionised water and glycerol, and increased the contents of oxygen, carbonyl group, and carboxyl group...... and amorphous phases. Ultrasonic irradiation also improved the uniformity of the treatment. Altogether, it is demonstrated that atmospheric pressure plasma treatment is a promising technique to modify the CNF surface before composite processing....

  6. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  7. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  8. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  9. Smart coating process of proton-exchange membrane for polymer electrolyte fuel cell

    International Nuclear Information System (INIS)

    Leu, Hoang-Jyh; Chiu, Kuo-Feng; Lin, Chiu-Yue

    2013-01-01

    Highlights: ► Using oxygen plasma and smart coating technique for membrane modification. ► Oxygen plasma treatment can increase the reaction area of the membrane. ► AFM, SEM, FT-IR, XPS, EIS spectra can prove the surface treatment process. ► Nafion membrane modification can reduce Rct and enhance current density. - Abstract: The interfaces of electrolyte|catalyst|electrode play an important role in the performance of proton-exchange membrane fuel cells (PEMFCs). Increasing the interface effective area and lowering the charge transfer resistance of the interface are significant issues to promote the cell performance. In this study, oxygen plasma treatment was used to increase the surface roughness of Nafion®117 membrane, and then a smart coating process was applied to fabricate the initial Pt/C catalyst layer, which served to reduce the charge transfer resistance of the interface. The morphology and surface characteristics of membranes have been qualified by scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy. These results show that the plasma treatments and smart coating processes were effective in reducing the interface charge transfer resistance. At optimal condition, the interface charge transfer resistance was 0.45 Ω/cm 2 which was 1–2 order less than the untreated ones

  10. A dc non-thermal atmospheric-pressure plasma microjet

    Science.gov (United States)

    Zhu, WeiDong; Lopez, Jose L.

    2012-06-01

    A direct current (dc), non-thermal, atmospheric-pressure plasma microjet is generated with helium/oxygen gas mixture as working gas. The electrical property is characterized as a function of the oxygen concentration and show distinctive regions of operation. Side-on images of the jet were taken to analyze the mode of operation as well as the jet length. A self-pulsed mode is observed before the transition of the discharge to normal glow mode. Optical emission spectroscopy is employed from both end-on and side-on along the jet to analyze the reactive species generated in the plasma. Line emissions from atomic oxygen (at 777.4 nm) and helium (at 706.5 nm) were studied with respect to the oxygen volume percentage in the working gas, flow rate and discharge current. Optical emission intensities of Cu and OH are found to depend heavily on the oxygen concentration in the working gas. Ozone concentration measured in a semi-confined zone in front of the plasma jet is found to be from tens to ˜120 ppm. The results presented here demonstrate potential pathways for the adjustment and tuning of various plasma parameters such as reactive species selectivity and quantities or even ultraviolet emission intensities manipulation in an atmospheric-pressure non-thermal plasma source. The possibilities of fine tuning these plasma species allows for enhanced applications in health and medical related areas.

  11. A dc non-thermal atmospheric-pressure plasma microjet

    International Nuclear Information System (INIS)

    Zhu Weidong; Lopez, Jose L

    2012-01-01

    A direct current (dc), non-thermal, atmospheric-pressure plasma microjet is generated with helium/oxygen gas mixture as working gas. The electrical property is characterized as a function of the oxygen concentration and show distinctive regions of operation. Side-on images of the jet were taken to analyze the mode of operation as well as the jet length. A self-pulsed mode is observed before the transition of the discharge to normal glow mode. Optical emission spectroscopy is employed from both end-on and side-on along the jet to analyze the reactive species generated in the plasma. Line emissions from atomic oxygen (at 777.4 nm) and helium (at 706.5 nm) were studied with respect to the oxygen volume percentage in the working gas, flow rate and discharge current. Optical emission intensities of Cu and OH are found to depend heavily on the oxygen concentration in the working gas. Ozone concentration measured in a semi-confined zone in front of the plasma jet is found to be from tens to ∼120 ppm. The results presented here demonstrate potential pathways for the adjustment and tuning of various plasma parameters such as reactive species selectivity and quantities or even ultraviolet emission intensities manipulation in an atmospheric-pressure non-thermal plasma source. The possibilities of fine tuning these plasma species allows for enhanced applications in health and medical related areas. (paper)

  12. Zirconium dioxide ultrafine powders formation in ultra-high frequency discharge plasma

    International Nuclear Information System (INIS)

    Triotskij, V.N.; Kurkin, E.N.; Torbov, V.I.; Berestenko, V.I.; Torbova, O.D.; Gurov, S.V.; Alekseev, N.V.

    1995-01-01

    ZrO 2 fine powders of 30...60 nm particle size were synthesized by ZrCl 4 oxidation in a flow of oxygen microwave plasma. Oxygen flow rate and ZrCl 4 feeding rate were the defining parameters effecting on powder particles size at constant discharge power.At predominant contribution of the coalescence process into ZrO 2 powder particles formation their heterogeneous growth was shown necessary to take into account. 16 refs.; 5 figs

  13. Influence of operating parameters on surface properties of RF glow discharge oxygen plasma treated TiO{sub 2}/PET film for biomedical application

    Energy Technology Data Exchange (ETDEWEB)

    Pandiyaraj, K. Navaneetha, E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L and T by pass, Chinniyam Palayam (post), Coimbatore 641062 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Mahendiran, R. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L and T by pass, Chinniyam Palayam (post), Coimbatore 641062 (India); Su, Pi-G [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Yassitepe, Emre; Shah, Ismat [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark (United States); Perni, Stefano [School of Pharmacy and Pharmaceutical Sciences, Cardiff University, Cardiff (United Kingdom); Prokopovich, Polina [School of Pharmacy and Pharmaceutical Sciences, Cardiff University, Cardiff (United Kingdom); Institute of Medical Engineering and Medical Physics, School of Engineering, Cardiff University (United Kingdom); Nadagouda, Mallikarjuna N., E-mail: Nadagouda.Mallikarjuna@epamail.epa.gov [The U.S. Environmental Protection Agency, ORD, NRMRL, WSWRD, 26W. Martin Luther King Drive, Cincinnati, OH 45268 (United States)

    2014-03-01

    In this paper, a thin transparent titania (TiO{sub 2}) film was coated on the surface of flexible poly(ethylene terephthalate) (PET) film using the sol–gel method. The surface properties of the obtained TiO{sub 2}/PET film were further improved by RF glow discharge oxygen plasma as a function of exposure time and discharge power. The changes in hydrophilicity of TiO{sub 2}/PET films were analyzed by contact angle measurements and surface energy. The influence of plasma on the surface of the TiO{sub 2}/PET films was analyzed by atomic force microscopy (AFM) as well as the change in chemical state and composition that were investigated by X-ray photo electron spectroscopy (XPS). The cytotoxicity of the TiO{sub 2}/PET films was analyzed using human osteoblast cells and the bacterial eradication behaviors of TiO{sub 2}/PET films were also evaluated against Staphylococcus bacteria. It was found that the surface roughness and incorporation of oxygen containing polar functional groups of the plasma treated TiO{sub 2}/PET films increased substantially as compared to the untreated one. Moreover the increased concentration of Ti{sup 3+} on the surface of plasma treated TiO{sub 2}/PET films was due to the transformation of chemical states (Ti{sup 4+} → Ti{sup 3+}). These morphological and chemical changes are responsible for enhanced hydrophilicity of the TiO{sub 2}/PET films. Furthermore, the plasma treated TiO{sub 2}/PET film exhibited no citotoxicity against osteoblast cells and antibacterial activity against Staphylococcus bacteria which can find application in manufacturing of biomedical devices. - Graphical abstract: Mechanism of plasma treatment on the surface of TiO{sub 2}/PET films. - Highlights: • Investigated the surface properties of TiO{sub 2}/PET films modified by O{sub 2} plasma • Studied the effect of operating parameters on surface properties of TiO{sub 2}/PET films • Mechanism of the plasma treatment on TiO{sub 2}/PET was clearly investigated.

  14. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  15. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  16. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  17. Gene Transfection Method Using Atmospheric Pressure Dielectric-Barrier Discharge Plasmas

    Science.gov (United States)

    Sasaki, Shota; Kanzaki, Makoto; Kaneko, Toshiro

    2013-09-01

    Gene transfection which is the process of deliberately introducing nucleic acids into cells is expected to play an important role in medical treatment because the process is necessary for gene therapy and creation of induced pluripotent stem (iPS) cells. However, the conventional transfection methods have some problems, so we focus attention on promising transfection methods by atmospheric pressure dielectric-barrier discharge (AP-DBD) plasmas. AP-DBD He plasmas are irradiated to the living cell covered with genes. Preliminarily, we use fluorescent dye YOYO-1 instead of the genes and use LIVE/DEAD Stain for cell viability test, and we analyze the transfection efficiency and cell viability under the various conditions. It is clarified that the transfection efficiency is strongly dependence on the plasma irradiation time and cell viability rates is high rates (>90%) regardless of long plasma irradiation time. These results suggest that ROS (Reactive Oxygen Species) and electric field generated by the plasma affect the gene transfection. In addition to this (the plasma irradiation time) dependency, we now investigate the effect of the plasma irradiation under the various conditions.

  18. Research on killing Escherichia Coli by reactive oxygen species based on strong ionization discharging plasma

    International Nuclear Information System (INIS)

    Li, Y J; Tian, Y P; Zhang, Z T; Li, R H; Cai, L J; Gao, J Y

    2013-01-01

    Reactive oxygen species solution produced by strong ionization discharging plasma was used to kill Escherichia coli by spraying. Several effect factors such as pH value, solution temperature, spraying time and exposure time were observed in this study, and their effects on killing rate of Escherichia coli were discussed and analysed. Results show that the treating efficiency of ROS solution for Escherichia coli is higher in alkaline solution than that in acid solution. The killing rate of Escherichia coli increases while the spraying time and exposure time are longer and the temperature is lower. The effects of different factors on killing rate of Escherichia coli are as follows: spraying time > pH value > exposure time > solution temperature.

  19. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  20. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined