WorldWideScience

Sample records for oxidized si substrates

  1. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  2. XPS study of graphene oxide reduction induced by (100) and (111)-oriented Si substrates

    Science.gov (United States)

    Priante, F.; Salim, M.; Ottaviano, L.; Perrozzi, F.

    2018-02-01

    The reduction of graphene oxide (GO) has been extensively studied in literature in order to let GO partially recover the properties of graphene. Most of the techniques proposed to reduce GO are based on high temperature annealing or chemical reduction. A new procedure, based on the direct reduction of GO by etched Si substrate, was recently proposed in literature. In the present work, we accurately investigated the Si-GO interaction with x-ray photoelectron spectroscopy. In order to avoid external substrate oxidation factors we used EtOH as the GO solvent instead of water, and thermal annealing was carried out in UHV. We investigated the effect of Si(100), Si(111) and Au substrates on GO, to probe the role played by both the substrate composition and substrate orientation during the reduction process. A similar degree of GO reduction was observed for all samples but only after thermal annealing, ruling out the direct reduction effect of the substrate.

  3. In-situ GISAXS study on the oxidation behavior of liquid Ga on Ni(Cu)/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Weidong [College of Materials Science and Engineering, Qiqihar University, Qiqihar 161006 (China); Liu, Mingling [Department of Mechanical and Electrical Engineering, Qinhuangdao Institute of Technology, Qinhuangdao 066100 (China); Wu, Zhaojun [Department of Practice Teaching and Equipment Management, Qiqihar University, Qiqihar 161006 (China); Xing, Xueqing; Mo, Guang; Wu, Zhonghua [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China); Liu, Hong, E-mail: lhong68@sina.com.cn [School of Biomedical Engineering, Capital Medical University, Beijing 100069 (China)

    2015-11-01

    Liquid Ga could be used as a flexible heat-transfer medium or contact medium in the synchrotron-radiation-based instruments. The chemical stability of liquid Ga on other metal surface determines the serviceability of liquid Ga. In this paper, the oxidation evolutions of liquid Ga on Ni and Cu substrates have been investigated by in-situ grazing incidence small angle X-ray scattering (GISAXS) as a function of substrate temperature. The liquid Ga on Ni and Cu substrates shows different oxidation behaviors. A successive and slower oxidation from oxide clusters to oxide layer takes place with temperature increasing from 25 to 190 °C on the surface of the Ga/Ni/Si specimen, but a quick oxidation occurs on the entire surface of the Ga/Cu/Si specimen at the initial 25 °C. The subsequent heating increases the surface roughness of both liquid Ga, but increases simultaneously the surface curvature of the Ga/Cu/Si specimen. The understanding of the substrate-dependent oxidation behavior of liquid Ga is beneficial to its application as a heat-transfer medium.

  4. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  5. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  6. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  7. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  8. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  9. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  10. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  11. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  12. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    Science.gov (United States)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  13. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  14. In-situ fabrication of MoSi2/SiC–Mo2C gradient anti-oxidation coating on Mo substrate and the crucial effect of Mo2C barrier layer at high temperature

    International Nuclear Information System (INIS)

    Liu, Jun; Gong, Qianming; Shao, Yang; Zhuang, Daming; Liang, Ji

    2014-01-01

    MoSi 2 /SiC–Mo 2 C gradient coating on molybdenum was in situ prepared with pack cementation process by two steps: (1) carburizing with graphite powder to obtain a Mo 2 C layer on Mo substrate, and (2) siliconizing with Si powder to get a composite MoSi 2 /SiC layer on the upper part of Mo 2 C layer. The microstructure and elemental distribution in the coating were investigated with scanning electron microscopy (SEM), backscattered electron (BSE), energy dispersive spectroscopy (EDS), electron probe microanalysis (EPMA) and X-ray diffraction (XRD). Cyclic oxidation tests (at 500 °C, 1200 °C, 1400 °C and 1600 °C) demonstrated excellent oxidation resistance for the gradient composite coating and the mass loss was only 0.23% in 60 min at 1600 °C. XRD, EPMA, thermal dynamic and phase diagram analyses indicated that the Mo 2 C barrier layer played the key role in slowing down the diffusion of C and Si toward inner Mo substrate at high temperature and principally this contributed to the excellent anti-oxidation for Mo besides the outer MoSi 2 /SiC composite layer.

  15. Mo-Si-B-Based Coatings for Ceramic Base Substrates

    Science.gov (United States)

    Perepezko, John Harry (Inventor); Sakidja, Ridwan (Inventor); Ritt, Patrick (Inventor)

    2015-01-01

    Alumina-containing coatings based on molybdenum (Mo), silicon (Si), and boron (B) ("MoSiB coatings") that form protective, oxidation-resistant scales on ceramic substrate at high temperatures are provided. The protective scales comprise an aluminoborosilicate glass, and may additionally contain molybdenum. Two-stage deposition methods for forming the coatings are also provided.

  16. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  17. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  18. Growth of epitaxially oriented Ag nanoislands on air-oxidized Si(1 1 1)-(7 × 7) surfaces: Influence of short-range order on the substrate

    International Nuclear Information System (INIS)

    Roy, Anupam; Bhattacharjee, K.; Ghatak, J.; Dev, B.N.

    2012-01-01

    Clean Si(1 1 1)-(7 × 7) surfaces, followed by air-exposure, have been investigated by reflection high-energy electron diffraction (RHEED) and scanning tunneling microscopy (STM). Fourier transforms (FTs) of STM images show the presence of short-range (7 × 7) order on the air-oxidized surface. Comparison with FTs of STM images from a clean Si(1 1 1)-(7 × 7) surface shows that only the 1/7th order spots are present on the air-oxidized surface. The oxide layer is ∼2-3 nm thick, as revealed by cross-sectional transmission electron microscopy (XTEM). Growth of Ag islands on these air-oxidized Si(1 1 1)-(7 × 7) surfaces has been investigated by in situ RHEED and STM and ex situ XTEM and scanning electron microscopy. Ag deposition at room temperature leads to the growth of randomly oriented Ag islands while preferred orientation evolves when Ag is deposited at higher substrate temperatures. For deposition at 550 °C face centered cubic Ag nanoislands grow with a predominant epitaxial orientation [11 ¯ 0] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si along with its twin [1 ¯ 10] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si , as observed for epitaxial growth of Ag on Si(1 1 1) surfaces. The twins are thus rotated by a 180° rotation of the Ag unit cell about the Si[1 1 1] axis. It is intriguing that Ag nanoislands follow an epitaxial relationship with the Si(1 1 1) substrate in spite of the presence of a 2-3 nm thick oxide layer between Ag and Si. Apparently the short-range order on the oxide surface influences the crystallographic orientation of the Ag nanoislands.

  19. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  20. Reaction mechanisms at 4H-SiC/SiO2 interface during wet SiC oxidation

    Science.gov (United States)

    Akiyama, Toru; Hori, Shinsuke; Nakamura, Kohji; Ito, Tomonori; Kageshima, Hiroyuki; Uematsu, Masashi; Shiraishi, Kenji

    2018-04-01

    The reaction processes at the interface between SiC with 4H structure (4H-SiC) and SiO2 during wet oxidation are investigated by electronic structure calculations within the density functional theory. Our calculations for 4H-SiC/SiO2 interfaces with various orientations demonstrate characteristic features of the reaction depending on the crystal orientation of SiC: On the Si-face, the H2O molecule is stable in SiO2 and hardly reacts with the SiC substrate, while the O atom of H2O can form Si-O bonds at the C-face interface. Two OH groups are found to be at least necessary for forming new Si-O bonds at the Si-face interface, indicating that the oxidation rate on the Si-face is very low compared with that on the C-face. On the other hand, both the H2O molecule and the OH group are incorporated into the C-face interface, and the energy barrier for OH is similar to that for H2O. By comparing the calculated energy barriers for these reactants with the activation energies of oxide growth rate, we suggest the orientation-dependent rate-limiting processes during wet SiC oxidation.

  1. In-situ fabrication of MoSi{sub 2}/SiC–Mo{sub 2}C gradient anti-oxidation coating on Mo substrate and the crucial effect of Mo{sub 2}C barrier layer at high temperature

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jun [School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); State Key Laboratory of New Ceramics and Fine Processing, Beijing 100084 (China); Gong, Qianming, E-mail: gongqianming@mail.tsinghua.edu.cn [School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); State Key Laboratory of New Ceramics and Fine Processing, Beijing 100084 (China); Shao, Yang; Zhuang, Daming [School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); State Key Laboratory of New Ceramics and Fine Processing, Beijing 100084 (China); Liang, Ji [Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); Department of Mechanical Engineering, Tsinghua University, Beijing 100084 (China)

    2014-07-01

    MoSi{sub 2}/SiC–Mo{sub 2}C gradient coating on molybdenum was in situ prepared with pack cementation process by two steps: (1) carburizing with graphite powder to obtain a Mo{sub 2}C layer on Mo substrate, and (2) siliconizing with Si powder to get a composite MoSi{sub 2}/SiC layer on the upper part of Mo{sub 2}C layer. The microstructure and elemental distribution in the coating were investigated with scanning electron microscopy (SEM), backscattered electron (BSE), energy dispersive spectroscopy (EDS), electron probe microanalysis (EPMA) and X-ray diffraction (XRD). Cyclic oxidation tests (at 500 °C, 1200 °C, 1400 °C and 1600 °C) demonstrated excellent oxidation resistance for the gradient composite coating and the mass loss was only 0.23% in 60 min at 1600 °C. XRD, EPMA, thermal dynamic and phase diagram analyses indicated that the Mo{sub 2}C barrier layer played the key role in slowing down the diffusion of C and Si toward inner Mo substrate at high temperature and principally this contributed to the excellent anti-oxidation for Mo besides the outer MoSi{sub 2}/SiC composite layer.

  2. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  3. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  4. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  5. Si0.85Ge0.15 oxynitridation in nitric oxide/nitrous oxide ambient

    International Nuclear Information System (INIS)

    Dasgupta, Anindya; Takoudis, Christos G.; Lei Yuanyuan; Browning, Nigel D.

    2003-01-01

    Low temperature, nitric oxide (NO)/nitrous oxide (N 2 O) aided, sub-35 Aa Si 0.85 Ge 0.15 oxynitrides have been grown at 550 and 650 deg. C, while the oxynitridation feed gases have been preheated to 900 and 1000 deg. C, respectively, before entering the reaction zone. X-ray photoelectron spectroscopy and secondary ion mass spectroscopy (SIMS) data suggest that NO-assisted oxynitridation incorporates more nitrogen than the N 2 O-assisted one, while there is minimal Ge segregation towards the dielectric/substrate interface in both oxynitridation processes. Moreover, SIMS results suggest that nitrogen is distributed throughout the film in contrast to high temperature Si oxynitridation, where nitrogen incorporation takes place near the dielectric/substrate interface. Z-contrast imaging with scanning transmission electron microscopy shows that the oxynitride grown in NO at 650 degree sign C has a sharp interface with the bulk Si 0.85 Ge 0.15 , while the roughness of the dielectric/Si 0.85 Ge 0.15 substrate interface is less than 2 Aa. These results are discussed in the context of an overall mechanism of SiGe oxynitridation

  6. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  7. Fabrication of CoPd alloy nanowire arrays on an anodic aluminum oxide/Ti/Si substrate and their enhanced magnetic properties

    International Nuclear Information System (INIS)

    Xu Cailing; Li Hua; Xue Tong; Li Hulin

    2006-01-01

    An anodic aluminum oxide/Ti/Si substrate was successfully synthesized by the anodization of an aluminum film on a Ti/Si substrate and then used as a template to grow 10 nm diameter CoPd alloy nanowires. X-ray diffraction and energy-dispersed X-ray patterns indicated that Co 0.97 Pd 0.03 nanowire arrays with a preferential orientation of (0 0 2) were formed during electrodeposition. High coercivity (about 1700 Oe) and squareness (about 0.85) were obtained in the samples when the magnetic field was applied parallel to the axis of the nanowires; these values are much larger than those of pure Co nanowire arrays with the same diameters

  8. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  9. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  10. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  11. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  12. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  13. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  14. First-principles study on the effect of SiO{sub 2} layers during oxidation of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Ono, Tomoya, E-mail: ono@ccs.tsukuba.ac.jp [Center for Computational Sciences, University of Tsukuba, Tsukuba, Ibaraki 305-8577 (Japan); JST-PRESTO, Kawaguchi, Saitama 332-0012 (Japan); Saito, Shoichiro [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan)

    2015-02-23

    The effect of SiO{sub 2} layers during the thermal oxidation of a 4H-SiC(0001) substrate is examined by performing the first-principles total-energy calculations. Although it is expected that a CO molecule is the most preferable product during the oxidation, CO{sub 2} molecules are mainly emitted from the SiC surface at the initial stage of the oxidation. As the oxidation proceeds, CO{sub 2} emission becomes less favorable and CO molecules are emitted from the interface. We conclude that the interface stress due to the lattice constant mismatch between 4H-SiC(0001) and SiO{sub 2} is responsible for the removal of C during the oxidation, resulting in the characteristic electronic property of the interface fabricated by the thermal oxidation.

  15. Internal photoemission study on charge trapping behavior in rapid thermal oxides on strained-Si/SiGe heterolayers

    International Nuclear Information System (INIS)

    Bera, M.K.; Mahata, C.; Bhattacharya, S.; Chakraborty, A.K.; Armstrong, B.M.; Gamble, H.S.; Maiti, C.K.

    2008-01-01

    A comparative study on the nature of defects and their relationship to charge trapping with enhanced photosensitivity has been investigated through magnetic resonance and internal photoemission (IPE) experiments for rapid thermal grown oxides (RTO) on strained-Si/Si 0.8 Ge 0.2 and on co-processed bulk-Si (1 0 0) substrates. Both the band and defect-related electronic states were characterized through EPR, IPE, C-V and I-V measurements under UV-illumination. Surface chemical characterization of as-grown ultrathin oxides (5-7 nm) has been performed using high-resolution XPS. Enhancement in Ge-segregation with increasing oxidation temperature is reported. Comparative studies on interface properties and leakage current behavior of rapid thermal oxides have also been studied through fabricating metal-oxide-semiconductor capacitor structures. A degraded electrical property with increasing oxidation temperature is reported. Constant voltage stressing (CVS) in the range of 5.5-7 V was used to study the breakdown characteristics of different samples. We observe a distinguishably different time-to-breakdown (t bd ) phenomenon for bulk-Si and strained-Si/SiGe samples. Whereas the oxide on bulk-Si shows a typical breakdown behavior, the RTO grown oxide on strained-Si/SiGe samples showed a quasi-or soft-breakdown with lower t bd value. It may be pointed out that quasi-breakdown may be a stronger reliability limiting factor for strained-Si/SiGe devices in the oxide thickness range studied

  16. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  17. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  18. Mechanics of patterned helical Si springs on Si substrate.

    Science.gov (United States)

    Liu, D L; Ye, D X; Khan, F; Tang, F; Lim, B K; Picu, R C; Wang, G C; Lu, T M

    2003-12-01

    The elastic response, including the spring constant, of individual Si helical-shape submicron springs, was measured using a tip-cantilever assembly attached to a conventional atomic force microscope. The isolated, four-turn Si springs were fabricated using oblique angle deposition with substrate rotation, also known as the glancing angle deposition, on a templated Si substrate. The response of the structures was modeled using finite elements, and it was shown that the conventional formulae for the spring constant required modifications before they could be used for the loading scheme used in the present experiment.

  19. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  20. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    Science.gov (United States)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  1. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  2. Surface oxidation of porous ZrB2-SiC ceramic composites by continuous-wave ytterbium fibre laser

    International Nuclear Information System (INIS)

    Mahmod, Dayang Salyani Abang; Glandut, Nicolas; Khan, Amir Azam; Labbe, Jean-Claude

    2015-01-01

    Highlights: • Surface oxidation of ZrB 2 -SiC ceramic composites by Yb-fibre laser. • Round spiral laser pattern created for the surface oxidation. • Presence of laser-formed oxide scale and unaffected beneath regions. • Crazed but uncracked surface oxide. • A dense glassy SiO 2 -rich layer exhibited enhances oxidation resistance. - Abstract: Surface treatment of ceramic substrates by a laser beam can allow to incorporate interesting properties to these ceramics. In the present work, surface oxidation of ca. 30% porous ZrB 2 -SiC ceramic composites by using an ytterbium fibre laser was conducted. Oxidation of ceramic substrates through this process under ambient conditions has certain advantages compared to the classical oxidation method. A particular spiral laser pattern was created in order to produce an oxidized structure on ZrB 2 -SiC porous substrates. The laser parameters were as follows i.e., laser power of 50, 60 and 70 W, a beam diameter of 1.25 mm, velocity of 2 mm/s, acceleration and deceleration of 1 mm/s 2 . The microstructural and morphological changes in the laser-treated region was examined using scanning electron microscopy, energy dispersive X-ray spectroscopy, and X-ray diffraction. At laser power of 70 W, the sample exhibits uniform oxidation. It revealed that the very porous bulk beneath remained unaffected and unoxidized because this laser-formed oxide scale protects the substrate from oxidation. The presence of oxidized and unaffected regions indicated a high degree of heat localization. The dense glassy SiO 2 -rich layer prevents the inward oxygen diffusion into the inner bulk hence enhances the oxidation resistance.

  3. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  4. Surface oxidation of porous ZrB{sub 2}-SiC ceramic composites by continuous-wave ytterbium fibre laser

    Energy Technology Data Exchange (ETDEWEB)

    Mahmod, Dayang Salyani Abang, E-mail: dygsalyani@gmail.com [Department of Mechanical and Manufacturing Engineering, Faculty of Engineering, Universiti Malaysia Sarawak, 94300 Kota Samarahan, Sarawak (Malaysia); Glandut, Nicolas [SPCTS, UMR 7315, CNRS, University of Limoges, European Ceramic Center, 12 Rue Atlantis, 87068 Limoges (France); Khan, Amir Azam [Department of Mechanical and Manufacturing Engineering, Faculty of Engineering, Universiti Malaysia Sarawak, 94300 Kota Samarahan, Sarawak (Malaysia); Labbe, Jean-Claude [SPCTS, UMR 7315, CNRS, University of Limoges, European Ceramic Center, 12 Rue Atlantis, 87068 Limoges (France)

    2015-12-01

    Highlights: • Surface oxidation of ZrB{sub 2}-SiC ceramic composites by Yb-fibre laser. • Round spiral laser pattern created for the surface oxidation. • Presence of laser-formed oxide scale and unaffected beneath regions. • Crazed but uncracked surface oxide. • A dense glassy SiO{sub 2}-rich layer exhibited enhances oxidation resistance. - Abstract: Surface treatment of ceramic substrates by a laser beam can allow to incorporate interesting properties to these ceramics. In the present work, surface oxidation of ca. 30% porous ZrB{sub 2}-SiC ceramic composites by using an ytterbium fibre laser was conducted. Oxidation of ceramic substrates through this process under ambient conditions has certain advantages compared to the classical oxidation method. A particular spiral laser pattern was created in order to produce an oxidized structure on ZrB{sub 2}-SiC porous substrates. The laser parameters were as follows i.e., laser power of 50, 60 and 70 W, a beam diameter of 1.25 mm, velocity of 2 mm/s, acceleration and deceleration of 1 mm/s{sup 2}. The microstructural and morphological changes in the laser-treated region was examined using scanning electron microscopy, energy dispersive X-ray spectroscopy, and X-ray diffraction. At laser power of 70 W, the sample exhibits uniform oxidation. It revealed that the very porous bulk beneath remained unaffected and unoxidized because this laser-formed oxide scale protects the substrate from oxidation. The presence of oxidized and unaffected regions indicated a high degree of heat localization. The dense glassy SiO{sub 2}-rich layer prevents the inward oxygen diffusion into the inner bulk hence enhances the oxidation resistance.

  5. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  6. Solid source growth of Si oxide nanowires promoted by carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Congxiang [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Wen-wen; Wang, Xingli [Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Li, Xiaocheng [Laboratory of clean energy chemistry and materials, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, No. 18 Tianshui Middle Road, Lanzhou 730000 (China); Tan, Chong Wei [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Tay, Beng Kang, E-mail: ebktay@ntu.edu.sg [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Coquet, Philippe [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore)

    2014-09-30

    Highlights: • An array of well aligned and uniform CNTs is successfully fabricated by PECVD. • SiONW growth utilizes Si substrate as the source, ruling out the usage of silane. • With CNT array on the substrate, SiONW growth is improved significantly. • CNTs help dispersion of the catalysts and diffusion of the Si atoms. - Abstract: We report a method to promote solid source growth of Si oxide nanowires (SiONWs) by using an array of vertically aligned carbon nanotubes (CNTs). It starts with the fabrication of CNT array by plasma enhanced chemical vapor deposition (PECVD) on Si wafers, followed by growth of SiONWs. Herein, CNTs serve as a scaffold, which helps the dispersion of catalysts for SiONWs and also provides space for hydrogen which boosts the diffusion of Si atoms and hence formation of SiONWs. As the result, a three dimensional (3D) hybrid network of densely packed SiONWs and CNTs can be produced rapidly.

  7. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  8. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  9. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  10. Formation of oxide-trapped charges in 6H-SiC MOS structures

    Energy Technology Data Exchange (ETDEWEB)

    Yoshikawa, Masahito; Ohshima, Takeshi; Itoh, Hisayoshi; Nashiyama, Isamu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Okumura, Hajime; Yoshida, Sadafumi

    1997-03-01

    The silicon and the carbon faces of hexagonal silicon carbide (6H-SiC) substrates were oxidized pyrogenically at 1100degC, and the metal-oxide-semiconductor structures were formed on these faces. The MOS capacitors developed using the silicon and the carbon faces were irradiated with {sup 60}Co gamma-rays under argon atmosphere at room temperature. The bias voltages with the different polarity were applied to the gate electrode during irradiation to examine the formation mechanisms of the trapped charges in the oxides of these MOS capacitors. The amount of the trapped charges in the oxide were obtained from capacitance pulse voltage characteristics. The generation of the trapped charges are affects with not only the absorbed dose but also the bias polarity applied to the gate electrodes during irradiation. The formation mechanisms of the trapped charges in the oxides were estimated in conjunction with the surface orientation of 6H-SiC substrates. (author)

  11. Structural and electrical characterization of HBr/O2 plasma damage to Si substrate

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi; Minami, Masaki; Uesawa, Fumikatsu; Tatsumi, Tetsuya

    2011-01-01

    Silicon substrate damage caused by HBr/O 2 plasma exposure was investigated by spectroscopic ellipsometry (SE), high-resolution Rutherford backscattering spectroscopy, and transmission electron microscopy. The damage caused by H 2 , Ar, and O 2 plasma exposure was also compared to clarify the ion-species dependence. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. In the case of HBr/O 2 plasma exposure, hydrogen generated the deep damaged layer (∼10 nm), whereas ion-enhanced diffusion of oxygen, supplied simultaneously by the plasma, caused the thick surface oxidation. In-line monitoring of damage thicknesses by SE, developed with an optimized optical model, showed that the SE can be used to precisely monitor damage thicknesses in mass production. Capacitance-voltage (C-V) characteristics of a damaged layer were studied before and after diluted-HF (DHF) treatment. Results showed that a positive charge is generated at the surface oxide-dislocated Si interface and/or in the bulk oxide after plasma exposure. After DHF treatment, most of the positive charges were removed, while the thickness of the ''Si recess'' was increased by removing the thick surface oxidized layer. As both the Si recess and remaining dislocated Si, including positive charges, cause the degradation of electrical performance, precise monitoring of the surface structure and understanding its effect on device performance is indispensable for creating advanced devices.

  12. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  13. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  14. Microstructure, Wear Resistance and Oxidation Behavior of Ni-Ti-Si Coatings Fabricated on Ti6Al4V by Laser Cladding

    Directory of Open Access Journals (Sweden)

    Qiaoqiao Zhuang

    2017-10-01

    Full Text Available The Ni-Ti-Si composite coatings were successfully fabricated on Ti6Al4V by laser cladding. The microstructure were studied by SEM (scanning electron microscopy and EDS (energy dispersive spectrometer. It has been found that Ti2Ni and Ti5Si3 phases exist in all coatings, and some samples have TiSi2 phases. Moreover, due to the existence of these phases, coatings presented relatively higher microhardness than that of the substrate (826 HV (Vickers hardness and the microhardness value of coating 3 is about twice larger than that of the substrate. During the dry sliding friction and wear test, due to the distribution of the relatively ductile phase of Ti2Ni and reinforcement phases of Ti5Si3 and TiSi2, the coatings performed good wear resistance. The oxidation process contains two stages: the rapid oxidation and slow oxidation by high temperature oxidation test at 800 °C for 50 h. Meanwhile, the value of the oxidation weight gain of the substrate is approximately three times larger than that of the coating 4. During the oxidation process, the oxidation film formed on the coating is mainly consisted of TiO2, Al2O3 and SiO2. Phases Ti2Ni, Ti5Si3, TiSi2 and TiSi were still found and it could be responsible for the improvement in oxidation resistance of the coatings by laser cladding.

  15. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  16. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  17. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  18. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  19. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  20. Textured surface boron-doped ZnO transparent conductive oxides on polyethylene terephthalate substrates for Si-based thin film solar cells

    International Nuclear Information System (INIS)

    Chen Xinliang; Lin Quan; Ni Jian; Zhang Dekun; Sun Jian; Zhao Ying; Geng Xinhua

    2011-01-01

    Textured surface boron-doped zinc oxide (ZnO:B) thin films were directly grown via low pressure metal organic chemical vapor deposition (LP-MOCVD) on polyethylene terephthalate (PET) flexible substrates at low temperatures and high-efficiency flexible polymer silicon (Si) based thin film solar cells were obtained. High purity diethylzinc and water vapors were used as source materials, and diborane was used as an n-type dopant gas. P-i-n silicon layers were fabricated at ∼ 398 K by plasma enhanced chemical vapor deposition. These textured surface ZnO:B thin films on PET substrates (PET/ZnO:B) exhibit rough pyramid-like morphology with high transparencies (T ∼ 80%) and excellent electrical properties (Rs ∼ 10 Ω at d ∼ 1500 nm). Finally, the PET/ZnO:B thin films were applied in flexible p-i-n type silicon thin film solar cells (device structure: PET/ZnO:B/p-i-n a-Si:H/Al) with a high conversion efficiency of 6.32% (short-circuit current density J SC = 10.62 mA/cm 2 , open-circuit voltage V OC = 0.93 V and fill factor = 64%).

  1. Oxidation kinetics of Si and SiGe by dry rapid thermal oxidation, in-situ steam generation oxidation and dry furnace oxidation

    Science.gov (United States)

    Rozé, Fabien; Gourhant, Olivier; Blanquet, Elisabeth; Bertin, François; Juhel, Marc; Abbate, Francesco; Pribat, Clément; Duru, Romain

    2017-06-01

    The fabrication of ultrathin compressively strained SiGe-On-Insulator layers by the condensation technique is likely a key milestone towards low-power and high performances FD-SOI logic devices. However, the SiGe condensation technique still requires challenges to be solved for an optimized use in an industrial environment. SiGe oxidation kinetics, upon which the condensation technique is founded, has still not reached a consensus in spite of various studies which gave insights into the matter. This paper aims to bridge the gaps between these studies by covering various oxidation processes relevant to today's technological needs with a new and quantitative analysis methodology. We thus address oxidation kinetics of SiGe with three Ge concentrations (0%, 10%, and 30%) by means of dry rapid thermal oxidation, in-situ steam generation oxidation, and dry furnace oxidation. Oxide thicknesses in the 50 Å to 150 Å range grown with oxidation temperatures between 850 and 1100 °C were targeted. The present work shows first that for all investigated processes, oxidation follows a parabolic regime even for thin oxides, which indicates a diffusion-limited oxidation regime. We also observe that, for all investigated processes, the SiGe oxidation rate is systematically higher than that of Si. The amplitude of the variation of oxidation kinetics of SiGe with respect to Si is found to be strongly dependent on the process type. Second, a new quantitative analysis methodology of oxidation kinetics is introduced. This methodology allows us to highlight the dependence of oxidation kinetics on the Ge concentration at the oxidation interface, which is modulated by the pile-up mechanism. Our results show that the oxidation rate increases with the Ge concentration at the oxidation interface.

  2. Structural characterization of oxidized allotaxially grown CoSi2 layers by x-ray scattering

    International Nuclear Information System (INIS)

    Kaendler, I. D.; Seeck, O. H.; Schlomka, J.-P.; Tolan, M.; Press, W.; Stettner, J.; Kappius, L.; Dieker, C.; Mantl, S.

    2000-01-01

    A series of buried CoSi 2 layers prepared by a modified molecular beam epitaxy process (allotaxy) and a subsequent wet-oxidation process was investigated by x-ray scattering. The oxidation time which determines the depth in which the CoSi 2 layers are located within the Si substrates has been varied during the preparation. The electron density profiles and the structure of the interfaces were extracted from specular reflectivity and diffuse scattering measurements. Crystal truncation rod investigations yielded the structure on an atomic level (crystalline quality). It turns out that the roughness of the CoSi 2 layers increases drastically with increasing oxidation time, i.e., with increasing depth of the buried layers. Furthermore, the x-ray data reveal that the oxidation growth process is diffusion limited. (c) 2000 American Institute of Physics

  3. Separation of stress-free AlN/SiC thin films from Si substrate

    International Nuclear Information System (INIS)

    Redkov, A V; Osipov, A V; Mukhin, I S; Kukushkin, S A

    2016-01-01

    We separated AlN/SiC film from Si substrate by chemical etching of the AlN/SiC/Si heterostructure. The film fully repeats the size and geometry of the original sample and separated without destroying. It is demonstrated that a buffer layer of silicon carbide grown by a method of substitution of atoms may have an extensive hollow subsurface structure, which makes it easier to overcome the differences in the coefficients of thermal expansion during the growth of thin films. It is shown that after the separation of the film from the silicon substrate, mechanical stresses therein are almost absent. (paper)

  4. Parametrization of optical properties of indium-tin-oxide thin films by spectroscopic ellipsometry: Substrate interfacial reactivity

    Science.gov (United States)

    Losurdo, M.; Giangregorio, M.; Capezzuto, P.; Bruno, G.; de Rosa, R.; Roca, F.; Summonte, C.; Plá, J.; Rizzoli, R.

    2002-01-01

    Indium-tin-oxide (ITO) films deposited by sputtering and e-gun evaporation on both transparent (Corning glass) and opaque (c-Si, c-Si/SiO2) substrates and in c-Si/a-Si:H/ITO heterostructures have been analyzed by spectroscopic ellipsometry (SE) in the range 1.5-5.0 eV. Taking the SE advantage of being applicable to absorbent substrate, ellipsometry is used to determine the spectra of the refractive index and extinction coefficient of the ITO films. The effect of the substrate surface on the ITO optical properties is focused and discussed. To this aim, a parametrized equation combining the Drude model, which considers the free-carrier response at the infrared end, and a double Lorentzian oscillator, which takes into account the interband transition contribution at the UV end, is used to model the ITO optical properties in the useful UV-visible range, whatever the substrate and deposition technique. Ellipsometric analysis is corroborated by sheet resistance measurements.

  5. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  6. Depth profiling of oxide-trapped charges in 6H-SiC MOS structures by slant etching method

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Kazunari; Takahashi, Yoshihiro; Ohnishi, Kazunori [Nihon Univ., Tokyo (Japan). Coll. of Science and Technology; Yoshikawa, Masahito; Ohshima, Takeshi; Itoh, Hisayoshi; Nashiyama, Isamu

    1997-03-01

    In this paper, we propose a method to evaluate the depth profile of trapped charges in an oxide layer on SiC. Using this method, 6H-SiC MOS structures with different oxide thickness were fabricated on the same substrate under the same oxidation condition, and the depth profile of oxide-trapped charges before and after {sup 60}Co-gamma ray irradiation were obtained. It is found, from the depth profiling, that the trapping mechanism of electrons and holes in the oxide strongly depends on the bias polarity during irradiation, and these charges are trapped near 6H-SiC/SiO{sub 2} interface. We believe that this method is very useful for estimation of the oxide-trapped charges in 6H-SiC MOS structures. (author)

  7. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  8. Structural and electrical characterization of HBr/O{sub 2} plasma damage to Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi; Minami, Masaki; Uesawa, Fumikatsu; Tatsumi, Tetsuya [Semiconductor Technology Development Division, Semiconductor Business Group, Professional, Device and Solutions Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University, Yoshida-Honmachi, Sakyo-ku, Kyoto 606-8501 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Professional, Device and Solutions Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2011-07-15

    Silicon substrate damage caused by HBr/O{sub 2} plasma exposure was investigated by spectroscopic ellipsometry (SE), high-resolution Rutherford backscattering spectroscopy, and transmission electron microscopy. The damage caused by H{sub 2}, Ar, and O{sub 2} plasma exposure was also compared to clarify the ion-species dependence. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. In the case of HBr/O{sub 2} plasma exposure, hydrogen generated the deep damaged layer ({approx}10 nm), whereas ion-enhanced diffusion of oxygen, supplied simultaneously by the plasma, caused the thick surface oxidation. In-line monitoring of damage thicknesses by SE, developed with an optimized optical model, showed that the SE can be used to precisely monitor damage thicknesses in mass production. Capacitance-voltage (C-V) characteristics of a damaged layer were studied before and after diluted-HF (DHF) treatment. Results showed that a positive charge is generated at the surface oxide-dislocated Si interface and/or in the bulk oxide after plasma exposure. After DHF treatment, most of the positive charges were removed, while the thickness of the ''Si recess'' was increased by removing the thick surface oxidized layer. As both the Si recess and remaining dislocated Si, including positive charges, cause the degradation of electrical performance, precise monitoring of the surface structure and understanding its effect on device performance is indispensable for creating advanced devices.

  9. Gas-source molecular beam epitaxy of Si(111) on Si(110) substrates by insertion of 3C-SiC(111) interlayer for hybrid orientation technology

    Energy Technology Data Exchange (ETDEWEB)

    Bantaculo, Rolando, E-mail: rolandobantaculo@yahoo.com; Saitoh, Eiji; Miyamoto, Yu; Handa, Hiroyuki; Suemitsu, Maki

    2011-11-01

    A method to realize a novel hybrid orientations of Si surfaces, Si(111) on Si(110), has been developed by use of a Si(111)/3C-SiC(111)/Si(110) trilayer structure. This technology allows us to use the Si(111) portion for the n-type and the Si(110) portion for the p-type channels, providing a solution to the current drive imbalance between the two channels confronted in Si(100)-based complementary metal oxide semiconductor (CMOS) technology. The central idea is to use a rotated heteroepitaxy of 3C-SiC(111) on Si(110) substrate, which occurs when a 3C-SiC film is grown under certain growth conditions. Monomethylsilane (SiH{sub 3}-CH{sub 3}) gas-source molecular beam epitaxy (GSMBE) is used for this 3C-SiC interlayer formation while disilane (Si{sub 2}H{sub 6}) is used for the top Si(111) layer formation. Though the film quality of the Si epilayer leaves a lot of room for betterment, the present results may suffice to prove its potential as a new technology to be used in the next generation CMOS devices.

  10. Magneto-transport properties of oriented Mn{sub 2}CoAl films sputtered on thermally oxidized Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xu, G. Z.; Du, Y.; Zhang, X. M.; Liu, E. K.; Wang, W. H., E-mail: wenhong.wang@iphy.ac.cn; Wu, G. H. [State Key Laboratory for Magnetism, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Zhang, H. G. [College of Materials Science and Engineering, Beijing University of Technology, Beijing 100124 (China)

    2014-06-16

    Spin gapless semiconductors are interesting family of materials by embracing both magnetism and semiconducting due to their unique band structure. Its potential application in future spintronics requires realization in thin film form. In this Letter, we report fabrication and transport properties of spin gapless Mn{sub 2}CoAl films prepared on thermally oxidized Si substrates by magnetron sputtering deposition. The films deposited at 673 K are well oriented to (001) direction and display a uniform-crystalline surface. Magnetotransport measurements on the oriented films reveal a semiconducting-like resistivity, small anomalous Hall conductivity, and linear magnetoresistance representative of the transport signatures of spin gapless semiconductors. The magnetic properties of the films have also been investigated and compared to that of bulk Mn{sub 2}CoAl, showing small discrepancy induced by the composition deviation.

  11. Site-specific forest-assembly of single-wall carbon nanotubes on electron-beam patterned SiOx/Si substrates

    International Nuclear Information System (INIS)

    Wei Haoyan; Kim, Sang Nyon; Kim, Sejong; Huey, Bryan D.; Papadimitrakopoulos, Fotios; Marcus, Harris L.

    2008-01-01

    Based on electron-beam direct writing on the SiO x /Si substrates, favorable absorption sites for ferric cations (Fe 3+ ions) were created on the surface oxide layer. This allowed Fe 3+ -assisted self-assembled arrays of single-wall carbon nanotube (SWNT) probes to be produced. Auger investigation indicated that the incident energetic electrons depleted oxygen, creating more dangling bonds around Si atoms at the surface of the SiO x layer. This resulted in a distinct difference in the friction forces from unexposed regions as measured by lateral force microscopy (LFM). Atomic force microscopy (AFM) affirmed that the irradiated domains absorbed considerably more Fe 3+ ions upon immersion into pH 2.2 aqueous FeCl 3 solution. This rendered a greater yield of FeO(OH)/FeOCl precipitates, primarily FeO(OH), upon subsequent washing with lightly basic dimethylformamide (DMF) solution. Such selective metal-functionalization established the basis for the subsequent patterned forest-assembly of SWNTs as demonstrated by resonance Raman spectroscopy

  12. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  13. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  14. Static and kinetic friction characteristics of nanowire on different substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyun-Joon [Department of Precision Mechanical Engineering, Kyungpook National University, Sangju 37224 (Korea, Republic of); Nguyen, Gia Hau; Ky, Dinh Le Cao; Tran, Da Khoa [School of Mechanical Engineering, University of Ulsan, Ulsan 44610 (Korea, Republic of); Jeon, Ki-Joon [Department of Environmental Engineering, Inha University, Incheon 22212 (Korea, Republic of); Chung, Koo-Hyun, E-mail: khchung@ulsan.ac.kr [School of Mechanical Engineering, University of Ulsan, Ulsan 44610 (Korea, Republic of)

    2016-08-30

    Highlights: • Direct measurement of kinetic friction of oxidized Si NW using AFM. • Determination of static friction of oxidized Si NW from most bent state. • Friction characteristics of oxidized Si NW on SiO{sub 2} and graphene. • Estimation of shear stress between cylindrical NW and flat substrate. • No significant dependence of shear stress on NW radius. - Abstract: Friction characteristics of nanowires (NWs), which may be used as building blocks for nano-devices, are crucial, especially for cases where contact sliding occurs during the device operation. In this work, the static and kinetic friction characteristics of oxidized Si NWs deposited on thermally grown SiO{sub 2} and chemical vapor-deposited single layer graphene were investigated using an atomic force microscope (AFM). Kinetic friction between the oxidized Si NWs and the substrates was directly measured by the AFM. Static friction was also obtained from the most bent state of the NWs using the individually determined elastic moduli of the NWs from kinetic friction experiments based on elastic beam theory. Furthermore, the shear stress between the oxidized Si NWs and the substrates was estimated based on adhesive contact theory. It was found that both static and kinetic friction increased as the radius of the NWs increased. The friction of the oxidized Si NWs on the graphene substrate was found to be smaller than that on the SiO{sub 2} substrate, which suggests that chemical vapor-deposited graphene can be used as a lubricant or as a protective layer in nano-devices to reduce friction. The shear stress estimated from the kinetic friction data between the oxidized Si NWs and the SiO{sub 2} substrate ranged from 7.5 to 12.3 MPa while that between the oxidized Si NWs and the graphene substrate ranged from 4.7 to 7.0 MPa. The result also indicated that the dependence of shear stress on the radius of the NWs was not significant. These findings may provide insight into the friction characteristics

  15. Static and kinetic friction characteristics of nanowire on different substrates

    International Nuclear Information System (INIS)

    Kim, Hyun-Joon; Nguyen, Gia Hau; Ky, Dinh Le Cao; Tran, Da Khoa; Jeon, Ki-Joon; Chung, Koo-Hyun

    2016-01-01

    Highlights: • Direct measurement of kinetic friction of oxidized Si NW using AFM. • Determination of static friction of oxidized Si NW from most bent state. • Friction characteristics of oxidized Si NW on SiO 2 and graphene. • Estimation of shear stress between cylindrical NW and flat substrate. • No significant dependence of shear stress on NW radius. - Abstract: Friction characteristics of nanowires (NWs), which may be used as building blocks for nano-devices, are crucial, especially for cases where contact sliding occurs during the device operation. In this work, the static and kinetic friction characteristics of oxidized Si NWs deposited on thermally grown SiO 2 and chemical vapor-deposited single layer graphene were investigated using an atomic force microscope (AFM). Kinetic friction between the oxidized Si NWs and the substrates was directly measured by the AFM. Static friction was also obtained from the most bent state of the NWs using the individually determined elastic moduli of the NWs from kinetic friction experiments based on elastic beam theory. Furthermore, the shear stress between the oxidized Si NWs and the substrates was estimated based on adhesive contact theory. It was found that both static and kinetic friction increased as the radius of the NWs increased. The friction of the oxidized Si NWs on the graphene substrate was found to be smaller than that on the SiO 2 substrate, which suggests that chemical vapor-deposited graphene can be used as a lubricant or as a protective layer in nano-devices to reduce friction. The shear stress estimated from the kinetic friction data between the oxidized Si NWs and the SiO 2 substrate ranged from 7.5 to 12.3 MPa while that between the oxidized Si NWs and the graphene substrate ranged from 4.7 to 7.0 MPa. The result also indicated that the dependence of shear stress on the radius of the NWs was not significant. These findings may provide insight into the friction characteristics of NWs.

  16. Characterization of the porous anodic alumina nanostructures with a metal interlayer on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw

    2014-04-15

    Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were

  17. Ozone Oxidation of Self-Assembled Monolayers on SiOx-Coated Zinc Selenide Surfaces

    Science.gov (United States)

    McIntire, T. M.; Ryder, O. S.; Finlayson-Pitts, B. J.

    2008-12-01

    Airborne particles are important for visibility, human health, climate, and atmospheric reactions. Atmospheric particles contain a significant fraction of organics and such compounds present on airborne particles are susceptible to oxidation by atmospheric oxidants, such as OH, ozone, halogen atoms, and nitrogen trioxide. Oxidized organics associated with airborne particles are thought to be polar, hygroscopic species with enhanced cloud-nucleating properties. Oxide layers on silicon, or SiO2-coated substrates, act as models of environmentally relevant surfaces such as dust particles upon which organics adsorb. We have shown previously that ozone oxidation of unsaturated self-assembled monolayers (SAMs) on silicon attenuated total reflectance (ATR) crystals leads to the formation of carbonyl groups and micron-sized, hydrophobic organic aggregates surrounded by carbon depleted substrate that do not have increased water uptake as previously assumed. Reported here are further ATR-FTIR studies of the oxidation of alkene SAMs on ZnSe and SiO2-coated ZnSe. These substrates have the advantage that they transmit below 1500 cm-1, allowing detection of additional product species. These experiments show that the loss of C=C and formation of carbonyl groups is also accompanied by formation of a peak at 1110 cm-1, attributed to the secondary ozonide. Details concerning the products and mechanism of ozonolysis of alkene SAMs on surfaces based on these new data are presented and the implications for the oxidation of alkenes on airborne dust particles are discussed.

  18. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  19. Metal oxide nanorod arrays on monolithic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Pu-Xian; Guo, Yanbing; Ren, Zheng

    2018-01-02

    A metal oxide nanorod array structure according to embodiments disclosed herein includes a monolithic substrate having a surface and multiple channels, an interface layer bonded to the surface of the substrate, and a metal oxide nanorod array coupled to the substrate surface via the interface layer. The metal oxide can include ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide. The substrate can include a glass substrate, a plastic substrate, a silicon substrate, a ceramic monolith, and a stainless steel monolith. The ceramic can include cordierite, alumina, tin oxide, and titania. The nanorod array structure can include a perovskite shell, such as a lanthanum-based transition metal oxide, or a metal oxide shell, such as ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide, or a coating of metal particles, such as platinum, gold, palladium, rhodium, and ruthenium, over each metal oxide nanorod. Structures can be bonded to the surface of a substrate and resist erosion if exposed to high velocity flow rates.

  20. Oxidation resistance of quintuple Ti-Al-Si-C-N coatings and associated mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Wu Guizhi; Ma Shengli; Xu Kewei; Ji, Vincent; Chu, Paul K. [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); ICMMO/LEMHE, Universite Paris-Sud 11, 91405 Orsay Cedex (France); Department of Physics and Materials Science, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon (Hong Kong)

    2012-07-15

    The oxidation behavior of Ti-Al-Si-C-N hard coatings with different Al contents deposited on high-speed steel and Si substrates by hybrid arc-enhanced magnetron sputtering is investigated in the temperature range of 500 Degree-Sign C-1000 Degree-Sign C. The coating hardness is maintained at around 35 GPa, and the parabolic oxidation rate constant K{sub p} at 1000 Degree-Sign C decreases to 3.36 Multiplication-Sign 10{sup -10} kg{sup 2} m{sup -4} s{sup -1} when the Al concentration is increased to 30 at. %, indicating that Ti-Al-Si-C-N coatings with larger Al concentrations have better oxidation resistance. X-ray diffraction, cross-sectional scanning electron microscopy, and x-ray photoelectron spectroscopy reveal a protective surface layer consisting of Al{sub 2}O{sub 3}, TiO{sub 2}, and SiO{sub 2} that retards inward oxygen diffusion. A mechanism is proposed to elucidate the oxide formation. As a consequence of the good oxidation resistance, the Ti-Al-Si-C-N coatings have a large potential in high-speed dry cutting as well as other high temperature applications.

  1. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  2. Oxidation of Ni(Pt)Si by molecular vs. atomic oxygen

    International Nuclear Information System (INIS)

    Manandhar, Sudha; Copp, Brian; Kelber, J.A.

    2008-01-01

    X-ray photoelectron spectroscopy (XPS) has been used to characterize the oxidation of a clean Ni(Pt)Si surface under two distinct conditions: exposure to a mixed flux of atomic and molecular oxygen (O + O 2 ; P O+O 2 = 5 x 10 -6 Torr) and pure molecular oxygen (O 2 ; P O 2 = 10 -5 Torr) at ambient temperatures. Formation of the clean, stoichiometric (nickel monosilicide) phase under vacuum conditions results in the formation of a surface layer enriched in PtSi. Oxidation of this surface in the presence of atomic oxygen initially results in formation of a silicon oxide overlayer. At higher exposures, kinetically limited oxidation of Pt results in Pt silicate formation. No passivation of oxygen uptake of the sample is observed for total O + O 2 exposure 4 L, at which point the average oxide/silicate overlayer thickness is 23 (3) A (uncertainty in the last digit in parentheses). In contrast, exposure of the clean Ni(Pt)Si surface to molecular oxygen only (maximum exposure: 5 x 10 5 L) results in slow growth of a silicon oxide overlayer, without silicate formation, and eventual passivation at a total average oxide thickness of 8(1) A, compared to a oxide average thickness of 17(2) A (no silicate formation) for the as-received sample (i.e., exposed to ambient.) The aggressive silicon oxidation by atomic oxygen, results in Ni-rich silicide formation in the substrate and the kinetically limited oxidation of the Pt

  3. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  4. Comparative analysis of oxide phase formation and its effects on electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor structures

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jaeyel [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Sehun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Jungsub; Yang, Changjae; Kim, Sujin; Seok, Chulkyun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Jinsub [Department of Electronic Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 443-270 (Korea, Republic of); Energy Semiconductor Research Center, Advanced Institutes of Convergence Technology, Seoul National University, Suwon 443-270 (Korea, Republic of)

    2012-06-01

    We report on the changes in the interfacial phases between SiO{sub 2} and InSb caused by various deposition temperatures and heat treatments. X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy were used to evaluate the relative amount of each phase present at the interface. The effect of interfacial phases on the electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor (MOS) structures was investigated by capacitance-voltage (C-V) measurements. The amount of both In and Sb oxides increased with the deposition temperature. The amount of interfacial In oxide was larger for all samples, regardless of the deposition and annealing temperatures and times. In particular, the annealed samples contained less than half the amount of Sb oxide compared with the as-deposited samples, indicating a strong interfacial reaction between Sb oxide and the InSb substrate during annealing. The interface trap density sharply increased for deposition temperatures above 240 Degree-Sign C. The C-V measurements and Raman spectroscopy indicated that elemental Sb accumulation due to the interfacial reaction of Sb oxide with InSb substrate was responsible for the increased interfacial trap densities in these SiO{sub 2}/InSb MOS structures. - Highlights: Black-Right-Pointing-Pointer We report the quantitative analysis of interfacial oxides at the SiO{sub 2}/InSb interface. Black-Right-Pointing-Pointer Interfacial oxides were measured quantitatively by X-ray Photoelectron Spectroscopy. Black-Right-Pointing-Pointer As-grown and annealed samples showed different compositions of oxide phases. Black-Right-Pointing-Pointer Considerable reduction of antimony oxide phases was observed during annealing. Black-Right-Pointing-Pointer Interface trap densities at the SiO{sub 2}/InSb interface were calculated.

  5. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers

    International Nuclear Information System (INIS)

    Sohal, R.

    2006-01-01

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO 2 /SiC interface. Clean, graphite-free SiO 2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr 2 O 3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr 2 O 3 and SiC, and simultaneously provide higher band offsets. (orig.)

  6. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with pradeodymium oxide dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Sohal, R.

    2006-07-24

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO{sub 2}/SiC interface. Clean, graphite-free SiO{sub 2} has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr{sub 2}O{sub 3} on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr{sub 2}O{sub 3} and SiC, and simultaneously provide higher band offsets. (orig.)

  7. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  8. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  9. Ag/SiO2 surface-enhanced Raman scattering substrate for plasticizer detection

    Science.gov (United States)

    Wu, Ming-Chung; Lin, Ming-Pin; Lin, Ting-Han; Su, Wei-Fang

    2018-04-01

    In this study, we demonstrated a simple method of fabricating a high-performance surface-enhanced Raman scattering (SERS) substrate. Monodispersive SiO2 colloidal spheres were self-assembled on a silicon wafer, and then a silver layer was coated on it to obtain a Ag/SiO2 SERS substrate. The Ag/SiO2 SERS substrates were used to detect three kinds of plasticizer with different concentrations, namely, including bis(2-ethylhexyl)phthalate (DEHP), benzyl butyl phthalate (BBP), and dibutyl phthalate (DBP). The enhancement of Raman scattering intensity caused by surface plasmon resonance can be observed using the Ag/SiO2 SERS substrates. The Ag/SiO2 SERS substrate with a 150-nm-thick silver layer can detect plasticizers, and it satisfies the detection limit of plasticizers at 100 ppm. The developed highly sensitive Ag/SiO2 SERS substrates show a potential for the design and fabrication of functional sensors to identify the harmful plasticizers that plastic products release in daily life.

  10. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  11. Structural and electronic properties of Si/SiO2 MOS structures with aligned 3C-SiC nanocrystals in the oxide

    International Nuclear Information System (INIS)

    Pongracz, A.; Battistig, G.; Duecso, Cs.; Josepovits, K.V.; Deak, P.

    2007-01-01

    Our group previously proved that a simple reactive annealing in CO containing gas produces 3C-SiC nanocrystals, which are epitaxially and void-free aligned in the Si substrate. By a further thermal oxidation step, these nanocrystals can be lifted from the Si and incorporated into the SiO 2 matrix, thereby creating a promising structure for charge storage. In this work the structural and electrical properties of such systems with nanocrystalline SiC will be presented. Prototype MOS structures with 3C-SiC nanocrystals were produced for current-voltage and capacitance-voltage measurements. The results indicate that the high-temperature annealing did not damage the MOS structure, despite the fact that the CO annealing changed the electrical properties of the system. There was a positive charge accumulation and a reversible carrier injection observed in the structure. We assume that the positive charges originated from oxygen vacancies and the charge injection is related to the presence of SiC nanocrystals

  12. Oxidation Study of an Ultra High Temperature Ceramic Coatings Based on HfSiCN

    Science.gov (United States)

    Sacksteder, Dagny; Waters, Deborah L.; Zhu, Dongming

    2018-01-01

    High temperature fiber-reinforced ceramic matrix composites (CMCs) are important for aerospace applications because of their low density, high strength, and significantly higher-temperature capabilities compared to conventional metallic systems. The use of the SiCf/SiC and Cf/SiC CMCs allows the design of lighter-weight, more fuel efficient aircraft engines and also more advanced spacecraft airframe thermal protection systems. However, CMCs have to be protected with advanced environmental barrier coatings when they are incorporated into components for the harsh environments such as in aircraft engine or spacecraft applications. In this study, high temperature oxidation kinetics of an advanced HfSiCN coating on Cf/SiC CMC substrates were investigated at 1300 C, 1400 C, and 1500 C by using thermogravimetric analysis (TGA). The coating oxidation reaction parabolic rate constant and activation energy were estimated from the experimental results. The oxidation reaction studies showed that the coatings formed the most stable, predominant HfSiO4-HfO2 scales at 1400 C. A peroxidation test at 1400 C then followed by subsequent oxidation tests at various temperatures also showed more adherent scales and slower scale growth because of reduced the initial transient oxidation stage and increased HfSiO4-HfO2 content in the scales formed on the HfSiCN coatings.

  13. Cyclic Thermal Stress-Induced Degradation of Cu Metallization on Si3N4 Substrate at -40°C to 300°C

    Science.gov (United States)

    Lang, Fengqun; Yamaguchi, Hiroshi; Nakagawa, Hiroshi; Sato, Hiroshi

    2015-01-01

    The high-temperature reliability of active metal brazed copper (AMC) on Si3N4 ceramic substrates used for fabricating SiC high-temperature power modules was investigated under harsh environments. The AMC substrate underwent isothermal storage at 300°C for up to 3000 h and a thermal cycling test at -40°C to 300°C for up to 3000 cycles. During isothermal storage at 300°C, the AMC substrate exhibited high reliability, characterized by very little deformation of the copper (Cu) layer, low crack growth, and low oxidation rate of the Cu layer. Under thermal cycling conditions at -40°C to 300°C, no detachment of the Cu layer was observed even after the maximum 3000 cycles of the experiment. However, serious deformation of the Cu layer occurred and progressed as the number of thermal cycles increased, thus significantly roughening the surface of the Cu metallized layer. The cyclic thermal stress led to a significant increase in the crack growth and oxidation of the Cu layer. The maximum depth of the copper oxides reached up to 5/6 of the Cu thickness. The deformation of the Cu layer was the main cause of the decrease of the bond strength under thermal cycling conditions. The shear strength of the SiC chips bonded on the AMC substrate with a Au-12 wt.%Ge solder decreased from the original 83 MPa to 14 MPa after 3000 cycles. Therefore, the cyclic thermal stress destroyed the Cu oxides and enhanced the oxidation of the Cu layer.

  14. Interaction of silicene with β-Si3N4(0001)/Si(111) substrate; energetics and electronic properties

    International Nuclear Information System (INIS)

    Filippone, Francesco

    2014-01-01

    The free-standing, quasi-2D layer of Si is known as silicene, in analogy with graphene. Much effort is devoted in the study of silicene, since, similarly to graphene, it shows a very high electron mobility. The interaction of silicene with a hybrid substrate, β-Si 3 N 4 (0001)/Si(111), exposing the β-Si 3 N 4 (0001) surface, has been studied by means of Density Functional calculations, with van der Waals interactions included. Once deepened the most important structural and electronic features of the hybrid substrate, we demonstrated that an electron transfer occurs from the substrate to the silicene layer. In turn, such an electron transfer can be modulated by the doping of the substrate. The β-Si 3 N 4 /silicene interaction appears to be strong enough to ensure adequate adsorption stability. It is also shown that electronic states of substrate and adsorbate still remain decoupled, paving the way for the exploitation of the peculiar electron mobility properties of the silicene layer. A detailed analysis in both direct and reciprocal space is reported. (paper)

  15. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  16. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  17. Wear of tin coating and Al-Si alloy substrate against carburized steel under mixed lubrication

    Science.gov (United States)

    Wang, Q.; Cheng, H. S.; Fine, M. E.

    1994-04-01

    Tin coatings on Al-Si alloys are widely used in the automotive industries. The soft tin coating and the harder substrate alloy form a tribological system with the advantages of low friction and reasonably high load-bearing capacity. Wear tests of tin coated Al-Si Z332 alloy in conformal contact against carburized 1016 steel have been carried out under mixed lubrications with SAE 10W30 oil to study the wear mechanisms. Two major wear mechanisms, uniform wear of the tin coating due to micro-plowing and spall pitting related to the substrate are found to contribute to the bearing material loss when the fluid lubrication film is relatively thick (Lambda about 1.6). Under conditions of thinner films (Lambda approximately = 0.8), some local coating debonding occurs. The pitting and local coating debounding are closely related to fracture in the substrate. The bonding between silicon and tin seems to be weaker than between aluminum and tin. During wear, oxidation occurs.

  18. Interfacial Interaction of Oxidatively Cured Hydrogen Silsesquioxane Spin-On-Glass Enamel with Stainless Steel Substrate

    DEFF Research Database (Denmark)

    Lampert, Felix; Kadkhodazadeh, Shima; Jensen, Annemette H.

    2017-01-01

    interfacial duplex-oxide with an outer zone composed of Fe2O3 in a SiO2-x matrix and an inner zone composed of complex (Cr3+,Fe2+,Mn2+)-oxides. Moreover, a Cr depletion of the substrate in the immediate vicinity of the surface was observed. It was concluded that the interfacial formation is controlled...

  19. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  20. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  1. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.

    Science.gov (United States)

    Faraz, Tahsin; Knoops, Harm C M; Verheijen, Marcel A; van Helvoirt, Cristian A A; Karwal, Saurabh; Sharma, Akhil; Beladiya, Vivek; Szeghalmi, Adriana; Hausmann, Dennis M; Henri, Jon; Creatore, Mariadriana; Kessels, Wilhelmus M M

    2018-04-18

    Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for

  2. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  3. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  4. Microstructure, Wear Resistance and Oxidation Behavior of Ni-Ti-Si Coatings Fabricated on Ti6Al4V by Laser Cladding.

    Science.gov (United States)

    Zhuang, Qiaoqiao; Zhang, Peilei; Li, Mingchuan; Yan, Hua; Yu, Zhishui; Lu, Qinghua

    2017-10-30

    The Ni-Ti-Si composite coatings were successfully fabricated on Ti6Al4V by laser cladding. The microstructure were studied by SEM (scanning electron microscopy) and EDS (energy dispersive spectrometer). It has been found that Ti₂Ni and Ti₅Si₃ phases exist in all coatings, and some samples have TiSi₂ phases. Moreover, due to the existence of these phases, coatings presented relatively higher microhardness than that of the substrate (826 HV (Vickers hardness)) and the microhardness value of coating 3 is about twice larger than that of the substrate. During the dry sliding friction and wear test, due to the distribution of the relatively ductile phase of Ti₂Ni and reinforcement phases of Ti₅Si₃ and TiSi₂, the coatings performed good wear resistance. The oxidation process contains two stages: the rapid oxidation and slow oxidation by high temperature oxidation test at 800 °C for 50 h. Meanwhile, the value of the oxidation weight gain of the substrate is approximately three times larger than that of the coating 4. During the oxidation process, the oxidation film formed on the coating is mainly consisted of TiO₂, Al₂O₃ and SiO₂. Phases Ti₂Ni, Ti₅Si₃, TiSi₂ and TiSi were still found and it could be responsible for the improvement in oxidation resistance of the coatings by laser cladding.

  5. Dielectric Properties of SiCf/PyC/SiC Composites After Oxidation

    Institute of Scientific and Technical Information of China (English)

    SONG Huihui; ZHOU Wancheng; LUO Fa; QING Yuchang; CHEN Malin; LI Zhimin

    2016-01-01

    In this paper, the SiC fiber-reinforced SiC matrix composites with a 0.15mm thick pyrocarbon interphase (notedas SiCf/PyC/SiC) were prepared by chemical vapor infiltration (CVI). The SiCf/PyC/SiC were oxidized in air at 950℃ for 50h. The dielectric properties after this high temperature oxidation were investigated in X-band from room temperature (RT) to 700℃. Results suggested that:e' of the SiCf/PyC/SiC after oxidation increased at first then de-creased with temperature elevating;e" increased with temperature raising in the temperature range studied.

  6. Improving breakdown voltage and self-heating effect for SiC LDMOS with double L-shaped buried oxide layers

    Science.gov (United States)

    Bao, Meng-tian; Wang, Ying

    2017-02-01

    In this paper, a SiC LDMOS with double L-shaped buried oxide layers (DL-SiC LDMOS) is investigated and simulated. The DL-SiC LDMOS consists of two L-shaped buried oxide layers and two SiC windows. Using 2-D numerical simulation software, Atlas, Silvaco TCAD, the breakdown voltage, and the self-heating effect are discussed. The double-L shaped buried oxide layers and SiC windows in the active area can introduce an additional electric field peak and make the electric field distribution more uniform in the drift region. In addition, the SiC windows, which connect the active area to the substrate, can facilitate heat dissipation and reduce the maximum lattice temperature of the device. Compared with the BODS structure, the DL-SiC LDMOS and BODS structures have the same device parameters, except of the buried oxide layers. The simulation results of DL-SiC LDMOS exhibits outstanding characteristics including an increase of the breakdown voltage by 32.6% to 1220 V, and a low maximum lattice temperature (535 K) at room temperature.

  7. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  8. Heterojunction photodetector based on graphene oxide sandwiched between ITO and p-Si

    Science.gov (United States)

    Ahmad, H.; Tajdidzadeh, M.; Thandavan, T. M. K.

    2018-02-01

    The drop casting method is utilized on indium tin oxide (ITO)-coated glass in order to prepare a sandwiched ITO/graphene oxide (ITO/GO) with silicon dioxide/p-type silicon (SiO2/p-Si) heterojunction photodetector. The partially sandwiched GO layer with SiO2/p-Si substrate exhibits dual characteristics as it showed good sensitivity towards the illumination of infrared (IR) laser at wavelength of 974 nm. Excellent photoconduction is also observed for current-voltage (I-V) characteristics at various laser powers. An external quantum efficiency greater than 1 for a direct current bias voltage of 0 and 3 V reveals significant photoresponsivity of the photodetector at various laser frequency modulation at 1, 5 and 9 Hz. The rise times are found to be 75, 72 and 70 μs for 1, 5 and 9 Hz while high fall times 455, 448 and 426 are measured for the respective frequency modulation. The fabricated ITO/GO-SiO2/p-Si sandwiched heterojunction photodetector can be considered as a good candidate for applications in the IR regions that do not require a high-speed response.

  9. A genetic algorithm approach for evaluation of optical functions of very thin tantalum pentoxide films on Si substrate

    International Nuclear Information System (INIS)

    Sharlandjiev, P S; Nazarova, D I

    2013-01-01

    The optical characteristics of tantalum pentoxide films, deposited on Si(100) substrate by reactive sputtering, are studied. These films are investigated as high-kappa materials for the needs of nano-electronics, i.e. design of dynamic random access memories, etc. One problem in their implementation is that metal oxides are thermodynamically unstable with Si and an interfacial layer is formed between the oxide film and the silicon substrate during the deposition process. Herein, the center of attention is on the optical properties of that interfacial layer, which is studied by spectral photometric measurements. The evaluation of the optical parameters of the structure is fulfilled with the genetic algorithm approach. The spectral range of evaluation covers deep UV to NIR. The equivalent physical thickness (2.5 nm) and the equivalent refractive index of the interfacial layer are estimated from 236 to 750 nm as well as the thickness of the tantalum pentoxide film (9.5 nm). (paper)

  10. Fundamentals of Passive Oxidation In SiC and Si3N4

    Science.gov (United States)

    Thomas-Ogbuji, Linus U.

    1998-01-01

    The very slow oxidation kinetics of silicon carbide and silicon nitride, which derive from their adherent and passivating oxide films, has been explored at length in a broad series of studies utilizing thermogravimetric analysis, electron and optical micrography, energy dispersive spectrometry, x-ray diffractometry, micro-analytical depth profiling, etc. Some interesting microstructural phenomena accompanying the process of oxidation in the two materials will be presented. In Si3N4 the oxide is stratified, with an SiO2 topscale (which is relatively impervious to O2)underlain by a coherent subscale of silicon oxynitride which is even less permeable to O2- Such "defence in depth" endows Si3N4 with what is perhaps the highest oxidation resistance of any material, and results in a unique set of oxidation processes. In SiC the oxidation reactions are much simpler, yet new issues still emerge; for instance, studies involving controlled devitrification of the amorphous silica scale confirmed that the oxidation rate of SiC drops by more than an order of magnitude when the oxide scale fully crystallizes.

  11. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  12. SiC Nanoparticles Toughened-SiC/MoSi2-SiC Multilayer Functionally Graded Oxidation Protective Coating for Carbon Materials at High Temperatures

    Science.gov (United States)

    Abdollahi, Alireza; Ehsani, Naser; Valefi, Zia; Khalifesoltani, Ali

    2017-05-01

    A SiC nanoparticle toughened-SiC/MoSi2-SiC functionally graded oxidation protective coating on graphite was prepared by reactive melt infiltration (RMI) at 1773 and 1873 K under argon atmosphere. The phase composition and anti-oxidation behavior of the coatings were investigated. The results show that the coating was composed of MoSi2, α-SiC and β-SiC. By the variations of Gibbs free energy (calculated by HSC Chemistry 6.0 software), it could be suggested that the SiC coating formed at low temperatures by solution-reprecipitation mechanism and at high temperatures by gas-phase reactions and solution-reprecipitation mechanisms simultaneously. SiC nanoparticles could improve the oxidation resistance of SiC/MoSi2-SiC multiphase coating. Addition of SiC nanoparticles increases toughness of the coating and prevents spreading of the oxygen diffusion channels in the coating during the oxidation test. The mass loss and oxidation rate of the SiC nanoparticle toughened-SiC/MoSi2-SiC-coated sample after 10-h oxidation at 1773 K were only 1.76% and 0.32 × 10-2 g/cm3/h, respectively.

  13. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  14. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  15. Diffusion barrier and adhesion properties of SiO(x)N(y) and SiO(x) layers between Ag/polypyrrole composites and Si substrates.

    Science.gov (United States)

    Horváth, Barbara; Kawakita, Jin; Chikyow, Toyohiro

    2014-06-25

    This paper describes the interface reactions and diffusion between silver/polypyrrole (Ag/PPy) composite and silicon substrate. This composite material can be used as a novel technique for 3D-LSI (large-scale integration) by the fast infilling of through-silicon vias (TSV). By immersion of the silicon wafer with via holes into the dispersed solution of Ag/PPy composite, the holes are filled with the composite. It is important to develop a layer between the composite and the Si substrate with good diffusion barrier and adhesion characteristics. In this paper, SiOx and two types of SiOxNy barrier layers with various thicknesses were investigated. The interface structure between the Si substrate, the barrier, and the Ag/PPy composite was characterized by transmission electron microscopy. The adhesion and diffusion properties of the layers were established for Ag/PPy composite. Increasing thickness of SiOx proved to permit less Ag to transport into the Si substrate. SiOxNy barrier layers showed very good diffusion barrier characteristics; however, their adhesion depended strongly on their composition. A barrier layer composition with good adhesion and Ag barrier properties has been identified in this paper. These results are useful for filling conductive metal/polymer composites into TSV.

  16. Charge transport along luminescent oxide layers containing Si and SiC nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Jambois, O. [EME, Departament d' Electronica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain)]. E-mail: ojambois@el.ub.es; Vila, A. [EME, Departament d' Electronica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Pellegrino, P. [EME, Departament d' Electronica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Carreras, J. [EME, Departament d' Electronica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Perez-Rodriguez, A. [EME, Departament d' Electronica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Garrido, B. [EME, Departament d' Electronica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Bonafos, C. [Nanomaterials Group, CEMES-CNRS, 29 rue J. Marvig 31055, Toulouse (France); BenAssayag, G. [Nanomaterials Group, CEMES-CNRS, 29 rue J. Marvig 31055, Toulouse (France)

    2006-12-15

    The electrical conductivity of silicon oxides containing silicon and silicon-carbon nanoparticles has been investigated. By use of sequential Si{sup +} and C{sup +} ion implantations in silicon oxide followed by an annealing at 1100 deg. C, luminescent Si nanocrystals and SiC nanoparticles were precipitated. The characterization of the electrical transport has been carried out on two kinds of structures, allowing parallel or perpendicular transport, with respect to the substrate. The first type of samples were elaborated by means of a focus-ion-beam technique: electrical contacts to embedded nanoparticles were made by milling two nanotrenches on the sample surface until reaching the buried layer, then filling them with tungsten. The distance between the electrodes is about 100 nm. The second type of samples correspond to 40 nm thick typical MOS capacitors. The electron transport along the buried layer has shown a dramatic lowering of the electrical current, up to five orders of magnitude, when applying a sequence of voltages. It has been related to a progressive charge retention inside the nanoparticles, which, on its turn, suppresses the electrical conduction along the layer. On the other hand, the MOS capacitors show a reversible carrier charge and discharge effect that limits the current at low voltage, mostly due to the presence of C in the layers. A typical Fowler-Nordheim injection takes place at higher applied voltages, with a threshold voltage equal to 23 V.

  17. Evolution of insoluble eutectic Si particles in anodic oxidation films during adipic-sulfuric acid anodizing processes of ZL114A aluminum alloys

    Science.gov (United States)

    Hua, Lei; Liu, Jian-hua; Li, Song-mei; Yu, Mei; Wang, Lei; Cui, Yong-xin

    2015-03-01

    The effects of insoluble eutectic Si particles on the growth of anodic oxide films on ZL114A aluminum alloy substrates were investigated by optical microscopy (OM) and scanning electron microscopy (SEM). The anodic oxidation was performed at 25°C and a constant voltage of 15 V in a solution containing 50 g/L sulfuric acid and 10 g/L adipic acid. The thickness of the formed anodic oxidation film was approximately 7.13 μm. The interpore distance and the diameters of the major pores in the porous layer of the film were within the approximate ranges of 10-20 nm and 5-10 nm, respectively. Insoluble eutectic Si particles strongly influenced the morphology of the anodic oxidation films. The anodic oxidation films exhibited minimal defects and a uniform thickness on the ZL114A substrates; in contrast, when the front of the oxide oxidation films encountered eutectic Si particles, defects such as pits and non-uniform thickness were observed, and pits were observed in the films.

  18. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  19. Ge nanobelts with high compressive strain fabricated by secondary oxidation of self-assembly SiGe rings

    DEFF Research Database (Denmark)

    Lu, Weifang; Li, Cheng; Lin, Guangyang

    2015-01-01

    Curled Ge nanobelts were fabricated by secondary oxidation of self-assembly SiGe rings, which were exfoliated from the SiGe stripes on the insulator. The Ge-rich SiGe stripes on insulator were formed by hololithography and modified Ge condensation processes of Si0.82Ge0.18 on SOI substrate. Ge...... nanobelts under a residual compressive strain of 2% were achieved, and the strain should be higher before partly releasing through bulge islands and breakage of the curled Ge nanobelts during the secondary oxidation process. The primary factor leading to compressive strain is thermal shrinkage of Ge...... nanobelts, which extrudes to Ge nanobelts in radial and tangent directions during the cooling process. This technique is promising for application in high-mobility Ge nano-scale transistors...

  20. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  1. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  2. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    Science.gov (United States)

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  3. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  4. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  5. Evolution of 3C-SiC islands nucleated from a liquid phase on Si face α-SiC substrates

    International Nuclear Information System (INIS)

    Kim-Hak, Olivier; Ferro, Gabriel; Lorenzzi, Jean; Carole, Davy; Dazord, Jacques; Chaudouet, Patrick; Chaussende, Didier; Miele, Philippe

    2010-01-01

    The contact between α-SiC crystals and Si-Ge based melts provokes the nucleation of 3C-SiC islands on the crystal surface. Evolution of these islands as a function of various parameters was studied. On both 4H and 6H substrates, it was found that, after nucleation, 3C-SiC islands first enlarge and may form a complete 3C layer under certain conditions. The 3C deposit can then be dissolved by the liquid phase at high temperature or for prolonged contact at relatively moderate temperature. The graphite crucible is proposed to play a central role in these enlargement and dissolution mechanisms by providing extra carbon atoms on the seed surface (enlargement) or provoking thermal induced carbon transport toward the sidewall (dissolution). Several differences between the use of 4H and 6H substrates were also observed.

  6. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  7. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  8. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  9. Wet cleaning and surface characterization of Si{sub 1-x}Ge{sub x} virtual substrates after a CMP step

    Energy Technology Data Exchange (ETDEWEB)

    Abbadie, A. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)], E-mail: alexandra.abbadie@soitec.fr; Hartmann, J.M. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Besson, P. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Rouchon, D.; Martinez, E.; Holliger, P.; Di Nardo, C. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Campidelli, Y. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Billon, T. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2008-08-30

    New reactants such as ozone dissolved in ultra-pure water have been widely used the last few years instead of the original Radio Corporation of America (RCA) cleaning (which is a combination of the Standard Cleaning 1 (SC1) and the Standard Cleaning 2 (SC2)). In a first part of the study (Microelectron. Eng. 83 (2006) 1986), we had quantified the efficiency of a new cleaning sequence (that calls upon HF and H{sub 2}O/O{sub 3} solutions) on polished Si{sub 1-x}Ge{sub x} virtual substrates (x = 0.2-0.5). We are discussing here the surface morphology and wetability together with the oxide thickness and structure typically obtained after this so-called 'DDC-SiGe' wet cleaning. Flat surface morphologies are found after cleaning whatever the Ge content (from 20 to 50%). Typical root mean square roughness is around 0.4 nm. We have used X-ray Photoelectron Spectroscopy to determine the characteristics of the surface termination after this 'DDC-SiGe' cleaning. An oxide mainly composed of SiO{sub 2} is formed, with a low fraction of Ge sub-oxide and GeO{sub 2}. The distribution of chemical species is not that different from the one obtained after the use of a SC1 cleaning. However, the chemical oxide formed is slightly thicker. Such a HF/O{sub 3} cleaning leads, when used on thick Ge layers grown on Si, to the formation of a really thin Ge sub-oxide. Our oxidation model assumes a competition in O{sub 3} solutions between the oxidation rates of Si and Ge atoms (faster for Si) and the dissolution of the Ge oxide formed in solution. This mechanism, which implies the formation of a slightly porous oxide, is different from the one seeming to occur in SC1-based solutions. Indeed, the addition of surfactant in a SC1 solution modifies the oxidation rate compared to standard SC1 or O{sub 3}-based solutions, suggesting a diffusion of reactants towards the interface between the SiGe and the oxide in formation, assisted by the reactions of species within the cleaning

  10. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  11. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  12. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  13. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  14. Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures

    International Nuclear Information System (INIS)

    Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich

    2006-01-01

    The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si

  15. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  16. Near zero reflection by nanostructured anti-reflection coating design for Si substrates

    Science.gov (United States)

    Al-Fandi, Mohamed; Makableh, Yahia F.; Khasawneh, Mohammad; Rabady, Rabi

    2018-05-01

    The nanostructure design of near zero reflection coating for Si substrates by using ZnO Nanoneedles (ZnONN) is performed and optimized for the visible spectral range. The design investigates the ZnONN tip to body ratio effect on the anti-reflection coating properties. Different tip to body ratios are used on Si substrates. Around zero reflection is achieved by the Nanoneedles structure design presented in this work, leading to minimal reflection losses from the Si surface. The current design evolves a solution to optical losses and surface contamination effects associated with Si solar cells.

  17. Oxidation and Tribological Behavior of Ti-B-C-N-Si Nanocomposite Films Deposited by Pulsed Unbalanced Magnetron Sputtering.

    Science.gov (United States)

    Jang, Jaeho; Heo, Sungbo; Kim, Wang Ryeol; Kim, Jun-Ho; Nam, Dae-Geun; Kim, Kwang Ho; Park, Ikmin; Park, In-Wook

    2018-03-01

    Quinary Ti-B-C-N-Si nanocomposite films were deposited onto AISI 304 substrates using a pulsed d.c. magnetron sputtering system. The quinary Ti-B-C-N-Si (5 at.%) film showed excellent tribological and wear properties compared with those of the Ti-B-C-N films. The steady friction coefficient of 0.151 and a wear rate of 2 × 10-6 mm3N-1m-1 were measured for the Ti-B-C-N-Si films. The oxidation behavior of Ti-B-C-N-Si nanocomposite films was systematically investigated using X-ray diffraction (XRD), and thermal analyzer with differential scanning calorimetry (DSC) and thermogravimetric analysis (TGA). It is concluded that the addition of Si into the Ti-B-C-N film improved the tribological properties and oxidation resistance of the Ti-B-C-N-Si films. The improvements are due to the formation of an amorphous SiOx phase, which plays a major role in the self-lubricant tribo-layers and oxidation barrier on the film surface or in the grain boundaries, respectively.

  18. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  19. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  20. Osteoblast interaction with DLC-coated Si substrates.

    Science.gov (United States)

    Chai, Feng; Mathis, Nicolas; Blanchemain, Nicolas; Meunier, Cathy; Hildebrand, Hartmut F

    2008-09-01

    Diamond-like carbon (DLC) coating is a convenient means of modifying material surfaces that are sensitive to wear, such as titanium and silica substrates. This work aims to evaluate the osteoblast-like cells' response to DLC-coated Si (Si-DLC), which was treated under different conditions. DLC and deuterated DLC films were deposited by plasma-enhanced chemical vapor deposition to obtain a 200-nm-thick layer on all the samples. Three types of precursor gas were applied for deposition: pure methane (CH(4)), pure deuterated methane (CD(4)) and their half/half mixture. All surface treatments were performed under two different self-bias voltages (V(sb)): -400 and -600V. The modified surfaces were characterized by X-ray photoelectron spectroscopy, Raman spectroscopy, Rutherford backscattering spectroscopy, elastic recoil detection analysis, X-ray reflectometry and the sessile-drop method. MC3T3-E1 osteoblasts were cultured on the Si-DLC wafers for 3 and 6 days. Biological tests to measure cell proliferation, cell vitality, cell morphology and cell adhesion were performed. All DLC coatings produced a slightly more hydrophobic state than non-treated Si. Certain types of amorphous DLC coating, such as the surface treated under the V(sb) of -600V in pure methane (600CH(4)) or in pure deuterated methane (600CD(4)), offered a significantly higher cell proliferation rate to Si substrate. Scanning electron microscopy observations confirmed that the optimal cell adhesion behavior, among all the treated surfaces, occurred on the surface of the 600CH(4) and 600CD(4) groups, which showed increased amounts of filopodia and microvilli to enhance cell-environment exchange. In conclusion, DLC coating on Si could produce better surface stability and improved cellular responses.

  1. Low-voltage protonic/electronic hybrid indium zinc oxide synaptic transistors on paper substrates

    International Nuclear Information System (INIS)

    Wu, Guodong; Wan, Changjin; Wan, Qing; Zhou, Jumei; Zhu, Liqiang

    2014-01-01

    Low-voltage (1.5 V) indium zinc oxide (IZO)-based electric-double-layer (EDL) thin-film transistors (TFTs) gated by nanogranular proton conducting SiO 2 electrolyte films are fabricated on paper substrates. Both enhancement-mode and depletion-mode operation are obtained by tuning the thickness of the IZO channel layer. Furthermore, such flexible IZO protonic/electronic hybrid EDL TFTs can be used as artificial synapses, and synaptic stimulation response and short-term synaptic plasticity function are demonstrated. The protonic/electronic hybrid EDL TFTs on paper substrates proposed here are promising for low-power flexible paper electronics, artificial synapses and bioelectronics. (paper)

  2. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Lina [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Falco, Charles M. [University of Arizona, College of Optical Sciences, AZ 85721 (United States)

    2016-10-30

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  3. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    International Nuclear Information System (INIS)

    Guo, Lina; Liu, Shuang; Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong; Falco, Charles M.

    2016-01-01

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  4. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  5. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  6. First-principles calculations of orientation dependence of Si thermal oxidation based on Si emission model

    Science.gov (United States)

    Nagura, Takuya; Kawachi, Shingo; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Kageshima, Hiroyuki; Endoh, Tetsuo; Shiraishi, Kenji

    2018-04-01

    It is expected that the off-state leakage current of MOSFETs can be reduced by employing vertical body channel MOSFETs (V-MOSFETs). However, in fabricating these devices, the structure of the Si pillars sometimes cannot be maintained during oxidation, since Si atoms sometimes disappear from the Si/oxide interface (Si missing). Thus, in this study, we used first-principles calculations based on the density functional theory, and investigated the Si emission behavior at the various interfaces on the basis of the Si emission model including its atomistic structure and dependence on Si crystal orientation. The results show that the order in which Si atoms are more likely to be emitted during thermal oxidation is (111) > (110) > (310) > (100). Moreover, the emission of Si atoms is enhanced as the compressive strain increases. Therefore, the emission of Si atoms occurs more easily in V-MOSFETs than in planar MOSFETs. To reduce Si missing in V-MOSFETs, oxidation processes that induce less strain, such as wet or pyrogenic oxidation, are necessary.

  7. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    Science.gov (United States)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  8. Broadband antireflection nanodome structures on SiC substrate

    DEFF Research Database (Denmark)

    Ou, Yiyu; Zhu, Xiaolong; Møller, Uffe Visbech

    2013-01-01

    Nanodome structures are demonstrated on the SiC substrate by using nanosphere lithography and dry etching. Significant surface antireflection has been observed over a broad spectral range from 400 nm to 1600 nm....

  9. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  10. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  11. Evaluation of the barrier capability of Zr-Si films with different substrate temperature for Cu metallization

    International Nuclear Information System (INIS)

    Wang Ying; Cao Fei; Ding Minghui; Shao Lei

    2009-01-01

    Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 deg. C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 deg. C) with higher mass density make the Cu/Zr-Si(300 deg. C)/Si sample more stable. The appearance of Cu 3 Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.

  12. Photosynthetic water oxidation: binding and activation of substrate waters for O-O bond formation.

    Science.gov (United States)

    Vinyard, David J; Khan, Sahr; Brudvig, Gary W

    2015-01-01

    Photosynthetic water oxidation occurs at the oxygen-evolving complex (OEC) of Photosystem II (PSII). The OEC, which contains a Mn4CaO5 inorganic cluster ligated by oxides, waters and amino-acid residues, cycles through five redox intermediates known as S(i) states (i = 0-4). The electronic and structural properties of the transient S4 intermediate that forms the O-O bond are not well understood. In order to gain insight into how water is activated for O-O bond formation in the S4 intermediate, we have performed a detailed analysis of S-state dependent substrate water binding kinetics taking into consideration data from Mn coordination complexes. This analysis supports a model in which the substrate waters are both bound as terminal ligands and react via a water-nucleophile attack mechanism.

  13. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  14. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates

    International Nuclear Information System (INIS)

    Teng, F-Y; Ting, J-M; Sharma, Sahendra P; Liao, Kun-Hou

    2008-01-01

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs

  15. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates.

    Science.gov (United States)

    Teng, F-Y; Ting, Jyh-Ming; Sharma, Sahendra P; Liao, Kun-Hou

    2008-03-05

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs.

  16. Synthesis and analysis of Mo-Si-B based coatings for high temperature oxidation protection of ceramic materials

    Science.gov (United States)

    Ritt, Patrick J.

    The use of Ni-based superalloys in turbine engines has all but been exhausted, with operating temperatures nearing the melting point of these materials. The use of ceramics in turbine engines, particularly ceramic matrix composites such as SiC/C and SiC/SiC, is of interest due to their low density and attractive mechanical properties at elevated temperatures. The same materials are also in consideration for leading edges on hypersonic vehicles. However, SiC-based composites degrade in high temperature environments with low partial pressures of oxygen due to active oxidation, as well as high temperature environments containing water or sand. The need for a protective external coating for SiC-based composites in service is obvious. To date, no coating investigated for SiC/C or SiC/SiC has been proven to be resistant to oxidation and corrosion at intermediate and high temperatures, as well as in environments deficient in oxygen. The Mo-Si-B coating shows great promise in this area, having been proven resistant to attack from oxidation at extreme temperatures, from water vapor and from calcia-magnesia-aluminosilicate (CMAS). The adaptation of the Mo-Si-B coating for ceramic materials is presented in detail here. Evaluation of the coating under a range of oxidation conditions as well as simulated re-entry conditions confirms the efficacy of the Mo-Si-B based coating as protection from catastrophic failure. The key to the oxidation and corrosion resistance is a robust external aluminoborosilica glass layer that forms and flows quickly to cover the substrate, even under the extreme simulated re-entry conditions. Suppression of active oxidation of SiC, which may occur during atmospheric re-entry and hypersonic flight trajectories, has also been examined. In order to adapt the Mo-Si-B based coating to low partial pressures of oxygen and elevated temperatures, controlled amounts of Al were added to the Mo-Si-B based coating. The resulting coating decreased the inward

  17. Ni-Si oxide as an inducing crystallization source for making poly-Si

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Zhiguo; Liu, Zhaojun; Li, Juan; Wu, Chunya; Xiong, Shaozhen [Institute of Photo-electronics, Nankai University, Tianjin (China); Zhao, Shuyun; Wong, Man; Kwok, Hoi Sing [Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Kowloon, Hong Kong (China)

    2010-04-15

    Nickel silicon oxide mixture was sputtered on a-Si with Ni-Si alloy target with Ni:Si weight ratio of 1:9 and used as a new inducing source for metal induced lateral crystallization (MILC). The characteristics of the resulted poly-Si materials induced by Ni-Si oxide with different thickness were nearly the same. This means the metal induced crystallization with this new inducing source has wide processing tolerance to make MILC poly-Si. Besides, it reduced the residual Ni content in the resulted poly-Si film. The transfer characteristic curve of poly-Si TFT and a TFT-OLED display demo made with this kind of new inducing source were also presented in this paper. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Influence of Substrate on Crystal Orientation of Large-Grained Si Thin Films Formed by Metal-Induced Crystallization

    Directory of Open Access Journals (Sweden)

    Kaoru Toko

    2015-01-01

    Full Text Available Producing large-grained polycrystalline Si (poly-Si film on glass substrates coated with conducting layers is essential for fabricating Si thin-film solar cells with high efficiency and low cost. We investigated how the choice of conducting underlayer affected the poly-Si layer formed on it by low-temperature (500°C Al-induced crystallization (AIC. The crystal orientation of the resulting poly-Si layer strongly depended on the underlayer material: (100 was preferred for Al-doped-ZnO (AZO and indium-tin-oxide (ITO; (111 was preferred for TiN. This result suggests Si heterogeneously nucleated on the underlayer. The average grain size of the poly-Si layer reached nearly 20 µm for the AZO and ITO samples and no less than 60 µm for the TiN sample. Thus, properly electing the underlayer material is essential in AIC and allows large-grained Si films to be formed at low temperatures with a set crystal orientation. These highly oriented Si layers with large grains appear promising for use as seed layers for Si light-absorption layers as well as for advanced functional materials.

  19. Molecular dynamics study on heat transport from single-walled carbon nanotubes to Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Ya; Zhu, Jie, E-mail: zhujie@iet.cn; Tang, Da-Wei

    2015-02-06

    In this paper, non-equilibrium molecular dynamics simulations were performed to investigate the heat transport between a vertically aligned single-walled carbon nanotube (SWNT) and Si substrate, to find out the influence of temperature and system sizes, including diameter and length of SWNT and measurements of substrate. Results revealed that high temperature hindered heat transport in SWNT itself but was a beneficial stimulus for heat transport at interface of SWNT and Si. Furthermore, the system sizes strongly affected the peaks in vibrational density of states of Si, which led to interfacial thermal conductance dependent on system sizes. - Highlights: • NEMD is performed to simulate the heat transport from SWNT to Si substrate. • We analyze both interfacial thermal conductance and thermal conductivity of SWNT. • High temperature is a beneficial stimulus for heat transport at the interface. • Interfacial thermal conductance strongly depends on the sizes of SWNT and substrate. • We calculate VDOS of C and Si atoms to analyze phonon couplings between them.

  20. Ultrathin SiO{sub 2} layer formed by the nitric acid oxidation of Si (NAOS) method to improve the thermal-SiO{sub 2}/Si interface for crystalline Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Taketoshi; Nakajima, Hiroki; Irishika, Daichi; Nonaka, Takaaki; Imamura, Kentaro; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • The density of interface states at the SiO{sub 2}/Si interface is decreased by NAOS. • The minority carrier lifetime is increased by the NAOS treatment. • Great interfacial properties of the NAOS layer are kept after thermal oxidation. - Abstract: A combination of the nitric acid oxidation of Si (NAOS) method and post-thermal oxidation is found to efficiently passivate the SiO{sub 2}/n-Si(100) interface. Thermal oxidation at 925 °C and annealing at 450 °C in pure hydrogen atmosphere increases the minority carrier lifetime by three orders of magnitude, and it is attributed to elimination of Si dangling bond interface states. Fabrication of an ultrathin, i.e., 1.1 nm, NAOS SiO{sub 2} layer before thermal oxidation and H{sub 2} annealing further increases the minority carrier lifetime by 30% from 8.6 to 11.1 ms, and decreased the interface state density by 10% from 6.9 × 10{sup 9} to 6.3 × 10{sup 9}eV{sup −1} cm{sup −2}. After thermal oxidation at 800 °C, the SiO{sub 2} layer on the NAOS-SiO{sub 2}/Si(100) structure is 2.26 nm thick, i.e., 0.24 nm thicker than that on the Si(100) surface, while after thermal oxidation at 925 °C, it is 4.2 nm thick, i.e., 0.4 nm thinner than that on Si(100). The chemical stability results from the higher atomic density of a NAOS SiO{sub 2} layer than that of a thermal oxide layer as reported in Ref. [28] (Asuha et al., 2002). Higher minority carrier lifetime in the presence of the NAOS layer indicates that the NAOS-SiO{sub 2}/Si interface with a low interface state density is preserved after thermal oxidation, which supports out-diffusion oxidation mechanism, by which a thermal oxide layer is formed on the NAOS SiO{sub 2} layer.

  1. Carrier confinement in Ge/Si quantum dots grown with an intermediate ultrathin oxide layer

    Science.gov (United States)

    Kuryliuk, V.; Korotchenkov, O.; Cantarero, A.

    2012-02-01

    We present computational results for strain effects on charge carrier confinement in GexSi1-x quantum dots (QDs) grown on an oxidized Si surface. The strain and free carrier probability density distributions are obtained using the continuum elasticity theory and the effective-mass approximation implemented by a finite-element modeling scheme. Using realistic parameters and conditions for hemisphere and pyramid QDs, it is pointed out that an uncapped hemisphere dot deposited on the Si surface with an intermediate ultrathin oxide layer offers advantageous electron-hole separation distances with respect to a square-based pyramid grown directly on Si. The enhanced separation is associated with a larger electron localization depth in the Si substrate for uncapped hemisphere dots. Thus, for dot diameters smaller than 15-20 nm and surface density of the dots (nQD) ranging from about 1010 to 1012 cm-2, the localization depth may be enhanced from about 8 nm for a pyramid to 38 nm for a hemisphere dot. We find that the effect in a hemisphere dot is very sensitive to the dot density and size, whereas the localization depth is not significantly affected by the variation of the Ge fraction x in GexSi1-x and the aspect ratio of the dot. We also calculate the effect of the fixed oxide charge (Qox) with densities ranging from 10-9 to 10-7 C/cm2 for 10-Ωcm p-type Si wafers on the carrier confinement. Although the confinement potential can be strongly perturbed by the charge at nQD less than ≈4×1011 cm-2, it is not very sensitive to the value of Qox at higher nQD. Since, to our knowledge, there are no data on carrier confinement for Ge QDs deposited on oxidized Si surfaces, these results might be applicable to functional devices utilizing separated electrons and holes such as photovoltaic devices, spin transistors, and quantum computing components. The use of hemisphere QDs placed on oxidized Si rather than pyramid dots grown on bare Si may help to confine charge carriers deeper

  2. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  3. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  4. Silver-coated Si nanograss as highly sensitive surface-enhanced Raman spectroscopy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Jing; Kuo, Huei Pei; Hu, Min; Li, Zhiyong; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Ou, Fung Suong [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Rice University, Department of Applied Physics, Houston, TX (United States); Stickle, William F. [Hewlett-Packard Company, Advanced Diagnostic Lab, Corvallis, OR (United States)

    2009-09-15

    We created novel surface-enhanced Raman spectroscopy (SERS) substrates by metalization (Ag) of Si nanograss prepared by a Bosch process which involves deep reactive ion etching of single crystalline silicon. No template or lithography was needed for making the Si nanograss, thus providing a simple and inexpensive method to achieve highly sensitive large-area SERS substrates. The dependence of the SERS effect on the thickness of the metal deposition and on the surface morphology and topology of the substrate prior to metal deposition was studied in order to optimize the SERS signals. We observed that the Ag-coated Si nanograss can achieve uniform SERS enhancement over large area ({proportional_to}1 cm x 1 cm) with an average EF (enhancement factor) of 4.2 x 10{sup 8} for 4-mercaptophenol probe molecules. (orig.)

  5. A comparative DFT study on CO oxidation reaction over Si-doped BC2N nanosheet and nanotube

    Science.gov (United States)

    Nematollahi, Parisa; Neyts, Erik C.

    2018-05-01

    In this study, we performed density functional theory (DFT) calculations to investigate different reaction mechanisms of CO oxidation catalyzed by the Si atom embedded defective BC2N nanostructures as well as the analysis of the structural and electronic properties. The structures of all the complexes are optimized and characterized by frequency calculations at the M062X/6-31G∗ computational level. Also, The electronic structures and thermodynamic parameters of adsorbed CO and O2 molecules over Si-doped BC2N nanostructures are examined in detail. Moreover, to investigate the curvature effect on the CO oxidation reaction, all the adsorption and CO oxidation reactions on a finite-sized armchair (6,6) Si-BC2NNT are also studied. Our results indicate that there can be two possible pathways for the CO oxidation with O2 molecule: O2(g) + CO(g) → O2(ads) + CO(ads) → CO2(g) + O(ads) and O(ads) + CO(g) → CO2(g). The first reaction proceeds via the Langmuir-Hinshelwood (LH) mechanism while the second goes through the Eley-Rideal (ER) mechanism. On the other hand, by increasing the tube diameter, the energy barrier increases due to the strong adsorption energy of the O2 molecule which is related to its dissociation over the tube surface. Our calculations indicate that the two step energy barrier of the oxidation reaction over Si-BC2NNS is less than that over the Si-BC2NNT. Hence, Si-BC2NNS may serve as an efficient and highly activated substrate to CO oxidation rather than (4,4) Si-BC2NNT.

  6. Extremely improved InP template and GaInAsP system growth on directly-bonded InP/SiO2-Si and InP/glass substrate

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko

    2013-01-01

    We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  8. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  9. High-performance flexible thin-film transistors fabricated using print-transferrable polycrystalline silicon membranes on a plastic substrate

    International Nuclear Information System (INIS)

    Qin, Guoxuan; Yuan, Hao-Chih; Ma, Zhenqiang; Yang, Hongjun; Zhou, Weidong

    2011-01-01

    Inexpensive polycrystalline Si (poly-Si) with large grain size is highly desirable for flexible electronics applications. However, it is very challenging to directly deposit high-quality poly-Si on plastic substrates due to processing constrictions, such as temperature tolerance and residual stress. In this paper, we present our study on poly-Si membranes that are stress free and most importantly, are transferrable to any substrate including a low-temperature polyethylene terephthalate (PET) substrate. We formed poly-Si-on-insulator by first depositing small-grain size poly-Si on an oxidized Si wafer. We then performed high-temperature annealing for recrystallization to obtain larger grain size. After selective doping on the poly-Si-on-insulator, buried oxide was etched away. By properly patterning the poly-Si layer, residual stress in the released poly-Si membranes was completely relaxed. The flat membrane topology allows the membranes to be print transferred to any substrates. High-performance TFTs were demonstrated on the transferred poly-Si membranes on a PET substrate

  10. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  11. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  12. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  13. Preparation and Oxidation Resistance of Mo-Si-B Coating on Nb-Si Based Alloy Surface

    Directory of Open Access Journals (Sweden)

    PANG Jie

    2018-02-01

    Full Text Available Mo-Si-B coating was prepared on Nb-Si alloys to improve the high-temperature oxidation. The influence of the halide activators (NaF and AlF3 on Si-B co-depositing to obtain Mo-Si-B coating on Nb-Si alloys was analyzed by thermochemical calculations. The results show that NaF proves to be more suitable than AlF3 to co-deposit Si and B. Then Mo-Si-B can be coated on Nb-Si based alloys using detonation gun spraying of Mo followed by Si and B co-deposition. The fabricated coatings consist of outer MoSi2 layer with fine boride phase and inner unreacted Mo layer. The mass gain of the Mo-Si-B coating is 1.52mg/cm2 after oxidation at 1250℃ for 100h. The good oxidation resistance results in a protective borosilicate scale formed on the coating.

  14. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography

    International Nuclear Information System (INIS)

    Chen Peixuan; Fan Yongliang; Zhong Zhenyang

    2009-01-01

    A new scalable approach has been developed for fabricating large-scale pit patterns with controllable periodicity on Si(001) substrates. The fabrication processes start with self-assembling a monolayer of polystyrene (PS) spheres on hydrogenated Si(001) substrates. A novel net-like mask in combination of the Au pattern thermally evaporated in between the PS spheres and the Au-catalyzed SiO 2 around them is naturally formed. After selective etching of Si by KOH solution, two-dimensionally ordered pits with a periodicity equal to the diameter of the PS spheres in the range from micrometers to less than 100 nm can be obtained. The shape of the pits can be modulated by controlling the chemical etching time. Such pit-patterned Si substrates facilitate the formation of ordered Si-based nanostructures, such as ordered self-assembled GeSi quantum dots, by deposition of Ge using molecular beam epitaxy.

  15. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  16. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    International Nuclear Information System (INIS)

    Ashrafi, Almamun; Aminuzzaman, Mohammod

    2011-01-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2) ZnO ||(0 0 0 6) SiC and [112-bar 0] ZnO ||[112-bar 0] SiC . Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  17. Interface thermal resistance of nanostructured FeCoCu film and Si substrate

    Science.gov (United States)

    Nikolaenko, Yuri M.; Medvedev, Yuri V.; Genenko, Yuri A.; Ghafari, Mohammad; Hahn, Horst

    2006-05-01

    Results of measurement of thermal resistance (RFS ) of film substrate interface of 10 nm (Fe1-x Cox )1-y Cuy film on Si substrate with 50 nm SiO2 sublayer are presented. The estimated magnitude is two orders greater then RFS of epitaxial manganite films on StTiO3 substrate with and without sublayer. The significant increase of RFS is explained by granular structure of film with average size of grain about 10 nm. In this case the additional thermal barier in the film-substrate interface is appeared. It provides the change of regime of phonons propagation from ballistic to diffusion one. The principle possibility of variation of RFS in wide range as a task of nanotechnology is discussed.

  18. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  19. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  20. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  1. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  2. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  3. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  4. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. InAs/GaAs quantum dots on GaAs-on-V-grooved-Si substrate with high optical quality in the 1.3 μm band

    International Nuclear Information System (INIS)

    Wan, Yating; Li, Qiang; Geng, Yu; Shi, Bei; Lau, Kei May

    2015-01-01

    We report self-assembled InAs/GaAs quantum dots (QDs) grown on a specially engineered GaAs-on-V-grooved-Si substrate by metal-organic vapor phase epitaxy. Recessed pockets formed on V-groove patterned Si (001) substrates were used to prevent most of the hetero-interfacial stacking faults from extending into the upper QD active region. 1.3 μm room temperature emission from high-density (5.6 × 10 10  cm −2 ) QDs has been obtained, with a narrow full-width-at-half-maximum of 29 meV. Optical quality of the QDs was found to be better than those grown on conventional planar offcut Si templates, as indicated by temperature-dependent photoluminescence analysis. Results suggest great potential to integrate QD lasers on a Si complementary-metal-oxide-semiconductor compatible platform using such GaAs on Si templates

  6. Stress impedance effect of FeCoSiB/Cu/FeCoSiB sandwich layers on flexible substrate

    International Nuclear Information System (INIS)

    Peng, B.; Zhang, W.L.; Liu, J.D.; Zhang, W.X.

    2011-01-01

    FeCoSiB/Cu/FeCoSiB sandwich layers were deposited on flexible substrate to develop flexible stress/strain sensors. The influence of stress on the impedance of the multilayers is reported. The results show that the variation of the impedance increases with the increase in deflection of the free end of the cantilever. A relative change in impedance of 6.4% is obtained in the FeCoSiB(1.5 μm)/Cu(0.25 μm)/FeCoSiB(1.5 μm) sandwich layers at 1 MHz with deflection of 2 mm. The stress impedance effects are sensitive to the frequency of the current and the thickness of both FeCoSiB and Cu layers. The stress impedance effect increases with the increase in the thickness of FeCoSiB or Cu layers. The stress impedance effect increases slightly with the increase in frequency and decreases with the further increase in frequency, which can be understood by the stress and frequency-dependent permeability of magnetic films. - Research highlights: → We deposited FeCoSiB/Cu/FeCoSiB multilayer on flexible substrate. → We studied the stress impedance effect of FeCoSiB/Cu/FeCoSiB multilayer. → Stress impedance effect increases with thickness of both FeCoSiB and Cu layer.→ Stress impedance effect is dependent on current frequency. → Results are understood using stress and frequency-dependent permeability.

  7. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  8. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  9. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  10. Massive transfer of vertically aligned Si nanowire array onto alien substrates and their characteristics

    International Nuclear Information System (INIS)

    Shiu, Shu-Chia; Hung, Shih-Che; Chao, Jiun-Jie; Lin, Ching-Fuh

    2009-01-01

    Si nanowires (NWs) are promising materials for future electronic, photovoltaic, and sensor applications. So far the Si NWs are mainly formed on particular substrates or at high temperatures, greatly limiting their application flexibility. Here we report a low temperature process for forming and massively transferring vertically aligned Si NWs on alien substrates with a large density of about (3-5) x 10 7 NWs/mm 2 . The X-ray diffraction spectrum reveals that the transferred NWs exhibit almost the same crystal property as the bulk Si. Our investigation further shows that the transferred NWs have exceptional optical characteristics. The transferred Si NWs of 12.14 μm exhibit the transmittance as low as 0.3% in the near infrared region and 0.07% in the visible region. The extracted absorption coefficient of Si NWs in the near infrared region is about 3 x 10 3 cm -1 , over 30 times larger than that of the bulk Si. Because of the low temperature process, it enables a large variety of alien substrates such as glass and plastics to be used. In addition, the exceptional properties of the transferred NWs offer potential applications for photovoltaic, photo-detectors, sensors, and flexible electronics.

  11. Effect of substrate porosity on photoluminescence properties of ZnS films prepared on porous Si substrates by pulsed laser deposition

    Science.gov (United States)

    Wang, Cai-Feng; Li, Qing-Shan; Zhang, Li-Chun; Lv, Lei; Qi, Hong-Xia

    2007-05-01

    ZnS films were deposited on porous Si (PS) substrates with different porosities by pulsed laser deposition. The photoluminescence spectra of the samples were measured to study the effect of substrate porosity on luminescence properties of ZnS/porous Si composites. After deposition of ZnS films, the red photoluminescence peak of porous Si shows a slight blueshift compared with as-prepared porous Si samples. With an increase of the porosity, a green emission at about 550 nm was observed which may be ascribed to the defect-center luminescence of ZnS films, and the photoluminescence of ZnS/porous Si composites is very close to white light. Good crystal structures of the samples were observed by x-ray diffraction, showing that ZnS films were grown in preferred orientation. Due to the roughness of porous Si surface, some cracks appear in ZnS films, which could be seen from scanning electron microscope images.

  12. SiC as an oxidation-resistant refractory material. Pt. 1

    International Nuclear Information System (INIS)

    Schlichting, J.

    1979-01-01

    Uses his own investigations and gives a literature survey on the oxidation and corrosion behaviour of SiC (in the form of a pure SiC powder, hot-pressed and reaction-sintered materials). The excellent stability of SiC in oxidizing atmosphere is due to the development of protective SiO 2 coatings. Any changes in these protective coatings (e.g. due to impurities with corrosive media, high porosity of SiC, etc.) lead in most cases to increased rates of oxidation and thus restrict the field of application of SiC. (orig.) [de

  13. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Ashrafi, Almamun, E-mail: ash2phy@gmail.com [Department of Physics, University of Vermont, VT 05405 (United States); Aminuzzaman, Mohammod [Department of Chemical Science, Universiti Tunku Abdul Rahman, Perak (Malaysia)

    2011-05-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2){sub ZnO}||(0 0 0 6){sub SiC} and [112-bar 0]{sub ZnO}||[112-bar 0]{sub SiC}. Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  14. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  15. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  16. Surface adhesion study of La2O3 thin film on Si and glass substrate for micro-flexography printing

    Science.gov (United States)

    Hassan, S.; Yusof, M. S.; Embong, Z.; Maksud, M. I.

    2017-01-01

    Adhesive property can be described as an interchangeably with some ink and substance which was applied to one surface of two separate items that bonded together. Lanthanum oxide (La2O3) has been used as a rare earth metal candidate as depositing agent or printing ink. This metal deposit was embedded on Silica (Si) wafer and glass substrate using Magnetron Sputtering technique. The choose of Lanthanum oxide as a target is due to its wide application in producing electronic devices such as thin film battery and printed circuit board. The La2O3 deposited on the surface of Si wafer and glass substrate was then analyzed using Angle Resolve X-Ray Photoelectron Spectroscopy (ARXPS). The position for each synthetic component in the narrow scan of Lanthanum (La) 3d and O 1s are referred to the electron binding energy (eV). This research will focus on 3 narrow scan regions which are C 1s, O 1s and La 3d. Further discussion of the spectrum evaluation will be discussed in detail. Here, it is proposed that from the adhesive and surface chemical properties of La is the best on glass substrate which suitable as an alternative medium for micro-flexography printing technique in printing multiple fine solid lines at nano scale. Hence, this paper will describe the capability of this particular metal as rare earth metal in a practice of micro-flexography printing.

  17. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  18. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  19. Investigation of SiO{sub 2} film growth on 4H-SiC by direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3} and H{sub 2}O vapor at varied process durations

    Energy Technology Data Exchange (ETDEWEB)

    Poobalan, Banu [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Moon, Jeong Hyun; Kim, Sang-Cheol; Joo, Sung-Jae; Bahng, Wook; Kang, In Ho; Kim, Nam-Kyun [Power Semiconductor Research Centre, Korea Electrotechnology Research Institute, PO Box 20, Changwon, Gyungnam 641120 (Korea, Republic of); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2014-11-03

    This study has revealed that HNO{sub 3} and H{sub 2}O vapors can be utilized as direct thermal oxidation or postoxidation annealing agents at a temperature above 1000 °C; as they play a major role in simultaneous oxidation/nitridation/hydrogenation processes at the bulk oxide and SiO{sub 2}/SiC interface. The varied process durations of the above-mentioned techniques contribute to the development of thicker gate oxides for high power device applications with improved electrical properties, lower interface-state density and higher breakdown voltage as compared to oxides grown through a more conventional wet (H{sub 2}O vapor only) oxidation technique. The study highlights the effects of hydrogen and nitrogen species on the passivation of structural defects at the bulk oxide and the SiO{sub 2}/SiC interface, which are revealed through the use of Time-of-Flight Secondary Ion Mass Spectroscopy and X-ray Photoelectron Spectroscopy. The physical properties of the substrate after oxide removal show that the surface roughness decreases as the process durations increase with longer hours of H{sub 2}O and HNO{sub 3} vapor exposures on the samples, which is mainly due to the significant reduction of carbon content at the SiO{sub 2}/SiC interface. - Highlights: • Direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3}/H{sub 2}O vapor • SiO{sub 2} film growth in H{sub 2}O/HNO{sub 3}vapor at varied process durations • Thicker SiO{sub 2} film growth via annealing than direct growth in HNO{sub 3}/H{sub 2}O vapor • Nitrogen and hydrogen as passivation elements in SiO{sub 2}/SiC interface and SiO{sub 2} bulk • Significant reduction of carbon and Si-dangling bonds at the SiC/SiO{sub 2} interface.

  20. Size- and phase-dependent mechanical properties of ultrathin Si films on polyimide substrates

    International Nuclear Information System (INIS)

    Schlich, Franziska F.; Spolenak, Ralph

    2016-01-01

    Ultrathin Si films in the nanometer range are extensively used for electronic and optoelectronic devices. Their mechanical properties have a high impact on the durability of the devices during lifetime. Here, fragmentation and buckling of 8–103 nm thin amorphous and polycrystalline (poly-) Si films on polyimide substrates have been studied by in situ light microscopy, Raman spectroscopy and resistance measurements. Generally, a smaller film thickness and a compressive residual stress delays the fracture of the film. The fracture strength of poly-Si films is larger compared to that of amorphous Si films while the adhesion to the substrate is better for amorphous Si compared to poly-Si. The onset delamination as a function of film thickness differs for the two phases and is described by two different models. Thin-film models for fracture toughness (amorphous Si: K 1C  = 1.49 ± 0.22, poly-Si: K 1C  = 3.36 ± 1.37) are applied, discussed, and found to be consistent with literature values.

  1. Oxidation-resistant interface coatings for SiC/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Stinton, D.P.; Kupp, E.R.; Hurley, J.W.; Lowden, R.A. [Oak Ridge National Lab., TN (United States)] [and others

    1996-08-01

    The characteristics of the fiber-matrix interfaces in ceramic matrix composites control the mechanical behavior of these composites. Finite element modeling (FEM) was performed to examine the effect of interface coating modulus and coefficient of thermal expansion on composite behavior. Oxide interface coatings (mullite and alumina-titania) produced by a sol-gel method were chosen for study as a result of the FEM results. Amorphous silicon carbide deposited by chemical vapor deposition (CVD) is also being investigated for interface coatings in SiC-matrix composites. Processing routes for depositing coatings of these materials were developed. Composites with these interfaces were produced and tested in flexure both as-processed and after oxidation to examine the suitability of these materials as interface coatings for SiC/SiC composites in fossil energy applications.

  2. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  3. Control of Alq3 wetting layer thickness via substrate surface functionalization.

    Science.gov (United States)

    Tsoi, Shufen; Szeto, Bryan; Fleischauer, Michael D; Veinot, Jonathan G C; Brett, Michael J

    2007-06-05

    The effects of substrate surface energy and vapor deposition rate on the initial growth of porous columnar tris(8-hydroxyquinoline)aluminum (Alq3) nanostructures were investigated. Alq3 nanostructures thermally evaporated onto as-supplied Si substrates bearing an oxide were observed to form a solid wetting layer, likely caused by an interfacial energy mismatch between the substrate and Alq3. Wetting layer thickness control is important for potential optoelectronic applications. A dramatic decrease in wetting layer thickness was achieved by depositing Alq3 onto alkyltrichlorosilane-derivatized Si/oxide substrates. Similar effects were noted with increasing deposition rates. These two effects enable tailoring of the wetting layer thickness.

  4. Long-Wavelength InAs/GaAs Quantum-Dot Light Emitting Sources Monolithically Grown on Si Substrate

    Directory of Open Access Journals (Sweden)

    Siming Chen

    2015-06-01

    Full Text Available Direct integration of III–V light emitting sources on Si substrates has attracted significant interest for addressing the growing limitations for Si-based electronics and allowing the realization of complex optoelectronics circuits. However, the high density of threading dislocations introduced by large lattice mismatch and incompatible thermal expansion coefficient between III–V materials and Si substrates have fundamentally limited monolithic epitaxy of III–V devices on Si substrates. Here, by using the InAlAs/GaAs strained layer superlattices (SLSs as dislocation filter layers (DFLs to reduce the density of threading dislocations. We firstly demonstrate a Si-based 1.3 µm InAs/GaAs quantum dot (QD laser that lases up to 111 °C, with a low threshold current density of 200 A/cm2 and high output power over 100 mW at room temperature. We then demonstrate the operation of InAs/GaAs QD superluminescent light emitting diodes (SLDs monolithically grown on Si substrates. The fabricated two-section SLD exhibits a 3 dB linewidth of 114 nm, centered at ~1255 nm with a corresponding output power of 2.6 mW at room temperature. Our work complements hybrid integration using wafer bonding and represents a significant milestone for direct monolithic integration of III–V light emitters on Si substrates.

  5. High-temperature oxidation behavior of dense SiBCN monoliths: Carbon-content dependent oxidation structure, kinetics and mechanisms

    International Nuclear Information System (INIS)

    Li, Daxin; Yang, Zhihua; Jia, Dechang; Wang, Shengjin; Duan, Xiaoming; Zhu, Qishuai; Miao, Yang; Rao, Jiancun; Zhou, Yu

    2017-01-01

    Highlights: •The scale growth for all investigated monoliths at 1500 °C cannot be depicted by a linear or parabolic rate law. •The carbon-rich monoliths oxidize at 1500 °C according to a approximately linear weight loss equation. •The excessive carbon in SiBCN monoliths deteriorates the oxidation resistance. •The oxidation resistance stems from the characteristic oxide structures and increased oxidation resistance of BN(C). -- Abstract: The high temperature oxidation behavior of three SiBCN monoliths: carbon-lean SiBCN with substantial Si metal, carbon-moderate SiBCN and carbon-rich SiBCN with excessive carbon, was investigated at 1500 °C for times up to15 h. Scale growth for carbon-lean and −moderate monoliths at 1500 °C cannot be described by a linear or parabolic rate law, while the carbon-rich monoliths oxidize according to a approximately linear weight loss equation. The microstructures of the oxide scale compose of three distinct layers. The passivating layer of carbon and boron containing amorphous SiO 2 and increased oxidation resistance of BN(C) both benefit the oxidation resistance.

  6. Oxidation of C/SiC Composites at Reduced Oxygen Partial Pressures

    Science.gov (United States)

    Opila, Elizabeth J.; Serra, Jessica

    2009-01-01

    Carbon-fiber reinforced SiC (C/SiC) composites are proposed for leading edge applications of hypersonic vehicles due to the superior strength of carbon fibers at high temperatures (greater than 1500 C). However, the vulnerability of the carbon fibers in C/SiC to oxidation over a wide range of temperatures remains a problem. Previous oxidation studies of C/SiC have mainly been conducted in air or oxygen, so that the oxidation behavior of C/SiC at reduced oxygen partial pressures of the hypersonic flight regime are less well understood. In this study, both carbon fibers and C/SiC composites were oxidized over a wide range of temperatures and oxygen partial pressures to facilitate the understanding and modeling of C/SiC oxidation kinetics for hypersonic flight conditions.

  7. C/SiC/MoSi2-Si multilayer coatings for carbon/carbon composites for protection against oxidation

    International Nuclear Information System (INIS)

    Zhang Yulei; Li Hejun; Qiang Xinfa; Li Kezhi; Zhang Shouyang

    2011-01-01

    Highlights: → A C/SiC/MoSi 2 -Si multilayer coating was prepared on C/C by slurry and pack cementation. → Multilayer coating can protect C/C for 300 h at 1873 K or 103 h at 1873 K in air. → The penetration cracks in the coating result in the weight loss of the coated C/C. → The fracture of the coated C/C in wind tunnel result from the excessive local stress. - Abstract: To improve the oxidation resistance of carbon/carbon (C/C) composites, a C/SiC/MoSi 2 -Si multilayer oxidation protective coating was prepared by slurry and pack cementation. The microstructure of the as-prepared coating was characterized by scanning electron microscopy, X-ray diffraction and energy dispersive spectroscopy. The isothermal oxidation and erosion resistance of the coating was investigated in electrical furnace and high temperature wind tunnel. The results showed that the multilayer coating could effectively protect C/C composites from oxidation in air for 300 h at 1773 K and 103 h at 1873 K, and the coated samples was fractured after erosion for 27 h at 1873 K h in wind tunnel. The weight loss of the coated specimens was considered to be caused by the formation of penetration cracks in the coating. The fracture of the coated C/C composites might result from the excessive local stress in the coating.

  8. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Oxidation of SiC/BN/SiC Composites in Reduced Oxygen Partial Pressures

    Science.gov (United States)

    Opila, Elizabeth J.; Boyd, Meredith

    2010-01-01

    SiC fiber-reinforced SiC composites with a BN interphase are proposed for use as leading edge structures of hypersonic vehicles. The durability of these materials under hypersonic flight conditions is therefore of interest. Thermogravimetric analysis was used to characterize the oxidation kinetics of both the constituent fibers and composite coupons at four temperatures: 816, 1149, 1343, and 1538 C (1500, 2100, 2450, and 2800 F) and in oxygen partial pressures between 5% and 0.1% (balance argon) at 1 atm total pressure. One edge of the coupons was ground off so the effects of oxygen ingress into the composite could be monitored by post-test SEM and EDS. Additional characterization of the oxidation products was conducted by XPS and TOF-SIMS. Under most conditions, the BN oxidized rapidly, leading to the formation of borosilicate glass. Rapid initial oxidation followed by volatilization of boria lead to protective oxide formation and further oxidation was slow. At 1538C in 5% oxygen, both the fibers and coupons exhibited borosilicate glass formation and bubbling. At 1538C in 0.1% oxygen, active oxidation of both the fibers and the composites was observed leading to rapid SiC degradation. BN oxidation at 1538C in 0.1% oxygen was not significant.

  10. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  11. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  12. Substrate overload: Glucose oxidation in human myotubes conquers palmitate oxidation through anaplerosis

    DEFF Research Database (Denmark)

    Gaster, Michael

    2009-01-01

    of citrate was increased which could be abolished by phenylacetic acid (inhibitor of pyruvate carboxylase (PC)). The present data challenges above preconceptions. Although they operate at low-moderate substrate levels additional two principles determine substrate oxidation at higher substrate concentrations...

  13. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  14. Morphological and electrical properties of self-assembled iron silicide nanoparticles on Si(0 0 1) and Si(1 1 1) substrates

    International Nuclear Information System (INIS)

    Molnár, G.; Dózsa, L.; Erdélyi, R.; Vértesy, Z.; Osváth, Z.

    2015-01-01

    Highlights: • Epitaxial iron silicide nanostructures were grown on Si(1 1 1) and Si(0 0 1) substrates. • The size and shape of the particles are the function of the thickness and annealing. • The local current–voltage characteristics were measured by conductive AFM. • The different size and shape nanoparticles show similar I–V characteristics. • The tip current is dominated in few nm size sites, visible in the AFM phase image. - Abstract: Epitaxial iron silicide nanostructures are grown by solid phase epitaxy on Si(0 0 1) and Si(1 1 1), and by reactive deposition epitaxy on Si(0 0 1) substrates. The formation process is monitored by reflection high-energy electron diffraction. The morphology, size, and electrical properties of the nanoparticles are investigated by scanning electron microscopy, by electrically active scanning probe microscopy, and by confocal Raman spectroscopy. The results show that the shape, size, orientation, and density of the nanoobjects can be tuned by self-assembly, controlled by the lattice misfit between the substrates and iron silicides. The size distribution and shape of the grown nanoparticles depend on the substrate orientation, on the initial thickness of the evaporated iron, on the temperature and time of the annealing, and on the preparation method. The so-called Ostwald ripening phenomena, which state that the bigger objects develop at the expense of smaller ones, controls the density of the nanoparticles. Raman spectra show the bigger objects do not contain β-FeSi 2 phase. The different shape nanoparticles exhibit small, about 100 mV barrier compared to the surrounding silicon. The local leakage current of the samples measured by conductive AFM using a Pt coated Si tip is localized in a few nanometers size sites, and the sites which we assume are very small silicide nanoparticles or point defects.

  15. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  16. Preparation of anti-oxidative SiC/SiO2 coating on carbon fibers from vinyltriethoxysilane by sol–gel method

    International Nuclear Information System (INIS)

    Xia Kedong; Lu Chunxiang; Yang Yu

    2013-01-01

    Highlights: ► The SiC/SiO 2 coating was prepared on carbon fibers by the sol–gel method. ► Nano-crystallites with an average diameter of 130 nm were aligned along the fiber axis uniformly. ► The oxidation resistant property of coated carbon fiber was increased with the increase of sol concentration and the heat treatment temperature. ► The oxidation activation energy of the coated carbon fiber was increased by 23% in comparison with uncoated carbon fiber. - Abstract: The anti-oxidative SiC/SiO 2 coating was prepared on carbon fibers by a sol–gel process using vinyltriethoxysilane (VTES) as the single source precursor. The derived coating was characterized by scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). The oxidation resistant properties of the carbon fiber with and without coating were studied by isothermal oxidation. The results indicated that the carbothermal reduction reaction led to the decrease of SiO 2 phase and the increase of SiC phase at 1500 °C. The uniform SiC/SiO 2 coating prepared from a sol concentration of 4 wt% and heat treated at 1500 °C showed the optimal oxidation resistant property. The oxidation resistance of the carbon fiber was improved by the SiC/SiO 2 coating, and the oxidation activation energy was increased by about 23% as compared with uncoated carbon fiber.

  17. Formation of silicon Oxide nano thickness on Si (III) with the assistance of Cs

    International Nuclear Information System (INIS)

    Bahari, A.; Bagheri, M.

    2006-01-01

    : The possibility of controlling the growth of a uniform ultra thin oxide on silicon via oxygen dosing at low temperatures, would be a great interest for the projected further development of nano electronics. One way to achieve this is to be able to control the conversion of chemically adsorbed oxygen and retained at room temperature into oxide during subsequent heating. Oxygen is chemisorbed at room temperature on Si(111) surface to saturation ( >100 L O 2 ), and the experimental chamber is then evacuated. This leaves adsorbed oxygen as atomically inserted on Si surface which sits on the back bonds. This surface is then used as a base for further processing which in one case consists of annealing to 600- 700 d eg C and subsequent exposures equivalent to the first step. This is repeated again. As the focus of this work, a series of experiments are done with adsorbed Cs, which assists in retaining oxygen and in transforming the adsorbed oxygen into oxide upon heating. It was found that the oxide formed on the surface at low coverage clusters. Without any external influence, the clusters may be made to coalesce upon further oxygen adsorption at room temperature, and annealing terminates as a continuous monolayer of amorphous oxide on top of a well-ordered silicon substrate. This configuration is inert to further uptake of oxygen. A higher oxide thickness could be obtained with Cs. Also in this case, the oxide growth saturates in an inert oxide Iayer

  18. Pt-Si Bifunctional Surfaces for CO and Methanol Electro-Oxidation

    DEFF Research Database (Denmark)

    Permyakova, Anastasia A.; Han, Binghong; Jensen, Jens Oluf

    2015-01-01

    and storage. Here we report on Pt-Si bulk samples prepared by arc-melting, for the first time, with high activities toward the electro-oxidation of CO and methanol. Increasing the Si concentration on the surface was correlated with the shifts of onset oxidation potentials to lower values and higher activities...... for CO and methanol electro-oxidation. It is proposed that the reaction on the Pt-Si catalyst could follow a Langmuir-Hinshelwood type of mechanism, where substantially enhanced catalytic activity is attributed to the fine-tuning of the surface Pt-Si atomic structure....

  19. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  20. Highly active surface-enhanced Raman scattering (SERS) substrates based on gold nanoparticles infiltrated into SiO{sub 2} inverse opals

    Energy Technology Data Exchange (ETDEWEB)

    Ankudze, Bright; Philip, Anish [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Pakkanen, Tuula T., E-mail: Tuula.Pakkanen@uef.fi [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Matikainen, Antti; Vahimaa, Pasi [Institute of Photonics, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland)

    2016-11-30

    Highlights: • SERS substrates prepared by infiltration of nanoparticles into SiO{sub 2} inverse opal. • The SERS substrate gives an enhancement factor of 10{sup 7} for 4-aminothiophenol. • The sensitivity of the substrate is mainly attributed to gold nanoparticle clusters. - Abstract: SiO{sub 2} inverse opal (IO) films with embedded gold nanoparticles (AuNPs) for surface-enhanced Raman scattering (SERS) application are reported. SiO{sub 2} IO films were loaded with AuNPs by a simple infiltration in a single cycle to form Au-SiO{sub 2} IOs. The optical property and the morphology of the Au-SiO{sub 2} IO substrates were characterized; it was observed that they retained the Bragg diffraction of SiO{sub 2} IO and the localized surface plasmon resonance (LSPR) of AuNPs. The SERS property of the Au-SiO{sub 2} IO substrates were studied with methylene blue (MB) and 4-aminothiophenol (4-ATP). The SERS enhancement factors were 10{sup 7} and 10{sup 6} for 4-ATP and MB, respectively. A low detection limit of 10{sup −10} M for 4-ATP was also obtained with the Au-SiO{sub 2} IO substrate. A relative standard deviation of 18.5% for the Raman signals intensity at 1077 cm{sup −1} for 4-ATP shows that the Au-SiO{sub 2} IO substrates have good signal reproducibility. The results of this study indicate that the Au-SiO{sub 2} IO substrates can be used in sensing and SERS applications.

  1. Effect of re-oxidation annealing process on the SiO2/SiC interface characteristics

    International Nuclear Information System (INIS)

    Yan Hongli; Jia Renxu; Tang Xiaoyan; Song Qingwen; Zhang Yuming

    2014-01-01

    The effect of the different re-oxidation annealing (ROA) processes on the SiO 2 /SiC interface characteristics has been investigated. With different annealing processes, the flat band voltage, effective dielectric charge density and interface trap density are obtained from the capacitance—voltage curves. It is found that the lowest interface trap density is obtained by the wet-oxidation annealing process at 1050 °C for 30 min, while a large number of effective dielectric charges are generated. The components at the SiO 2 /SiC interface are analyzed by X-ray photoelectron spectroscopy (XPS) testing. It is found that the effective dielectric charges are generated due to the existence of the C and H atoms in the wet-oxidation annealing process. (semiconductor technology)

  2. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  3. High temperature oxidation behavior of SiC coating in TRISO coated particles

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Bing; Zhang, Kaihong; Liu, Malin; Shao, Youlin; Tang, Chunhe

    2014-01-01

    Highlights: • High temperature oxidation tests of SiC coating in TRISO particles were carried out. • The dynamic oxidation process was established. • Oxidation mechanisms were proposed. • The existence of silicon oxycarbides at the SiO 2 /SiC interface was demonstrated. • Carbon was detected at the interface at high temperatures and long oxidation time. - Abstract: High temperature oxidation behavior of SiC coatings in tristructural-isotropic (TRISO) coated particles is crucial to the in-pile safety of fuel particles for a high temperature gas cooled reactor (HTGR). The postulated accident condition of air ingress was taken into account in evaluating the reliability of the SiC layer. Oxidation tests of SiC coatings were carried out in the ranges of temperature between 800 and 1600 °C and time between 1 and 48 h in air atmosphere. Based on the microstructure evolution of the oxide layer, the mechanisms and kinetics of the oxidation process were proposed. The existence of silicon oxycarbides (SiO x C y ) at the SiO 2 /SiC interface was demonstrated by X-ray photospectroscopy (XPS) analysis. Carbon was detected by Raman spectroscopy at the interface under conditions of very high temperatures and long oxidation time. From oxidation kinetics calculation, activation energies were 145 kJ/mol and 352 kJ/mol for the temperature ranges of 1200–1500 °C and 1550–1600 °C, respectively

  4. Electrical properties of SiO{sub 2}/SiC interfaces on 2°-off axis 4H-SiC epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Vivona, M., E-mail: marilena.vivona@imm.cnr.it [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Fiorenza, P. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Sledziewski, T.; Krieger, M. [Friedrich-Alexander-University (FAU) Erlangen-Nuremberg, Department of Physics, Staudtstrasse 7/Bld. A3, D-91058 Erlangen (Germany); Chassagne, T.; Zielinski, M. [NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex (France); Roccaforte, F. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy)

    2016-02-28

    Graphical abstract: - Highlights: • Processing and electrical characterization of MOS capacitors fabricated on 4H-SiC epilayers grown on 2°-off axis heavily doped substrates. • Excellent characteristics of the SiO{sub 2}/4H-SiC interface in terms of flatness, interface state density and oxide reliability. • Electrical behavior of the MOS devices comparable with that obtained for the state-of-the-art of 4°-off axis 4H-SiC material. • Demonstration of the maturity of the 2°-off axis material for application in 4H-SiC MOSFET device technology. - Abstract: In this paper, the electrical properties of the SiO{sub 2}/SiC interface on silicon carbide (4H-SiC) epilayers grown on 2°-off axis substrates were studied. After epilayer growth, chemical mechanical polishing (CMP) allowed to obtain an atomically flat surface with a roughness of 0.14 nm. Metal-oxide-semiconductor (MOS) capacitors, fabricated on this surface, showed an interface state density of ∼1 × 10{sup 12} eV{sup −1} cm{sup −2} below the conduction band, a value which is comparable to the standard 4°-off-axis material commonly used for 4H-SiC MOS-based device fabrication. Moreover, the Fowler–Nordheim and time-zero-dielectric breakdown analyses confirmed an almost ideal behavior of the interface. The results demonstrate the maturity of the 2°-off axis material for 4H-SiC MOSFET device fabrication.

  5. Crystallization and electrical resistivity of Cu2O and CuO obtained by thermal oxidation of Cu thin films on SiO2/Si substrates

    International Nuclear Information System (INIS)

    De Los Santos Valladares, L.; Salinas, D. Hurtado; Dominguez, A. Bustamante; Najarro, D. Acosta; Khondaker, S.I.; Mitrelias, T.; Barnes, C.H.W.; Aguiar, J. Albino; Majima, Y.

    2012-01-01

    In this work, we study the crystallization and electrical resistivity of the formed oxides in a Cu/SiO 2 /Si thin film after thermal oxidation by ex-situ annealing at different temperatures up to 1000 °C. Upon increasing the annealing temperature, from the X ray diffractogram the phase evolution Cu → Cu + Cu 2 O → Cu 2 O → Cu 2 O + CuO → CuO was detected. Pure Cu 2 O films are obtained at 200 °C, whereas uniform CuO films without structural surface defects such as terraces, kinks, porosity or cracks are obtained in the temperature range 300–550 °C. In both oxides, crystallization improves with annealing temperature. A resistivity phase diagram, which is obtained from the current–voltage response, is presented here. The resistivity was expected to increase linearly as a function of the annealing temperature due to evolution of oxides. However, anomalous decreases are observed at different temperatures ranges, this may be related to the improvement of the crystallization and crystallite size when the temperature increases. - Highlights: ► The crystallization and electrical resistivity of oxides in a Cu films are studied. ► In annealing Cu films, the phase evolution Cu + Cu 2 O → Cu 2 O → Cu 2 O + CuO → CuO occurs. ► A resistivity phase diagram, obtained from the current–voltage response, is presented. ► Some decreases in the resistivity may be related to the crystallization.

  6. Mo-based compounds for SiC-SiC joints

    Energy Technology Data Exchange (ETDEWEB)

    Magnani, G.; Beaulardi, L.; Mingazzini, C. [ENEA-Faenza (Italy). New Material Div.; Marmo, E. [Fabbricazioni Nucleari S.p.A., Bosco Mavengo (Italy)

    2002-07-01

    New method to joint silicon carbide-based material was developed. It was based on mixture composed mainly by molybdenum silicides. This mixture was tested as brazing mixture with several types of silicon carbide-based material. Microstructural examination of the joint showed that brazing mixture reacted with substrate to form silicon carbide on the surface, while two different molybdenum silicides were identified inside the joint (MoSi{sub 2} and Mo{sub 4.8}Si{sub 3}C{sub 0.6}). Preliminary oxidation tests performed by means of TGA showed high oxidation resistance of this joint over 1000 C making it very promising for high temperature application like ceramic heat exchanger. (orig.)

  7. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  8. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H-SiC in diluted N{sub 2}O ambient

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2012-10-15

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N{sub 2}O ambient (10-100%) at 500 Degree-Sign C for 15 min to form Zr-oxynitride on 4H-SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N{sub 2}O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr-O-N and/or Zr-N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: Black-Right-Pointing-Pointer Zr-oxynitride as the gate oxide deposited on 4H-SiC substrate. Black-Right-Pointing-Pointer Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H-SiC using various concentrations of N{sub 2}O gas. Black-Right-Pointing-Pointer Presence of interfacial layer comprised of mixed compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N. Black-Right-Pointing-Pointer The highest electrical breakdown and highest reliability at diluted N{sub 2}O of 10%.

  9. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  10. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  11. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  12. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  13. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  14. The effect of substrate microstructure on high temperature oxidation of Zr alloy

    International Nuclear Information System (INIS)

    Li, H.; Lin, J.; Szpunar, J.

    2005-01-01

    'Full text:' Specimens with various substrate microstructures of Zr-2.5Nb, Zircaloy 4 and pure Zr have been oxidized at 500C in air. Oxidation kinetics is measured and the microstructures of both oxide and substrate are analyzed. The difference in oxidation kinetics among various specimens is significant. This difference is explained by the distribution of oxide grain size, grain shape and grain boundary, which are controlled by substrate grain size and β phase distribution. The previously proposed model of Zr oxidation is used to predict oxidation kinetics and oxide microstructure from substrate microstructure. Computer simulation based on the model is performed and simulation results are compared with the experimental results. (author)

  15. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  16. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  17. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  18. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  19. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates

    Science.gov (United States)

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-01

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  20. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  1. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  2. Electrical Properties of Photodiode Ba0.25Sr0.75TiO3 (BST Thin Film Doped with Ferric Oxide on p-type Si (100 Substrate using Chemical Solution Deposition Method

    Directory of Open Access Journals (Sweden)

    Irzaman

    2011-12-01

    Full Text Available In this paper we have grown pure Ba0.25Sr0.75TiO3 (BST and BST doped by Ferric Oxide Fe2O3 (BFST with doping variations of 5%, 10%, and 15% above type-p Silicon (100 substrate using the chemical solution deposition (CSD method with spin coating technique at rotation speed of 3000 rpm, for 30 seconds. BST thin film are made with a concentration of 1 M 2-methoxyethanol and annealing temperature of 850OC for the Si (100 substrate. Characterization of the thin film is performed for the electrical properties such as the current-voltage (I-V curve using Keithley model 2400 as well as dielectric constant, time constant, pyroelectric characteristics, and depth measurement. The results show that the thin film depth increases if the concentration of the Ferric Oxide doping increases. The I-V characterization shows that the BST and BFST thin film has photodiode properties. The dielectric constant increases with the addition of doping. The maximum dielectric constant value is obtained for 15 % doping concentration namely 83.1 for pure BST and 6.89, 11.1, 41.63 and 83.1, respectively for the Ferric Oxide doping based BST with concentration of 5%, 10%, and 15%. XRD spectra of 15 % of ferric oxide doped BST thin film tetragonal phase, we carried out the lattice constant were a = b = 4.203 Å; c = 4.214 Å; c/a ratio = 1.003

  3. Oxidation and creep behavior of Mo*5*Si*3* based materials

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, Mitch [Iowa State Univ., Ames, IA (United States)

    1995-06-19

    Mo5Si3 shows promise as a high temperature creep resistant material. The high temperature oxidation resistance of Mo5Si3 has been found to be poor, however, limiting its use in oxidizing atmospheres. Undoped Mo5Si3 exhibits mass loss in the temperature range 800°-1200°C due to volatilization of molybdenum oxide, indicating that the silica scale does not provide a passivating layer. The addition of boron results in protective scale formation and parabolic oxidation kinetics in the temperature range of 1050{degrees}-1300°C. The oxidation rate of Mo5Si3 was decreased by 5 orders of magnitude at 1200°C by doping with less than two weight percent boron. Boron doping eliminates catastrophic "pest" oxidation at 800°C. The mechanism for improved oxidation resistance of boron doped Mo5Si3 is due to scale modification by boron.

  4. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  5. Water absorption in thermally grown oxides on SiC and Si: Bulk oxide and interface properties

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Xu, Can; Feldman, Leonard C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, New Jersey 08854 (United States); Yakshinskiy, Boris; Wielunski, Leszek; Gustafsson, Torgny [Department of Physics and Astronomy, Rutgers University, Piscataway, New Jersey 08854 (United States); Bloch, Joseph [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); NRCN, Beer-Sheva 84190 (Israel); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2014-11-10

    We combine nuclear reaction analysis and electrical measurements to study the effect of water exposure (D{sub 2}O) on the n-type 4H-SiC carbon face (0001{sup ¯}) MOS system and to compare to standard silicon based structures. We find that: (1) The bulk of the oxides on Si and SiC behave essentially the same with respect to deuterium accumulation; (2) there is a significant difference in accumulation of deuterium at the semiconductor/dielectric interface, the SiC C-face structure absorbs an order of magnitude more D than pure Si; (3) standard interface passivation schemes such as NO annealing greatly reduce the interfacial D accumulation; and (4) the effective interfacial charge after D{sub 2}O exposure is proportional to the total D amount at the interface.

  6. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    Science.gov (United States)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  7. Effect of Si3N4 Addition on Oxidation Resistance of ZrB2-SiC Composites

    Directory of Open Access Journals (Sweden)

    Manab Mallik

    2017-06-01

    Full Text Available The oxidation behavior of ZrB2-20 vol % SiC and ZrB2-20 vol % SiC-5 vol % Si3N4 composites prepared by hot-pressing and subjected to isothermal exposure at 1200 or 1300 °C for durations of 24 or 100 h in air, as well as cyclic exposure at 1300 °C for 24 h, have been investigated. The oxidation resistance of the ZrB2-20 vol % SiC composite has been found to improve by around 20%–25% with addition of 5 vol % Si3N4 during isothermal or cyclic exposures at 1200 or 1300 °C. This improvement in oxidation resistance has been attributed to the formation of higher amounts of SiO2 and Si2N2O, as well as a greater amount of continuity in the oxide scale, because these phases assist in closing the pores and lower the severity of cracking by exhibiting self-healing type behavior. For both the composites, the mass changes are found to be higher during cyclic exposure at 1300 °C by about 2 times compared to that under isothermal conditions.

  8. The InP - SiO2 interface: Electron tunneling into oxide traps

    International Nuclear Information System (INIS)

    Prasad, S.J.; Owen, S.J.T.

    1985-01-01

    Indium Phosphide is an attractive material for high-speed devices. Though many successful devices have been built and demonstrated, InP MISFET's still suffer from drain current drift. From the data current drift measurements, the shift in the threshold voltage ΔV was computed for different times. It was found that a linear relationship exists between √ΔV and log(t). When a positive bias-stress was applied to the gate of an MIS capacitor for a time t, the C-V cure shifted by an amount ΔV and again, a linear relationship was observed between √ΔV and log(t). This was verified on four different gate insulators: pyrolytic SiO 2 at 320 0 C and 360 0 C, plasma oxide at 300 0 C and photo CVD oxide at 225 0 C. These results can only be explained by a model in which electrons tunnel from the substrate into oxide traps

  9. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  10. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor of Si 3p - O 2p hybridization in the upper valence band. This coincides with a significant increase of the material's resistivity, possibly indicating the breakdown of the conducting crystalline Si network. Silicon oxide layers with a thickness of several hundred nanometres were deposited in a PECVD (plasma-enhanced chemical vapor deposition) multi chamber system

  11. Double transparent conducting layers for Si photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Yun, Ju-Hyung [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States); Kim, Joondong, E-mail: joonkim@incheon.ac.kr [Department of Electrical Engineering, Incheon National University, Incheon, 406772 (Korea, Republic of); Park, Yun Chang [Measurement and Analysis Division, National Nanofab Center (NNFC), Daejeon 305806 (Korea, Republic of); Moon, Sang-Jin [Energy Materials Research Center, Korea Research Institute of Chemical Technology (KRICT), Daejeon 305-600 (Korea, Republic of); Anderson, Wayne A. [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States)

    2013-11-29

    Double transparent conductive oxide (TCO) film-embedded Si heterojunction solar cells were fabricated. An intentional doping was not applied for heterojunction solar cells due to the spontaneous Schottky junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedded Si (ITO/AZO/Si) heterojunction solar cell provided significantly enhanced efficiency of 9.23 % as compared to the single TCO/Si (ITO/Si or AZO/Si) devices due to the optical and the electrical benefits. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme for an effective TCO film-embedded heterojunction Si solar cell. - Highlights: • Double transparent conducting oxide films form a heterojunction to Si. • A quality indium-tin-oxide film was grown above an Al-doped zinc oxide template. • Heterojunction Si solar cell was made without an intentional doping process.

  12. Double transparent conducting layers for Si photovoltaics

    International Nuclear Information System (INIS)

    Yun, Ju-Hyung; Kim, Joondong; Park, Yun Chang; Moon, Sang-Jin; Anderson, Wayne A.

    2013-01-01

    Double transparent conductive oxide (TCO) film-embedded Si heterojunction solar cells were fabricated. An intentional doping was not applied for heterojunction solar cells due to the spontaneous Schottky junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedded Si (ITO/AZO/Si) heterojunction solar cell provided significantly enhanced efficiency of 9.23 % as compared to the single TCO/Si (ITO/Si or AZO/Si) devices due to the optical and the electrical benefits. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme for an effective TCO film-embedded heterojunction Si solar cell. - Highlights: • Double transparent conducting oxide films form a heterojunction to Si. • A quality indium-tin-oxide film was grown above an Al-doped zinc oxide template. • Heterojunction Si solar cell was made without an intentional doping process

  13. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    Directory of Open Access Journals (Sweden)

    Masaaki Shimatani

    2016-03-01

    Full Text Available Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO2 layer, and metal electrode comprise a metal-oxide-semiconductor (MOS capacitor due to the presence of defects at the interface between the Si substrate and SiO2 layer. The difference in the diffusion time of the intrinsic major carriers (electrons and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.

  14. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    Energy Technology Data Exchange (ETDEWEB)

    Shimatani, Masaaki; Ogawa, Shinpei, E-mail: Ogawa.Shimpei@eb.MitsubishiElectric.co.jp; Fujisawa, Daisuke [Advanced Technology R& D Center, Mitsubishi Electric Corporation, 8-1-1 Tsukaguchi-Honmachi, Amagasaki, Hyogo 661-8661 (Japan); Okuda, Satoshi [Advanced Technology R& D Center, Mitsubishi Electric Corporation, 8-1-1 Tsukaguchi-Honmachi, Amagasaki, Hyogo 661-8661 (Japan); The Institute of the Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047 (Japan); Kanai, Yasushi; Ono, Takao; Matsumoto, Kazuhiko [The Institute of the Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047 (Japan)

    2016-03-15

    Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO{sub 2} layer, and metal electrode comprise a metal-oxide-semiconductor (MOS) capacitor due to the presence of defects at the interface between the Si substrate and SiO{sub 2} layer. The difference in the diffusion time of the intrinsic major carriers (electrons) and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.

  15. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO{sub 2}/Si interfaces with low defect densities

    Energy Technology Data Exchange (ETDEWEB)

    Stegemann, Bert, E-mail: bert.stegemann@htw-berlin.de [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Gad, Karim M. [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Balamou, Patrice [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Sixtensson, Daniel [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Vössing, Daniel; Kasemann, Martin [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Angermann, Heike [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany)

    2017-02-15

    Highlights: • Fabrication of ultrathin SiO{sub 2} tunnel layers on c-Si. • Correlation of electronic and chemical SiO{sub 2}/Si interface properties revealed by XPS/SPV. • Chemically abrupt SiO{sub 2}/Si interfaces generate less interface defect states considerable. - Abstract: Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO{sub 2}/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO{sub 2}/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO{sub 2}/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO{sub 2}/Si interfaces have been shown to generate less interface defect states.

  16. Study of Si/Si, Si/SiO2, and metal-oxide-semiconductor (MOS) using positrons

    International Nuclear Information System (INIS)

    Leung, To Chi.

    1991-01-01

    A variable-energy positron beam is used to study Si/Si, Si/SiO 2 , and metal-oxide-semiconductor (MOS) structures. The capability of depth resolution and the remarkable sensitivity to defects have made the positron annihilation technique a unique tool in detecting open-volume defects in the newly innovated low temperature (300C) molecular-beam-epitaxy (MBE) Si/Si. These two features of the positron beam have further shown its potential role in the study of the Si/SiO 2 . Distinct annihilation characteristics has been observed at the interface and has been studied as a function of the sample growth conditions, annealing (in vacuum), and hydrogen exposure. The MOS structure provides an effective way to study the electrical properties of the Si/SiO 2 interface as a function of applied bias voltage. The annihilation characteristics show a large change as the device condition is changed from accumulation to inversion. The effect of forming gas (FG) anneal is studied using positron annihilation and the result is compared with capacitance-voltage (C-V) measurements. The reduction in the number of interface states is found correlated with the changes in the positron spectra. The present study shows the importance of the positron annihilation technique as a non-contact, non-destructive, and depth-sensitive characterization tool to study the Si-related systems, in particular, the Si/SiO 2 interface which is of crucial importance in semiconductor technology, and fundamental understanding of the defects responsible for degradation of the electrical properties

  17. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  18. Preparation and oxidation protection of CVD SiC/a-BC/SiC coatings for 3D C/SiC composites

    International Nuclear Information System (INIS)

    Liu Yongsheng; Zhang Litong; Cheng Laifei; Yang Wenbin; Zhang Weihua; Xu Yongdong

    2009-01-01

    An amorphous boron carbide (a-BC) coating was prepared by LPCVD process from BCl 3 -CH 4 -H 2 -Ar system. XPS result showed that the boron concentration was 15.0 at.%, and carbon was 82.0 at.%. One third of boron was distributed to a bonding with carbon and 37.0 at.% was dissolved in graphite lattice. A multiple-layered structure of CVD SiC/a-BC/SiC was coated on 3D C/SiC composites. Oxidation tests were conducted at 700, 1000, and 1200 deg. C in 14 vol.% H 2 O/8 vol.% O 2 /78 vol.% Ar atmosphere up to 100 h. The 3D C/SiC composites with the modified coating system had a good oxidation resistance. This resulted in the high strength retained ratio of the composites even after the oxidation.

  19. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  20. Intrinsic white-light emission from zinc oxide nanorods heterojunctions on large-area substrates

    Science.gov (United States)

    Willander, Magnus; Nur, O.; Zaman, S.; Zainelabdin, A.; Amin, G.; Sadaf, J. R.; Israr, M. Q.; Bano, N.; Hussain, I.; Alvi, N. H.

    2011-02-01

    Zinc oxide (ZnO) and especially in the nanostructure form is currently being intensively investigated world wide for the possibility of developing different new photonic devices. We will here present our recent findings on the controlled low temperature chemical growth of ZnO nanorods (NRs) on different large area substrates. Many different heterojunctions of ZnO NRs and p-substrates including those of crystalline e.g. p-GaN, p-SiC or amorphous nature e.g. p-polymer coated plastic and p-polymer coated paper will be shown. Moreover, the effect of the p-electrode of these heterojunctions on tuning the emitted wavelength and changing the light quality will be discussed. An example using ZnO NR/p-GaN will be shown and the electrical and electro-optical characteristics will be analyzed. For these heterojunctions the effect of post growth annealing and its effect on the electroluminescence (EL) spectrum will be shown. Finally, intrinsic white light emitting diodes based on ZnO NRs on foldable and disposable amorphous substrates (plastic and paper) will also be presented.

  1. Surface characteristics of the galvannealed coating in Interstitial-free high strengthen steels containing Si and Mn

    International Nuclear Information System (INIS)

    Jeon, Sun Ho; Chin, Kwang Geun; Kim, Dai Ryong

    2008-01-01

    Surface-void defects observed on the Galvannealed (GA) steel sheets in Interstitial-free high-strengthened steels containing Si and Mn have been investigated using the combination of the FIB(Focused Ion Beam) and FE-TEM(Field Emission-Transmission Electron Microscope) techniques. The scanning ion micrographs of cross-section microstructure of defects showed that these defects were identified as craters which were formed on the projecting part of the substrate surface. Also, those craters were formed on the Si or Mn-Si oxides film through the whole interface between galvannealed coating and steel substrate. Interface enrichments and oxidations of the active alloying elements such as Si and Mn during reduction annealing process for galvanizing were found to interrupt Zn and Fe interdiffusion during galvannealing process. During galvannealing, Zn and Fe interdiffusion is preferentially started on the clean substrate surface which have no oxide layer on. And then, during galvannealing, crater is developed with consumption of molten zinc on the oxide layer

  2. Surface characteristics of the galvannealed coating in Interstitial-free high strengthen steels containing Si and Mn

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sun Ho; Chin, Kwang Geun [Pohang Iron and Steel Co. Technical Research Laboratories, Gwangyang (Korea, Republic of); Kim, Dai Ryong [Kyungpook National University, Daegu (Korea, Republic of)

    2008-02-15

    Surface-void defects observed on the Galvannealed (GA) steel sheets in Interstitial-free high-strengthened steels containing Si and Mn have been investigated using the combination of the FIB(Focused Ion Beam) and FE-TEM(Field Emission-Transmission Electron Microscope) techniques. The scanning ion micrographs of cross-section microstructure of defects showed that these defects were identified as craters which were formed on the projecting part of the substrate surface. Also, those craters were formed on the Si or Mn-Si oxides film through the whole interface between galvannealed coating and steel substrate. Interface enrichments and oxidations of the active alloying elements such as Si and Mn during reduction annealing process for galvanizing were found to interrupt Zn and Fe interdiffusion during galvannealing process. During galvannealing, Zn and Fe interdiffusion is preferentially started on the clean substrate surface which have no oxide layer on. And then, during galvannealing, crater is developed with consumption of molten zinc on the oxide layer.

  3. The effect of substrate texture and oxidation temperature on oxide texture development in zirconium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Garner, A., E-mail: alistair.garner@manchester.ac.uk [Materials Performance Centre, University of Manchester, Grosvenor Street, Manchester, M17HS (United Kingdom); Frankel, P. [Materials Performance Centre, University of Manchester, Grosvenor Street, Manchester, M17HS (United Kingdom); Partezana, J. [Westinghouse Electric Company, 1332 Beulah Road, Pittsburgh, PA 15235 (United States); Preuss, M. [Materials Performance Centre, University of Manchester, Grosvenor Street, Manchester, M17HS (United Kingdom)

    2017-02-15

    During corrosion of zirconium alloys a highly textured oxide is formed, the degree of this preferred orientation has previously been shown to be an important factor in determining the corrosion behaviour of these alloys. Two distinct experiments were designed in order to investigate the origin of this oxide texture development on two commercial alloys. Firstly, sheet samples of Zircaloy-4 were oxidised between 500 and 800 °C in air. The resulting monoclinic oxide texture strength was observed to decrease with increasing oxidation temperature. In a second experiment, orthogonal faces of Low Tin ZIRLO{sub ™} were oxidised in 360 °C water, providing different substrate textures but identical microstructures. The substrate texture was observed to have a negligible effect on the corrosion performance whilst the major orientation of both oxide phases was found to be independent of substrate orientation. It is concluded that the main driving force for oxide texture development in single-phase zirconium alloys is the compressive stress caused by the Zr−ZrO{sub 2} transformation. - Highlights: • Substrate orientation does not significantly affect oxide texture development. • Corrosion performance is independent of substrate texture. • Monoclinic oxide texture strength decreases with increasing oxidation temperature. • The main driving force for texture development is the oxidation-induced stress.

  4. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  5. Optimizing The Organic/Inorganic Barrier Structure For Flexible Plastic Substrate Encapsulation

    Directory of Open Access Journals (Sweden)

    Yi-Chiuan Lin

    2012-07-01

    Full Text Available A multilayered barrier structure stacked with organosilicon and silicon oxide (SiOx films consecutively prepared using plasma-enhanced chemical vapor deposition (PECVD was developed to encapsulate flexible plastic substrate. The evolution on the residual internal stress, structural quality of the organosilicon/SiOx multilayered structure as well as its adhesion to the substrate were found to correlate closely with the thickness of the inset organosilicon layer. Due to the significant discrepancy in the thermal expansion coefficient between the substrate and SiOx film, the thickness of the organosilicon layer deposited onto the substrate and SiOx film thus was crucial to optimize the barrier property of the organosilicon/SiOx structure. The organosilicon/SiOx barrier structure possessed a lowest residual compressive stress and quality adhesion to the substrate was achieved from engineering the organosilicon layer thickness in the multilayered structure. The relaxation of the residual internal stress in the barrier structure led to a dense SiOx film as a consequence of the enhancement in the Si-O-Si networks and thereby resulted in the reduction of the water vapor permeation. Accordingly, a water vapor transmission rate (WVTR below 1 × 10-2 g/m2 /day being potential for the application on the flexible optoelectronic device packaging was achievable from the 3-pairs organosilicon/SiOx multilayered structure deposited onto the polyethylene terephthalate (PET substrate.

  6. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H–SiC in diluted N2O ambient

    International Nuclear Information System (INIS)

    Wong, Yew Hoong; Cheong, Kuan Yew

    2012-01-01

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N 2 O ambient (10–100%) at 500 °C for 15 min to form Zr-oxynitride on 4H–SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N 2 O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr–O–N and/or Zr–N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: ► Zr-oxynitride as the gate oxide deposited on 4H–SiC substrate. ► Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H–SiC using various concentrations of N 2 O gas. ► Presence of interfacial layer comprised of mixed compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N. ► The highest electrical breakdown and highest reliability at diluted N 2 O of 10%.

  7. Al-Si/B{sub 4}C composite coatings on Al-Si substrate by plasma spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya, Ozkan [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Anik, Selahaddin [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Aslanlar, Salim [Sakarya University, Faculty of Technical Education, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Cem Okumus, S. [Sakarya University, Faculty of Engineering, Department of Metallurgical and Materials Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Celik, Erdal [Dokuz Eylul University, Engineering Faculty, Department of Metallurgical and Materials Engineering, Buca, Izmir 35160 (Turkey)]. E-mail: erdal.celik@deu.edu.tr

    2007-07-01

    Plasma-sprayed coatings of Al-Si/B{sub 4}C have been prepared on Al-Si piston alloys for diesel engine motors. The Al-Si/B{sub 4}C composite powders including 5-25 wt% B{sub 4}C were prepared by mixing and ball-milling processes. These powders were deposited on Al-Si substrate using an atmospheric plasma spray technique. The coatings have been characterised with respect to phase composition, microstructure, microhardness, bond strength and thermal expansion. It was found that Al, Si, B{sub 4}C and Al{sub 2}O{sub 3} phases were determined in the coatings with approximately 600 {mu}m thick by using X-ray diffraction analysis. Scanning electron microscope observation revealed that boron carbide particles were uniformly distributed in composite coatings and B{sub 4}C particles were fully wetted by Al-Si alloy. Also, no reaction products were observed in Al-Si/B{sub 4}C composite coatings. It was found that surface roughness, porosity, bond strength and thermal expansion coefficient of composite coatings decreased with increasing fraction of the boron carbide particle. It was demonstrated that the higher the B{sub 4}C content, the higher the hardness of coatings because the hardness of B{sub 4}C is higher than that of Al-Si.

  8. Bifunctional Pt-Si Alloys for Small Organic Molecule Electro-oxidation

    DEFF Research Database (Denmark)

    Permyakova, Anastasia Aleksandrovna; Suntivich, Jin; Han, Binghong

    Designing highly active catalysts for electro-oxidation of small organic molecules can help to reduce the anodic overpotential for more efficient utilization of hydrocarbon fuels. The challenge in developing more active electrocatalysts for electro-oxidation reactions is to satisfy the stringent...... adsorption site. We will discuss the enhanced activity of Pt-Si alloys for small organic molecule oxidation, which can be attributed to the improved CO electro-oxidation kinetics on Pt-Si....

  9. Nano-/micro metallic wire synthesis on Si substrate and their characterization

    International Nuclear Information System (INIS)

    Kaur, Jaskiran; Kaur, Harmanmeet; Singh, Surinder; Kanjilal, Dinakar; Chakarvarti, Shiv Kumar

    2014-01-01

    Nano-/micro wires of copper are grown on semiconducting Si substrate using the template method. It involves the irradiation of 8 um thick polymeric layer coated on Si with150 MeV Ni ion beam at a fluence of 2E8. Later, by using the simple technique of electrodeposition, copper nano-/micro wires were grown via template synthesis. Synthesized wires were morphologically characterized using SEM and electrical characterization was carried out by finding I-V plot

  10. Enhanced lateral heat dissipation packaging structure for GaN HEMTs on Si substrate

    International Nuclear Information System (INIS)

    Cheng, Stone; Chou, Po-Chien; Chieng, Wei-Hua; Chang, E.Y.

    2013-01-01

    This work presents a technology for packaging AlGaN/GaN high electron mobility transistors (HEMTs) on a Si substrate. The GaN HEMTs are attached to a V-groove copper base and mounted on a TO-3P leadframe. The various thermal paths from the GaN gate junction to the case are carried out for heat dissipation by spreading to protective coating; transferring through the bond wires; spreading in the lateral device structure through the adhesive layer, and vertical heat spreading of silicon chip bottom. Thermal characterization showed a thermal resistance of 13.72 °C/W from the device to the TO-3P package. Experimental tests of a 30 mm gate-periphery single chip packaged in a 5 × 3 mm V-groove Cu base with a 100 V drain bias showed power dissipation of 22 W. -- Highlights: ► An enhanced packaging structure designed for AlGaN/GaN HEMTs on an Si substrate. ► The V-groove copper base is designed on the device periphery surface heat conduction for enhancing Si substrate thermal dissipation. ► The proposed device shows a lower thermal resistance and upgrade in thermal conductivity capability. ► This work provides useful thermal IR imagery information to aid in designing high efficiency package for GaN HEMTs on Si

  11. Periodically structured Si pillars for high-performing heterojunction photodetectors

    Science.gov (United States)

    Melvin David Kumar, M.; Yun, Ju-Hyung; Kim, Joondong

    2015-03-01

    A periodical array of silicon (Si) micro pillar structures was fabricated on Si substrates using PR etching process. Indium tin oxide (ITO) layer of 80 nm thickness was deposited over patterned Si substrates so as to make ITO/n-Si heterojunction devices. The influences of width and period of pillars on the optical and electrical properties of prepared devices were investigated. The surface morphology of the Si substrates revealed the uniform array of pillar structures. The 5/10 (width/period) Si pillar pattern reduced the optical reflectance to 6.5% from 17% which is of 5/7 pillar pattern. The current rectifying ratio was found higher for the device in which the pillars are situated in optimum periods. At both visible (600 nm) and near infrared (900 nm) range of wavelengths, the 5/7 and 5/10 pillar patterned device exhibited the better photoresponses which are suitable for making advanced photodetectors. This highly transmittance and photoresponsive pillar patterned Si substrates with an ITO layer would be a promising device for various photoelectric applications.

  12. Oxygen isotopic exchange occurring during dry thermal oxidation of 6H SiC

    Energy Technology Data Exchange (ETDEWEB)

    Vickridge, I.C. E-mail: vickridge@gps.jussieu.fr; Tromson, D.; Trimaille, I.; Ganem, J.-J.; Szilagyi, E.; Battistig, G

    2002-05-01

    SiC is a large band gap semiconductor, promising for high power and high frequency devices. The thermal oxide is SiO{sub 2} however the growth rates of thermal oxide on SiC are substantially slower than on Si, and different along the polar directions (<0 0 0 1-bar> and <0 0 0 1> in the hexagonal polytypes). Thorough understanding of the oxide growth mechanisms may give us new insights into the nature of the SiO{sub 2}/SiC interface, crucial for device applications. We have determined growth kinetics for ultra-dry thermal oxidation of 6H SiC at 1100 deg. C for pressures from 3 to 200 mbar. At 3 mbar, the lowest pressure studied, the oxide growth rates along the two polar directions are virtually the same. At higher pressures growth is faster on the carbon-terminated (0 0 0 1-bar) face. After consecutive oxidations at 1100 deg. C and 100 mbar in {sup 18}O{sub 2} and {sup 16}O{sub 2} gases, {sup 18}O depth profiles show significant isotopic exchange and oxygen movement within the oxide during oxidation.

  13. Improving off-state leakage characteristics for high voltage AlGaN/GaN-HFETs on Si substrates

    Science.gov (United States)

    Moon, Sung-Woon; Twynam, John; Lee, Jongsub; Seo, Deokwon; Jung, Sungdal; Choi, Hong Goo; Shim, Heejae; Yim, Jeong Soon; Roh, Sungwon D.

    2014-06-01

    We present a reliable process and design technique for realizing high voltage AlGaN/GaN hetero-junction field effect transistors (HFETs) on Si substrates with very low and stable off-state leakage current characteristics. In this work, we have investigated the effects of the surface passivation layer, prepared by low pressure chemical vapor deposition (LPCVD) of silicon nitride (SiNx), and gate bus isolation design on the off-state leakage characteristics of metal-oxide-semiconductor (MOS) gate structure-based GaN HFETs. The surface passivated devices with gate bus isolation fully surrounding the source and drain regions showed extremely low off-state leakage currents of less than 20 nA/mm at 600 V, with very small variation. These techniques were successfully applied to high-current devices with 80-mm gate width, yielding excellent off-state leakage characteristics within a drain voltage range 0-700 V.

  14. Hydrothermal Fe-Si-Mn oxide deposits from the Central and South Valu Fa Ridge, Lau Basin

    International Nuclear Information System (INIS)

    Sun Zhilei; Zhou Huaiyang; Yang Qunhui; Sun Zhixue; Bao Shenxu; Yao Huiqiang

    2011-01-01

    Highlights: → The Fe-Mn crust in the HHF has seawater contribution, whereas the Fe-Si oxide in the MHF is dominated by hydrothermal fluid → The Nd isotope of diffuse flow Fe-Si-Mn deposits indicates the obvious hydrothermal origin. → The Mn/Fe ratio in hydrothermal deposit may be a good indicator of propagating activities of the Valu Fa Ridge. - Abstract: A series of samples from the Hine Hina hydrothermal field (HHF) and the Mariner hydrothermal field (MHF) in the Central and Southern Valu Fa Ridge (VFR), Lau Basin were examined to explain the source origin and formation of the hydrothermal Fe-Si-Mn oxide deposits. The mineralogy was studied by X-ray diffraction (XRD), scanning electron microscopy (SEM), Moessbauer spectroscopy, and energy-dispersive spectroscopy (EDS). For the Fe-Mn oxide crusts in the HHF, varying amounts of volcanic fragments and some seawater contributions were recognized, along with higher concentrations of Mn, Al, Co, Ni, Zn, Sr, Mo, elevated ΣREE and negative Ce anomalies. In contrast, the Si-rich oxide samples of the MHF were enriched in Cu, Pb and Ba, indicative of proximity to a hydrothermal jet. Moreover, conductive cooling of hydrothermal fluid evoked the Si-rich deposit formation in the MHF. The Sr, Nd and Pb isotope data provided further constraints regarding the source and formation of the Fe-Si-Mn deposits in the VFR by showing that the samples of the HHF are a mixture of three components, namely, hydrothermal fluid, seawater and volcanic materials, whereas the samples of the MHF were dominated by hydrothermal fluids. The seawater had a minor influence on the Nd isotope data, and the Pb isotope data exhibited a close association with the substrate rock and preformed volcaniclastic layers in this area. The occurrence of relatively high Mn/Fe ratios in the hydrothermal deposits of this area may be a good indicator of the propagating activities of the VFR over geological time.

  15. Effect of barrier layers on the properties of indium tin oxide thin films on soda lime glass substrates

    International Nuclear Information System (INIS)

    Lee, Jung-Min; Choi, Byung-Hyun; Ji, Mi-Jung; An, Yong-Tae; Park, Jung-Ho; Kwon, Jae-Hong; Ju, Byeong-Kwon

    2009-01-01

    In this paper, the electrical, structural and optical properties of indium tin oxide (ITO) films deposited on soda lime glass (SLG) haven been investigated, along with high strain point glass (HSPG) substrate, through radio frequency magnetron sputtering using a ceramic target (In 2 O 3 :SnO 2 , 90:10 wt.%). The ITO films deposited on the SLG show a high electrical resistivity and structural defects compared with those deposited on HSPG due to the Na ions from the SLG diffusing to the ITO film by annealing. However, these properties can be improved by intercalating a barrier layer of SiO 2 or Al 2 O 3 between the ITO film and the SLG substrate. SIMS analysis has confirmed that the barrier layer inhibits the Na ion's diffusion from the SLG. In particular, the ITO films deposited on the Al 2 O 3 barrier layer, show better properties than those deposited on the SiO 2 barrier layer.

  16. Ion beam analysis of the dry thermal oxidation of thin polycrystalline SiGe films

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Nanoparticles of Ge embedded in a formed dielectric matrix appear as very promising systems for electronic and photonic applications. We present here an exhaustive characterization of the oxidation process of polycrystalline SiGe layers from the starting of its oxidation process to the total oxidation of it. We have characterized the process by RBS, FTIR and Raman spectroscopy, showing the necessity to use different techniques in order to get a full view of the process. First the Si-Si and Si-Ge bonds are oxidized growing SiO 2 , and Ge segregates from the SiO 2 . As soon as all Si is oxidized GeO 2 is growing gradually. RBS has demonstrated to be very useful to characterize the SiO 2 and the remaining non-oxidized poly-SiGe layer thickness, as well as for the determination of the Ge fraction, where the high sensitivity of this technique allows to explore its whole range. On the other hand, for the reliable determination of the GeO 2 thickness, information on the amount of Ge-O bonding had to be obtained from FTIR spectra. Raman spectroscopy yields detailed information about the oxidation processes for different bonds (Si-Si, Si-Ge, Ge-Ge)

  17. Photoluminescence and TEM evaluations of defects generated during SiGe-on-insulator virtual substrate fabrication: Temperature ramping process

    International Nuclear Information System (INIS)

    Wang, D.; Ii, S.; Ikeda, K.; Nakashima, H.; Matsumoto, K.; Nakamae, M.; Nakashima, H.

    2006-01-01

    Crystal qualities were evaluated by photoluminescence (PL) and transmission electron microscopy (TEM) for cap-Si/SiGe/Si-on-insulater (SOI) structure, which is the typical structure for SiGe-on-insulator virtual substrate fabrication using the Ge condensation by dry oxidation. The thicknesses of cap-Si, SOI and BOX layers are 10, 70, and 140 nm, respectively. We have three kinds of wafers with SiGe thicknesses of 74, 154 and 234 nm. All of the wafers were heated from 200 deg.C to a target temperature (T t ) in the range of 820-1200 deg. C with a ramping rate of 5 deg. C/min, and maintained at T t for 10 min. The air in the furnace was a mixture of O 2 and N 2 . The PL measurements were carried out using a 325 nm UV line of a continuous-wave HeCd laser. Free exciton peaks were clearly observed for the as-grown wafers and decreased with an increase in the annealing temperature. For the selected wafers, cross-sectional and plan-view TEM measurements show clear generation and variation of dislocations at the interface of SiGe/SOI according to the T t . Defect-related PL signals were observed at around 0.82, 0.88, 0.95 and 1.0 eV, which also varied according to the T t and the SiGe thickness. They were identified to dislocation-related and stacking-fault-related defects by TEM

  18. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  19. Cyclil Oxidation Behaviors of MoSi2 with Different Relative Density

    Institute of Scientific and Technical Information of China (English)

    YAN Jianhui; ZHANG Houan; TANG Siwen; XU Jianguang

    2008-01-01

    The influence of different relative density on the cyclic oxidation behaviors of MoSi2 at 1 273 K were studied. "Pesting" was not found in all MoSi2 materials after being oxidized for 480 h. All samples exhibited continuous mass gain during the oxidation process. The mass gains of MoSi2 with the lowest relative density (78.6%) and the highest relative density (94.8%) are increased by 8.15 mg·cm2 and 3.48 mg·cm-2, respectively. The surface of the material with lower relative density formed a loose, porous and discontinuous oxidation scale, which accelerated oxygen diffusion and aggravated the oxidation process. However, a dense scale in the material with higher relative density is formed, which acts a diffusion barrier to the oxygen atoms penetrating into the matrix. The high temperature oxidation resistance of MoSi2 can be improved by increasing its relative density.

  20. Chlorobenzene, chloroform, and carbon tetrachloride adsorption on undoped and metal-doped sol-gel substrates (SiO{sub 2}, Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2})

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez, M.A. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico)], E-mail: mighern@siu.buap.mx; Gonzalez, A.I.; Corona, L.; Hernandez, F. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico); Rojas, F.; Asomoza, M.; Solis, S. [Departamento de Quimica, Universidad Autonoma Metropolitana-Iztapalapa, P.O. Box 55-534, D.F. Mexico (Mexico); Portillo, R.; Salgado, M.A. [Facultad de Ciencias Quimicas, Universidad Autonoma de Puebla (Mexico)

    2009-02-15

    Adsorption isotherms of chlorobenzene, chloroform and carbon tetrachloride vapors on undoped SiO{sub 2}, and metal-doped Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2} substrates were measured in the temperature range of 398-593 K. These substrates were prepared from a typical sol-gel technique in the presence of metal dopants that rendered an assortment of microporous-mesoporous solids. The relevant characteristic of these materials was the different porosities and micropore to mesopore volume ratios that were displayed; this was due to the effect that the cationic metal valence exerts on the size of the sol-gel globules that compose the porous solid. The texture of these SiO{sub 2} materials was analyzed by X-ray diffraction (XRD), FTIR, and diverse adsorption methods. The pore-size distributions of the adsorbents confirmed the existence of mesopores and supermicropores, while ultramicropores were absent. The Freundlich adsorption model approximately fitted the chlorinated compounds adsorption data on the silica substrates by reason of a heterogeneous energy distribution of adsorption sites. The intensity of the interaction between these organic vapors and the surface of the SiO{sub 2} samples was analyzed through evaluation of the isosteric heat of adsorption and standard adsorption energy; from these last results it was evident that the presence of metal species within the silica structure greatly affected the values of both the amounts adsorbed as well as of the isosteric heats of adsorption.

  1. In vitro antibacterial activity of oxide and non-oxide bioceramics for arthroplastic devices: II. Fourier transform infrared spectroscopy.

    Science.gov (United States)

    Boschetto, Francesco; Toyama, Nami; Horiguchi, Satoshi; Bock, Ryan M; McEntire, Bryan J; Adachi, Tetsuya; Marin, Elia; Zhu, Wenliang; Mazda, Osam; Bal, B Sonny; Pezzotti, Giuseppe

    2018-04-30

    The metabolic response of Gram-positive Staphylococcus epidermidis (S. epidermidis) bacteria to bioceramic substrates was probed by means of Fourier transform infrared spectroscopy (FTIR). Oxide zirconia-toughened alumina (ZTA) and non-oxide silicon nitride (Si3N4) substrates were tested. Bacteria exposed to silica glass substrates were used as a control. S. epidermidis, a major cause of periprosthetic infections, was screened to obtain a precise time-lapse knowledge of its molecular composition and to mechanistically understand its interaction with different substrates. At the molecular level, the structure of proteins, lipids, nucleic acid, and aromatic amino acids evolved with time in response to different substrates. In combination with statistical validation and local pH measurements, a chemical lysis mechanism was spectroscopically observed in situ on the Si3N4 substrates. Utilization of FTIR in this study avoided fluorescence noise which occurred while probing the ZTA samples with Raman spectroscopy in a companion paper. The substrate-driven dynamics of polysaccharide and peptide variations in the bacterial cell wall, peculiar to Si3N4 bioceramics, are elucidated.

  2. Oxidation behavior of arc evaporated Al-Cr-Si-N thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tritremmel, Christian; Daniel, Rostislav; Mitterer, Christian; Mayrhofer, Paul H.; Lechthaler, Markus; Polcik, Peter [Christian Doppler Laboratory for Advanced Hard Coatings, Department of Physical Metallurgy and Materials Testing, Montanuniversitaet Leoben, Franz-Josef-Strasse 18, A-8700 Leoben (Austria); Christian Doppler Laboratory for Application Oriented Coating Development, Department of Physical Metallurgy and Materials Testing, Montanuniversitaet Leoben, Franz-Josef-Strasse 18, A-8700 Leoben (Austria); OC Oerlikon Balzers AG, Iramali 18, LI-9496 Balzers (Liechtenstein); PLANSEE Composite Materials GmbH, Siebenbuergerstrasse 23, D-86983 Lechbruck am See (Germany)

    2012-11-15

    The impact of Al and Si on the oxidation behavior of Al-Cr-(Si)-N thin films synthesized by arc evaporation of powder metallurgically prepared Al{sub x}Cr{sub 1-x} targets with x = Al/(Al + Cr) of 0.5, 0.6, and 0.7 and (Al{sub 0.5}Cr{sub 0.5}){sub 1-z}Si{sub z} targets with Si contents of z = 0.05, 0.1, and 0.2 in N{sub 2} atmosphere was studied in detail by means of differential scanning calorimetry, thermogravimetric analysis (TGA), x-ray diffraction, and Raman spectroscopy. Dynamical measurements in synthetic air (up to 1440 Degree-Sign C) revealed the highest onset temperature of pronounced oxidation for nitride coatings prepared from the Al{sub 0.4}Cr{sub 0.4}Si{sub 0.2} target. Isothermal TGA at 1100, 1200, 1250, and 1300 Degree-Sign C highlight the pronounced improvement of the oxidation resistance of Al{sub x}Cr{sub 1-x}N coatings by the addition of Si. The results show that Si promotes the formation of a dense coating morphology as well as a dense oxide scale when exposed to air.

  3. Temperature dependent IDS–VGS characteristics of an N-channel Si tunneling field-effect transistor with a germanium source on Si(110) substrate

    International Nuclear Information System (INIS)

    Liu Yan; Yan Jing; Wang Hongjuan; Han Genquan

    2014-01-01

    We fabricated n-type Si-based TFETs with a Ge source on Si(110) substrate. The temperature dependent I DS –V GS characteristics of a TFET formed on Si(110) are investigated in the temperature range of 210 to 300 K. A study of the temperature dependence of I Leakage indicates that I Leakage is mainly dominated by the Shockley-Read-Hall (SRH) generation—recombination current of the n + drain—Si substrate junction. I ON increases monotonically with temperature, which is attributed to a reduction of the bandgap at the tunneling junction and an enhancement of band-to-band tunneling rate. The subthreshold swing S for trap assisted tunneling (TAT) current and band-to-band tunneling (BTBT) current shows the different temperature dependence. The subthreshold swing S for the TAT current degrades with temperature, while the S for BTBT current is temperature independent. (semiconductor devices)

  4. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  5. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  6. Investigation of nanocrystalline Epi-Si/γ-Al2O3 heterostructure deposited on Si substrate by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Khatun, Mosammat Halima; Shahjahan, Mohammad; Ito, Ryoki; Sawada, Kazuaki; Ishida, Makoto

    2006-01-01

    In this work, micro-structural and interfacial studies of the epi-Si/γ-Al 2 O 3 heterostructure were undertaken by spectroscopic ellipsometry, and compared with the results of atomic force microscopy and X-ray photoelectron spectroscopy. The experimental ellipsometric data were fitted with the theoretical calculations using effective medium approximation for each layer of the structure. It was observed that the epitaxial silicon layer consists of a fraction of amorphous Si and crystalline Si. The percentage of amorphous silicon increases with the decrease of deposition temperature and with the increase of the deposition rate. The γ-Al 2 O 3 layer produces a hydrostatic pressure on the Si substrate and the amount of hydrostatic pressure was measured to be 8 x 10 9 dyn/cm 2

  7. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    Science.gov (United States)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  8. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  9. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  10. Preparation and characterization of molybdenum disilicide coating on molybdenum substrate by air plasma spraying

    International Nuclear Information System (INIS)

    Wang, Yi; Wang, Dezhi; Yan, Jianhui; Sun, Aokui

    2013-01-01

    MoSi 2 oxidation protective coatings on molybdenum substrate were prepared by air plasma spraying technique (APS). Microstructure, phase composition, porosity, microhardness and bonding strength of the coatings were investigated and determined. Oxidation behavior of the coating at high temperature was also examined. Results show that composition of the coatings is constituted with MoSi 2 and Mo 5 Si 3 , the surface morphology is described as flattened lamellar features, insufficiently flattened protuberance with some degree of surface roughness, a certain quantity of spherical particles, microcracks and pores. Testing results reveal that microhardness and bonding strength of the coatings increase, and porosity decreases with increasing power or decreasing Ar gas flow rate. Moreover, with decreasing the porosity, the microhardness of the coatings increases. The bonding strength of the coatings also increases with increasing spray distance. The MoSi 2 coated Mo substrate exhibited a good oxidation resistance at 1200 °C.

  11. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  12. Raman spectroscopy of graphene on different substrates and ...

    Indian Academy of Sciences (India)

    We show the evolution of Raman spectra with a number of graphene layers on different substrates, SiO2/Si and conducting indium tin oxide (ITO) plate. The mode peak position and the intensity ratio of and 2 bands depend on the preparation of sample for the same number of graphene layers. The 2 Raman band ...

  13. XPS and EELS characterization of Mn{sub 2}SiO{sub 4}, MnSiO{sub 3} and MnAl{sub 2}O{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Grosvenor, A.P., E-mail: andrew.grosvenor@usask.ca [Department of Chemistry, University of Saskatchewan, Saskatoon, SK S7N 5C9 (Canada); Bellhouse, E.M., E-mail: erika.bellhouse@arcelormittal.com [Global R & D—Hamilton, ArcelorMittal Dofasco, 1330 Burlington St. E, Hamilton, ON L8N 3J5 (Canada); Korinek, A., E-mail: korinek@mcmaster.ca [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4M1 (Canada); Bugnet, M., E-mail: bugnetm@mcmaster.ca [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4M1 (Canada); McDermid, J.R., E-mail: mcdermid@mcmaster.ca [Steel Research Centre, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4M1 (Canada)

    2016-08-30

    Graphical abstract: XPS and EELS spectra were acquired from Mn2Al2O4, MnSiO3 and Mn2SiO4 standards and unique features identified that will allow unambiguous identification of these compounds when studying the selective oxidation of advanced steels. - Highlights: • Mn2Al2O4, MnSiO3 and Mn2SiO4 standards were synthesized and characterized using both XPS and EELS. • Unique features in both the XPS high resolution and EELS spectra were identified for all compounds. • The spectra can be used to identify these compounds when studying the selective oxidation of steels. - Abstract: X-ray Photoelectron Spectroscopy (XPS) and Electron Energy Loss Spectroscopy (EELS) are strong candidate techniques for characterizing steel surfaces and substrate-coating interfaces when investigating the selective oxidation and reactive wetting of advanced high strength steels (AHSS) during the continuous galvanizing process. However, unambiguous identification of ternary oxides such as Mn{sub 2}SiO{sub 4}, MnSiO{sub 3}, and MnAl{sub 2}O{sub 4} by XPS or EELS, which can play a significant role in substrate reactive wetting, is difficult due to the lack of fully characterized standards in the literature. To resolve this issue, samples of Mn{sub 2}SiO{sub 4}, MnSiO{sub 3} and MnAl{sub 2}O{sub 4} were synthesized and characterized by XPS and EELS. The unique features of the XPS and EELS spectra for the Mn{sub 2}SiO{sub 4}, MnSiO{sub 3} and MnAl{sub 2}O{sub 4} standards were successfully derived, thereby allowing investigators to fully differentiate and identify these oxides at the surface and subsurface of Mn, Si and Al alloyed AHSS using these techniques.

  14. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  15. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    International Nuclear Information System (INIS)

    Zhang, Z.L.; Asano, T.; Uno, H.; Tero, R.; Suzui, M.; Nakao, S.; Kaito, T.; Shibasaki, K.; Tominaga, M.; Utsumi, Y.; Gao, Y.L.; Urisu, T.

    2008-01-01

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 μm diameter is formed through the top Si layer and the SiO 2 box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully

  16. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.L.; Asano, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Uno, H. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Tero, R. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Suzui, M.; Nakao, S. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Kaito, T. [SII NanoTechnology Inc., 36-1, Takenoshita, Oyama-cho, Sunto-gun, Shizuoka, 410-1393 (Japan); Shibasaki, K.; Tominaga, M. [Okazaki Institute for Integrative Bioscience, 5-1, Higashiyama, Myodaiji, Okazaki, 444-8787 (Japan); Utsumi, Y. [Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2, Koto, Kamigori, Ako-gun, Hyogo, 678-1205 (Japan); Gao, Y.L. [Department of Physics and Astronomy, Rochester University, Rochester, New York 14627 (United States); Urisu, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan)], E-mail: urisu@ims.ac.jp

    2008-03-03

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 {mu}m diameter is formed through the top Si layer and the SiO{sub 2} box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully.

  17. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  18. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  19. Investigation of nanocrystalline thin cobalt films thermally evaporated on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozłowski, W., E-mail: wkozl@std2.phys.uni.lodz.pl [Department of Solid State Physics, Faculty of Physics and Applied Informatics, University of Łódź, Pomorska 149/153, 90-236 Łódź (Poland); Balcerski, J.; Szmaja, W. [Department of Solid State Physics, Faculty of Physics and Applied Informatics, University of Łódź, Pomorska 149/153, 90-236 Łódź (Poland); Piwoński, I. [Department of Materials Technology and Chemistry, Faculty of Chemistry, University of Łódź, Pomorska 163, 90-236 Łódź (Poland); Batory, D. [Institute of Materials Science and Engineering, Łódź University of Technology, Stefanowskiego 1/15, 90-924 Łódź (Poland); Miękoś, E. [Department of Inorganic and Analytical Chemistry, Faculty of Chemistry, University of Łódź, Tamka 12, 91-403 Łódź (Poland); and others

    2017-03-15

    We have made a quantitative study of the morphological and magnetic domain structures of 100 nm thick nanocrystalline cobalt films thermally evaporated on naturally oxidized Si(100) substrates. The morphological structure is composed of densely packed grains with the average grain size (35.6±0.8) nm. The grains exhibit no geometric alignment and no preferred elongation on the film surface. In the direction perpendicular to the film surface, the grains are aligned in columns. The films crystallize mainly in the hexagonal close-packed phase of cobalt and possess a crystallographic texture with the hexagonal axis perpendicular to the film surface. The magnetic domain structure consists of domains forming a maze stripe pattern with the average domain size (102±6) nm. The domains have their magnetizations oriented almost perpendicularly to the film surface. The domain wall energy, the domain wall thickness and the critical diameter for single-domain particle were determined. - Highlights: • 100 nm thick nanocrystalline cobalt films on Si(100) were studied quantitatively. • The grains are densely packed and possess the average size (35.6±0.8) nm. • The films have a texture with the hexagonal axis perpendicular to the film surface. • The magnetic domains form a maze stripe pattern with the average size (102±6) nm. • The domains are magnetized almost perpendicularly to the film surface.

  20. Characteristics of Schottky-barrier source/drain metal-oxide-polycrystalline thin-film transistors on glass substrates

    International Nuclear Information System (INIS)

    Jung, Seung-Min; Cho, Won-Ju; Jung, Jong-Wan

    2012-01-01

    Polycrystalline-silicon (poly-Si) Schottky-barrier thin-film transistors (SB-TFTs) with Pt-silicided source /drain junctions were fabricated on glass substrates, and the electrical characteristics were examined. The amorphous silicon films on glass substrates were converted into high-quality poly-Si by using excimer laser annealing (ELA) and solid phase crystallization (SPC) methods. The crystallinity of poly-Si was analyzed by using scanning electron microscopy, transmission electron microscopy, and X-ray diffraction analysis. The silicidation process was optimized by measuring the electrical characteristics of the Pt-silicided Schottky diodes. The performances of Pt-silicided SB-TFTs using poly-Si films on glass substrates and crystallized by using ELA and SPC were demonstrated. The SB-TFTs using the ELA poly-Si film demonstrated better electrical performances such as higher mobility (22.4 cm 2 /Vs) and on/off current ratio (3 x 10 6 ) and lower subthreshold swing value (120 mV/dec) than the SPC poly-Si films.

  1. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  2. High-temperature oxidation behaviour of Ti 3 Si (1–)

    Indian Academy of Sciences (India)

    ... oxidation behaviour of material is assumed to obey a three-step parabolic rate law at 1100°C and 1200°C. The calculated activation energy of isothermal oxidation is 101.43 kJ.mol-1. The oxide layers consisted of a mass of -Al2O3 and little TiO2 and SiO2 are observed on Ti3SiC2 as a dense and adhesive protect scale.

  3. Epitaxial growth and properties of AlGaN-based UV-LEDs on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, Phannee

    2010-07-08

    An increasing demand for bright and efficient ultraviolet light emitting diodes (UVLEDs) is generated by numerous applications such as biochemical sensors, purification and sterilization, and solid-state white lighting. Al{sub x}Ga{sub 1-x}N is a promising material to develop UVLEDs due to the direct wide-bandgap material for emission wavelengths in the UV range and the capability of n- and p-type doping. To develop UV-LEDs on Si substrates is very interesting for low-cost UV-light sources since the Si substrate is available at low cost, in large-diameter size enabling the integration with well-known Si electronics. This work presents the first crack-free AlGaN-based UV-LEDs on Si(111) substrates by MOVPE growth. This AlGaN-based UV-LED on Si(111) substrate consists of Al{sub 0.1}Ga{sub 0.9}N:Si layers on LT-AlN/HT-AlN SL buffer layers and an active layer of GaN/Al{sub 0.1}Ga{sub 0.9}N MQWs followed by Mg-doped (GaN/Al{sub 0.1}Ga{sub 0.9}N) superlattices and GaN:Mg cap layers. It yields a {proportional_to}350 nm UV electroluminescence at room temperature and a turn-on voltage in a range of 2.6-3.1 V by current-voltage (I-V) measurements. The novel LT-AlN/HT-AlN superlattice buffer layers efficiently improve the crystalline quality of Al{sub x}Ga{sub 1-x}N layers and compensate a thermal tensile strain in Al{sub x}Ga{sub 1-x}N layers after cooling as observed by in-situ curvature measurements. The dislocation density could be reduced from 8.4 x 10{sup 10} cm{sup -2} in the AlN-based SLs to 1.8 x 10{sup 10} cm{sup -2} in the Al{sub 0.1}Ga{sub 0.9}N layers as determined by cross-sectional transmission electron microscopy (TEM) measurements. Crack-free Al{sub x}Ga{sub 1-x}N layers grown on these LT-AlN/HT-AlN superlattices with 0.05{<=}x{<=} 0.65 are achieved on Si substrates with good crystalline, optical, and electrical properties. The best crystalline quality of Al{sub 0.1}Ga{sub 0.9}N is obtained with {omega}-FWHMs of the (0002) and (10-10) reflections of

  4. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  5. Selective growth of vertically aligned Fe-filled carbon nanotubes on oxidized silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Moench, I; Kozhuharova-Koseva, R; Ruemmeli, M; Elefant, D; Gemming, T; Kaltofen, R; Leonhardt, A; Schaefer, T; Buechner, B [Leibniz Institute of Solid State and Materials Research Dresden (IFW Dresden), Helmholtzstr. 20, D-01069 Dresden (Germany)

    2007-04-15

    Vertically aligned Fe-filled multi-wall carbon nanotubes (MWNTs) have been grown selectively on the SiO{sub 2} surfaces of patterned amorphous carbon (a-C)/SiO{sub 2}/Si substrates. Their morphology, structure and magnetic properties have been studied. The a-C patterns were prepared using conventional lithography processes combined with a sputter-deposition of a-C (thickness of 100 nm). The aligned Fe-filled MWNTs were produced by pyrolysis of ferrocene in a CVD reactor with a two zone furnace system and have high filling yield. The encapsulated Fe nanowires grown on the SiO{sub 2} structures of the patterned a-C/SiO{sub 2}/Si substrates have diameters of 10-20 nm and can reach a few micrometers in length. The described method enables the preparation of complex architectures of Fe-filled MWNTs and may be used for future applications based on filled nanotubes.

  6. Physical and electrical properties of thermal oxidized Sm{sub 2}O{sub 3} gate oxide thin film on Si substrate: Influence of oxidation durations

    Energy Technology Data Exchange (ETDEWEB)

    Goh, Kian Heng; Haseeb, A.S.M.A.; Wong, Yew Hoong, E-mail: yhwong@um.edu.my

    2016-05-01

    Growth of 150 nm Sm{sub 2}O{sub 3} films by sputtered pure samarium metal film on silicon substrates and followed by thermal oxidation process in oxygen ambient at 700 °C through various oxidation durations (5 min, 10 min, 15 min and 20 min) has been carried out. The crystallinity of Sm{sub 2}O{sub 3} film and existence of interfacial layer have been evaluated by X-ray diffraction, Fourier transform infrared and Raman analysis. Crystallite size and microstrain of Sm{sub 2}O{sub 3} were estimated by Williamson–Hall plot analysis. Calculated crystallite size of Sm{sub 2}O{sub 3} from Scherrer equation has similar trend with the value from Williamson–Hall plot. The presence of interfacial layer is supported by composition line scan by energy dispersive X-ray spectroscopy analysis. The surface roughness and surface topography of Sm{sub 2}O{sub 3} film were examined by atomic force microscopy analysis. The electrical characterization revealed that 15 min of oxidation durations with smoothest surface has highest breakdown voltage, lowest leakage current density and highest barrier height value. - Highlights: • Thermal oxidation of sputtered pure metallic Sm in oxygen ambient • Formation of polycrystalline Sm{sub 2}O{sub 3} and semi-polycrystalline interfacial layers • Optimization of oxidation duration of pure metallic Sm in oxygen ambient • Enhanced electrical performance with smooth surface and increased barrier height.

  7. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  8. Crystallization and electrical resistivity of Cu{sub 2}O and CuO obtained by thermal oxidation of Cu thin films on SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    De Los Santos Valladares, L., E-mail: ld301@cam.ac.uk [Cavendish Laboratory, University of Cambridge, J.J Thomson Av., Cambridge CB3 0HE (United Kingdom); Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan); Departamento de Fisica, Universidade Federal de Pernambuco, 50670-901, Recife-Pe (Brazil); Salinas, D. Hurtado [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan); Laboratorio de Ceramicos y Nanomateriales, Facultad de Ciencias Fisicas, Universidad Nacional Mayor de San Marcos, Ap. Postal 14-0149, Lima (Peru); Dominguez, A. Bustamante [Laboratorio de Ceramicos y Nanomateriales, Facultad de Ciencias Fisicas, Universidad Nacional Mayor de San Marcos, Ap. Postal 14-0149, Lima (Peru); Najarro, D. Acosta [Instituto de Fisica, Departamento de Materia Condensada, Universidad Nacional Autonoma de Mexico, Ap. Postal 20-364, CP 01000 (Mexico); Khondaker, S.I. [NanoScience Technology Centre and Department of Physics, University of Central Florida, Orlando, FL 32826 (United States); Mitrelias, T.; Barnes, C.H.W. [Cavendish Laboratory, University of Cambridge, J.J Thomson Av., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Departamento de Fisica, Universidade Federal de Pernambuco, 50670-901, Recife-Pe (Brazil); Majima, Y. [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan); CREST, Japan Science and Technology Agency (JST), 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan)

    2012-08-01

    In this work, we study the crystallization and electrical resistivity of the formed oxides in a Cu/SiO{sub 2}/Si thin film after thermal oxidation by ex-situ annealing at different temperatures up to 1000 Degree-Sign C. Upon increasing the annealing temperature, from the X ray diffractogram the phase evolution Cu {yields} Cu + Cu{sub 2}O {yields} Cu{sub 2}O {yields} Cu{sub 2}O + CuO {yields} CuO was detected. Pure Cu{sub 2}O films are obtained at 200 Degree-Sign C, whereas uniform CuO films without structural surface defects such as terraces, kinks, porosity or cracks are obtained in the temperature range 300-550 Degree-Sign C. In both oxides, crystallization improves with annealing temperature. A resistivity phase diagram, which is obtained from the current-voltage response, is presented here. The resistivity was expected to increase linearly as a function of the annealing temperature due to evolution of oxides. However, anomalous decreases are observed at different temperatures ranges, this may be related to the improvement of the crystallization and crystallite size when the temperature increases. - Highlights: Black-Right-Pointing-Pointer The crystallization and electrical resistivity of oxides in a Cu films are studied. Black-Right-Pointing-Pointer In annealing Cu films, the phase evolution Cu + Cu{sub 2}O {yields} Cu{sub 2}O {yields} Cu{sub 2}O + CuO {yields} CuO occurs. Black-Right-Pointing-Pointer A resistivity phase diagram, obtained from the current-voltage response, is presented. Black-Right-Pointing-Pointer Some decreases in the resistivity may be related to the crystallization.

  9. Magnetron-sputter epitaxy of β-FeSi2(220)/Si(111) and β-FeSi2(431)/Si(001) thin films at elevated temperatures

    International Nuclear Information System (INIS)

    Liu Hongfei; Tan Chengcheh; Chi Dongzhi

    2012-01-01

    β-FeSi 2 thin films have been grown on Si(111) and Si(001) substrates by magnetron-sputter epitaxy at 700 °C. On Si(111), the growth is consistent with the commonly observed orientation of [001]β-FeSi 2 (220)//[1-10]Si(111) having three variants, in-plane rotated 120° with respect to one another. However, on Si(001), under the same growth conditions, the growth is dominated by [-111]β-FeSi 2 (431)//[110]Si(001) with four variants, which is hitherto unknown for growing β-FeSi 2 . Photoelectron spectra reveal negligible differences in the valance-band and Fe2p core-level between β-FeSi 2 grown on Si(111) and Si(001) but an apparent increased Si-oxidization on the surface of β-FeSi 2 /Si(001). This phenomenon is discussed and attributed to the Si-surface termination effect, which also suggests that the Si/Fe ratio on the surface of β-FeSi 2 (431)/Si(001) is larger than that on the surface of β-FeSi 2 (220)/Si(111).

  10. Uniform Si nano-dot fabrication using reconstructed structure of Si(110)

    Science.gov (United States)

    Yano, Masahiro; Uozumi, Yuki; Yasuda, Satoshi; Asaoka, Hidehito

    2018-06-01

    Si nano-dot (ND) formation on Si(110) is observed by means of a scanning tunneling microscope (STM). The initial Si-NDs are Si crystals that are continuous from the substrate and grow during the oxide layer desorption. The NDs fabricated on the flat surface of Si(110)-1 × 1 are surrounded by four types of facets with almost identical appearance probabilities. An increase in the size of the NDs increases the variety of its morphology. In contrast, most Si-NDs fabricated on straight-stepped surface of Si(110)-16 × 2 reconstructed structure are surrounded by only a single type of facet, namely the \\text{Si}(17,15,1)-2 × 1 plane. An appearance probability of the facet in which the base line is along the step of Si(110)-16 × 2 exceeds 75%. This finding provides a fabrication technique of uniformed structural Si-NDs by using the reconstructed structure of Si(110).

  11. Oxidation of BN-coated SiC fibers in ceramic matrix composites

    International Nuclear Information System (INIS)

    Sheldon, B.W.; Sun, E.Y.

    1996-01-01

    Thermodynamic calculations were performed to analyze the simultaneous oxidation of BN and SiC. The results show that, with limited amounts of oxygen present, the formation of SiO 2 should occur prior to the formation of B 2 O 3 . This agrees with experimental observations of oxidation in glass-ceramic matrix composites with BN-coated SiC fibers, where a solid SiO 2 reaction product containing little or no boron has been observed. The thermodynamic calculations suggest that this will occur when the amount of oxygen available is restricted. One possible explanation for this behavior is that SiO 2 formation near the external surfaces of the composite closes off cracks or pores, such that vapor phase O 2 diffusion into the composite occurs only for a limited time. This indicates that BN-coated SiC fibers will not always oxidize to form significant amounts of a low-melting, borosilicate glass

  12. Substrate temperature effects on reactively sputtered Cr2O3/n-Si heterojunctions

    International Nuclear Information System (INIS)

    Ocak, Yusuf Selim; Genisel, Mustafa Fatih; Issa, Ali Ahmed; Tombak, Ahmet; Kilicoglu, Tahsin

    2016-01-01

    To see the effects of substrate temperature on Cr 2 O 3 /n-Si heterojunctions, Cr 2 O 3 thin films were formed on n-Si and glass substrates at 40, 150 and 250 °C by radio frequency (RF) reactive sputtering technique. High purity Cr was used as target and oxygen was used as reactive gas. Optical properties of Cr 2 O 3 /n-Si thin films were analyzed using UV-vis data. The band gaps of the films were compared. The electrical properties of Cr 2 O 3 /n-Si heterojunction were tested by their current voltage ( I-V ) measurements in dark. It was observed that the heterojunction which was fabricated by forming Cr 2 O 3 thin film at 250 °C gave better rectification. The characteristic electrical parameters such as barrier height, ideality factor and series resistance were calculated by using its I-V data. The influence of light intensity on photovoltaic effect behavior of the device was also calculated, finally the barrier height value of the structure obtained from capacitance-voltage ( C-V ) data were compared with the one calculated from I-V measurements. (paper)

  13. Preparation and characterization of molybdenum disilicide coating on molybdenum substrate by air plasma spraying

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yi [Key Laboratory of Ministry of Education for Non-ferrous Materials Science and Engineering, Central South University, Changsha 410083 (China); Key Laboratory of Hunan Province for Metallurgy and Material Processing of Rare Metals, Central South University, Changsha 410083 (China); School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Wang, Dezhi, E-mail: dzwang68@163.com [Key Laboratory of Ministry of Education for Non-ferrous Materials Science and Engineering, Central South University, Changsha 410083 (China); Key Laboratory of Hunan Province for Metallurgy and Material Processing of Rare Metals, Central South University, Changsha 410083 (China); School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Yan, Jianhui [Advanced Materials Synthesis and Application Technology Laboratory, Hunan University of Science and Technology, Xiangtan 411201 (China); Sun, Aokui [Key Laboratory of Ministry of Education for Non-ferrous Materials Science and Engineering, Central South University, Changsha 410083 (China); Key Laboratory of Hunan Province for Metallurgy and Material Processing of Rare Metals, Central South University, Changsha 410083 (China); School of Materials Science and Engineering, Central South University, Changsha 410083 (China)

    2013-11-01

    MoSi{sub 2} oxidation protective coatings on molybdenum substrate were prepared by air plasma spraying technique (APS). Microstructure, phase composition, porosity, microhardness and bonding strength of the coatings were investigated and determined. Oxidation behavior of the coating at high temperature was also examined. Results show that composition of the coatings is constituted with MoSi{sub 2} and Mo{sub 5}Si{sub 3}, the surface morphology is described as flattened lamellar features, insufficiently flattened protuberance with some degree of surface roughness, a certain quantity of spherical particles, microcracks and pores. Testing results reveal that microhardness and bonding strength of the coatings increase, and porosity decreases with increasing power or decreasing Ar gas flow rate. Moreover, with decreasing the porosity, the microhardness of the coatings increases. The bonding strength of the coatings also increases with increasing spray distance. The MoSi{sub 2} coated Mo substrate exhibited a good oxidation resistance at 1200 °C.

  14. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  15. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  16. Improvement of low temperature oxidation resistance in MoSi{sub 2}-oxides composites; Sankabutsu no fukugoka ni yoru MoSi{sub 2} zairyo no teion sanka tokusei no kaizen

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, W.; Uchiyama, T. [Riken Corp., Saitama (Japan)

    1999-11-15

    MoSi{sub 2}-oxides composites using fine aluminosilicate powder (< 0.2{mu}m) have demonstrated excellent low temperature oxidation resistance and thermal shock resistance. These properties strongly depend on microstructural morphology and are obtained in composites that network-structures of both phases of MoSi{sub 2} and oxides are developed, i.e., in composites with oxides of 20 {approx} 40 vol. %. When one phase is independently dispersed in the other phase, on the other hand, problems of low temperature oxidation and thermal shock occur. The low temperature oxidation problem occurs in the composites with oxides less than 15 vol. % and the thermal shock problem occurs in the composites with oxides more than 50 vol. %. These results will contribute to material design approaches for high temperature structural applications of MoSi{sub 2}. (author)

  17. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    Science.gov (United States)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  18. Al or Si decorated graphene-oxide: A promising material for capture and activation of ethylene and acetylene

    Science.gov (United States)

    Esrafili, Mehdi D.; Dinparast, Leila

    2018-06-01

    In this work, quantum chemical calculations are performed to compare adsorption behavior of ethylene and acetylene molecules over Al- or Si-decorated graphene oxide (Al/Si-GO). The corresponding adsorption energies, geometrical parameters and net charge-transfer values are calculated using the dispersion-corrected DFT calculations. The obtained large adsorption energies of the Al and Si atoms over GO suggest that both Al-GO and Si-GO are stable enough to be used as a stable substrate to capture and activate ethylene or acetylene. The results show that the adsorption of C2H4 or C2H2 on Al-GO is more favorable than over Si-GO surface, mainly due to the orbital interactions between the adsorbate and surface. Also, the DFT calculations reveal that the interaction of C2H2 with both surfaces is stronger than that of C2H4. Our findings are applicable for future theoretical and experimental studies about the interaction of hydrocarbons with light metal decorated graphene-based materials as well as heterogeneous catalysis.

  19. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  20. Improved thermal stability and oxidation resistance of Al–Ti–N coating by Si addition

    International Nuclear Information System (INIS)

    Chen, Li; Yang, Bing; Xu, Yuxiang; Pei, Fei; Zhou, Liangcai; Du, Yong

    2014-01-01

    Addition of Si is very effective in upgrading the machining performance and thermal properties of Al–Ti–N coating. Here, we concentrate on the thermal stability and oxidation resistance of Al–Ti–Si–N coating. Alloying with Si favors the growth of wurtzite phase, and thereby causes a drop in hardness from ∼ 34.5 to 28.7 GPa. However, Si-containing coating retards the formation of w-AlN during thermal annealing, and thereby behaves a high hardness value of ∼ 31.3 GPa after annealing at T a = 1100 °C. After 10 h exposure in air at 850 °C, Al–Ti–N coating is fully oxidized. Incorporation of Si significantly improves the oxidation resistance of Al–Ti–N due to the combined effects with the promoted formation of Al-oxide rich top-scale and retarded transformation of anatase (a-) TiO 2 into rutile (r-) TiO 2 , where only ∼ 1.43 μm oxide scale is shown after oxidation at 1100 °C for 15 h. Noticeable is that the worst oxidation resistance of Al–Ti–Si–N coating in the temperature range from 800 to 1100 °C is obtained at 950 °C with oxide scale of ∼ 1.76 μm due to the fast formation of r-TiO 2 . Additionally, a pre-oxidation at 1000 °C has a positive effect on the oxidation resistance of Al–Ti–Si–N coating, which is attributed to the formation of Al-oxide rich top-scale, and thus inhibits the outward diffusion of metal atoms and inward diffusion of O. - Highlights: • Si as a substitutional solid solution and via the formation of a-Si 3 N 4 coexists. • Si addition favors the growth of wurtzite phase and causes a decreased hardness. • Alloying with Si improves the oxidation resistance of AlTiN. • AlTiSiN behaves the worst oxidation resistance at 950 °C from 800 to 1100 °C. • A pre-oxidation at 1000 °C improves the oxidation resistance of AlTiSiN coating

  1. Low Thermal Budget Fabrication of III-V Quantum Nanostructures on Si Substrates

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Sanguinetti, S; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2010-01-01

    We show the possibility to integrate high quality III-V quantum nanostructures tunable in shape and emission energy on Si-Ge Virtual Substrate. Strong photoemission is observed, also at room temperature, from two different kind of GaAs quantum nanostructures fabricated on Silicon substrate. Due to the low thermal budget of the procedure used for the fabrication of the active layer, Droplet Epitaxy is to be considered an excellent candidate for implementation of optoelectronic devices on CMOS circuits.

  2. Structural and elastoplastic properties of β -Ga2O3 films grown on hybrid SiC/Si substrates

    Science.gov (United States)

    Osipov, A. V.; Grashchenko, A. S.; Kukushkin, S. A.; Nikolaev, V. I.; Osipova, E. V.; Pechnikov, A. I.; Soshnikov, I. P.

    2018-04-01

    Structural and mechanical properties of gallium oxide films grown on (001), (011) and (111) silicon substrates with a buffer layer of silicon carbide are studied. The buffer layer was fabricated by the atom substitution method, i.e., one silicon atom per unit cell in the substrate was substituted by a carbon atom by chemical reaction with carbon monoxide. The surface and bulk structure properties of gallium oxide films have been studied by atomic-force microscopy and scanning electron microscopy. The nanoindentation method was used to investigate the elastoplastic characteristics of gallium oxide, and also to determine the elastic recovery parameter of the films under study. The ultimate tensile strength, hardness, elastic stiffness constants, elastic compliance constants, Young's modulus, linear compressibility, shear modulus, Poisson's ratio and other characteristics of gallium oxide have been calculated by quantum chemistry methods based on the PBESOL functional. It is shown that all these properties of gallium oxide are essentially anisotropic. The calculated values are compared with experimental data. We conclude that a change in the silicon orientation leads to a significant reorientation of gallium oxide.

  3. TaxHf1−xB2–SiC multiphase oxidation protective coating for SiC-coated carbon/carbon composites

    International Nuclear Information System (INIS)

    Ren, Xuanru; Li, Hejun; Fu, Qiangang; Li, Kezhi

    2014-01-01

    Highlights: • Ta x Hf 1−x B 2 –SiC coating was prepared on SiC coated C/C by in-situ reaction method. • TaB 2 and HfB 2 were introduced in the form of solid solution Ta x Hf 1−x B 2 . • The coating could protect C/C for 1480 h with only 0.57% mass loss at 1773 K in air. • Oxidation layer consists of out Ta–Si–O compound layer and inner SiO 2 glass layer. • Ta–Si–O compound silicate layer presents a better stability than SiO 2 glass layer. - Abstract: A Ta x Hf 1−x B 2 –SiC coating was prepared by in-situ reaction method on SiC coated C/C composites. Ta x Hf 1−x B 2 phase is the form of solid solution between TaB 2 and HfB 2 . Isothermal oxidation behavior at 1773 K and ablation behavior of the coated C/C were tested. Ta x Hf 1−x B 2 –SiC/SiC coating could protect the C/C from oxidation at 1773 K for 1480 h and ablation above 2200 K for 40 s. During oxidation, oxides of Ta and Hf atoms exist as “pinning phases” in the compound glass layer consisted of outer Ta–Si–O compound silicate layer and inner SiO 2 glass layer, which was responsible for the excellent oxidation resistance

  4. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  5. Growth and Device Performance of AlGaN/GaN Heterostructure with AlSiC Precoverage on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Jae-Hoon Lee

    2014-01-01

    Full Text Available A crack-free AlGaN/GaN heterostructure was grown on 4-inch Si (111 substrate with initial dot-like AlSiC precoverage layer. It is believed that introducing the AlSiC layer between AlN wetting layer and Si substrate is more effective in obtaining a compressively stressed film growth than conventional Al precoverage on Si surface. The metal semiconductor field effect transistor (MESFET, fabricated on the AlGaN/GaN heterostructure grown with the AlSiC layer, exhibited normally on characteristics, such as threshold voltage of −2.3 V, maximum drain current of 370 mA/mm, and transconductance of 124 mS/mm.

  6. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  7. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  8. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  9. Direct evidence of strain transfer for InAs island growth on compliant Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marçal, L. A. B.; Magalhães-Paniago, R.; Malachias, Angelo, E-mail: angeloms@fisica.ufmg.br [Universidade Federal de Minas Gerais, Av. Antonio Carlos 6627, CEP 31270-901, Belo Horizonte (Brazil); Richard, M.-I. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Aix-Marseille University, IM2NP-CNRS, Faculté des Sciences de St Jérôme, 13397 Marseille (France); Cavallo, F. [Center for High Technology Materials, University of New Mexico, 1313 Goddard St., Albuquerque, New Mexico 87106 (United States); University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Lagally, M. G. [University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Schmidt, O. G. [Institute for Integrative Nanosciences, IFW-Dresden, D-01171 Dresden (Germany); Schülli, T. Ü. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Deneke, Ch. [Laboratório Nacional de Nanotecnologia (LNNano/CNPEM), C.P. 6192, CEP 13083-970, Campinas (Brazil)

    2015-04-13

    Semiconductor heteroepitaxy on top of thin compliant layers has been explored as a path to make inorganic electronics mechanically flexible as well as to integrate materials that cannot be grown directly on rigid substrates. Here, we show direct evidences of strain transfer for InAs islands on freestanding Si thin films (7 nm). Synchrotron X-ray diffraction measurements using a beam size of 300 × 700 nm{sup 2} can directly probe the strain status of the compliant substrate underneath deposited islands. Using a recently developed diffraction mapping technique, three-dimensional reciprocal space maps were reconstructed around the Si (004) peak for specific illuminated positions of the sample. The strain retrieved was analyzed using continuous elasticity theory via Finite-element simulations. The comparison of experiment and simulations yields the amount of strain from the InAs islands, which is transferred to the compliant Si thin film.

  10. In situ study of interface reactions of ion beam sputter deposited (Ba0.5Sr0.5)TiO3 films on Si, SiO2, and Ir

    International Nuclear Information System (INIS)

    Gao, Y.; Mueller, A.H.; Irene, E.A.; Auciello, O.; Krauss, A.; Schultz, J.A.

    1999-01-01

    (Ba 0.5 ,Sr 0.5 )TiO 3 (BST) thin films were deposited on MgO, Si, SiO 2 and Ir surfaces by ion beam sputter deposition in oxygen at 700 degree C. In situ spectroscopic ellipsometry (SE) has been used to investigate the evolution of the BST films on different surfaces during both deposition and postannealing processes. First, the optical constants of the BST films in the photon energy range of 1.5 - 4.5 eV were determined by SE analysis on crystallized BST films deposited on MgO single crystal substrates. The interfaces in BST/Si and BST/SiO 2 /Si structure were examined by SE and Auger electron spectroscopy depth profiles. Subcutaneous oxidation in the BST/Ir structure was observed by in situ SE during both ion beam sputter deposition and postdeposition annealing in oxygen at 700 degree C. A study of the thermal stability of the Ir/TiN/SiO 2 /Si structure in oxygen at 700 degree C was carried out using in situ SE. The oxidation of Ir was confirmed by x-ray diffraction. The surface composition and morphology evolution after oxidation were investigated by time of flight mass spectroscopy of recoiled ions (TOF-MSRI) and atomic force microscopy. It has been found that Ti from the underlying TiN barrier layer diffused through the Ir layer onto the surface and thereupon became oxidized. It was also shown that the surface roughness increases with increasing oxidation time. The implications of the instability of Ir/TiN/SiO 2 /Si structure on the performance of capacitor devices based on this substrate are discussed. It has been shown that a combination of in situ SE and TOF-MSRI provides a powerful methodology for in situ monitoring of complex oxide film growth and postannealing processes. copyright 1999 American Vacuum Society

  11. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  12. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  13. Precise control of Si(001) initial oxidation by translational kinetic energy of O2 molecules

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2002-01-01

    The influence of translation kinetic energy of incident O 2 molecules on the passive oxidation of the clean Si(001) surface and the partially oxidized-Si(001) surface has been studied by high-resolution photoemission spectroscopy using synchrotron radiation. The incident energy of O 2 molecules was controlled up to 3 eV by a supersonic seeded molecular beam technique. Although two incident energy thresholds (1.0 eV and 2.6 eV) have been determined for the partially oxidized-surface oxidation in accordance with the first-principle calculation, the monotonic increase of oxygen saturation coverage was observed for the clean surface oxidation. The difference is caused by the initial dangling bond termination (Si-H and Si-OH) on the partially oxidized surface. Si-2p and O-1s photoemission spectra measured at representative incident energies showed the incident-energy-induced oxidation at the back bonds of Si dimers and the second-layer (subsurface) Si atoms. Moreover, the low-and high-binding-energy components in the O-1s photoemission spectra were assigned to bridge site oxygen and dangling bond site oxygen for the partially oxidized-surface oxidation. (author)

  14. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  15. Quantification of Valleys of Randomly Textured Substrates as a Function of Opening Angle: Correlation to the Defect Density in Intrinsic nc-Si:H.

    Science.gov (United States)

    Kim, Do Yun; Hänni, Simon; Schüttauf, Jan-Willem; van Swaaij, René A C M M; Zeman, Miro

    2016-08-17

    Optical and electrical properties of hydrogenated nanocrystalline silicon (nc-Si:H) solar cells are strongly influenced by the morphology of underlying substrates. By texturing the substrates, the photogenerated current of nc-Si:H solar cells can increase due to enhanced light scattering. These textured substrates are, however, often incompatible with defect-less nc-Si:H growth resulting in lower Voc and FF. In this study we investigate the correlation between the substrate morphology, the nc-Si:H solar-cell performance, and the defect density in the intrinsic layer of the solar cells (i-nc-Si:H). Statistical surface parameters representing the substrate morphology do not show a strong correlation with the solar-cell parameters. Thus, we first quantify the line density of potentially defective valleys of randomly textured ZnO substrates where the opening angle is smaller than 130° (ρdrops. It is also observed that ρdefect increases following a power law dependence of ρ<130. This result is attributed to more frequently formed defective regions for substrates having higher ρ<130.

  16. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  17. Effect of charged impurities and morphology on oxidation reactivity of graphene

    Science.gov (United States)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2012-02-01

    Chemical reactivity of single layer graphene supported on a substrate is observed to be enhanced over thicker graphene. Possible mechanisms for the enhancement are Fermi level fluctuations due to ionized impurities on the substrate, and structural deformation of graphene induced by coupling to the substrate geometry. Here, we study the substrate-dependent oxidation reactivity of graphene, employing various substrates such as SiO2, mica, SiO2 nanoparticle thin film, and hexagonal boron nitride, which exhibit different charged impurity concentrations and surface roughness. Graphene is prepared on each substrate via mechanical exfoliation and oxidized in Ar/O2 mixture at temperatures from 400-600 ^oC. After oxidation, the Raman spectrum of graphene is measured, and the Raman D to G peak ratio is used to quantify the density of point defects introduced by oxidation. We will discuss the correlations among the defect density in oxidized graphene, substrate charge inhomogeneity, substrate corrugations, and graphene layer thickness. This work has been supported by the University of Maryland NSF-MRSEC under Grant No. DMR 05-20471 with supplemental funding from NRI, and NSF-DMR 08-04976.

  18. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  19. Self-organization of nanocluster δ-layers at ion-beam-mixed Si-SiO2 interfaces

    International Nuclear Information System (INIS)

    Roentzsch, L.

    2003-11-01

    This diploma thesis presents experimental evidence of a theoretical concept which predicts the self-organization of δ-layers of silicon nanoclusters in the buried oxide of a MOS-like structure. This approach of ''bottom-up'' structuring might be of eminent importance in view of future semiconductor memory devices. Unconventionally, a 15 nm thin SiO 2 layer, which is enclosed by a 50 nm poly-Si capping layer and the Si substrate, is irradiated with Si + ions. Ion impact drives the system to a state far from thermodynamic equilibrium, i.e. the local composition of the target is modified to a degree unattainable in common processes. A region of SiO x (x 2 matrix at a distance of ∼3 nm from the Si substrate. The physical mechanisms of ion mixing of the two Si-SiO 2 interfaces and subsequent phase separation, which result in the desired sample structure, are elucidated from the viewpoint of computer simulations. In addition, experimental evidence is presented based on various methods, including TEM, RBS, and SIMS. A novel method of Si nanocluster decoration is of particular importance which applies Ge as contrast enhancing element in TEM studies of tiny Si nanoclusters. (orig.)

  20. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  1. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  2. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate

    OpenAIRE

    Xu, Weijia; Yin, Huaxiang; Ma, Xiaolong; Hong, Peizhen; Xu, Miao; Meng, Lingkuan

    2015-01-01

    In this study, novel p-type scallop-shaped fin field-effect transistors (S-FinFETs) are fabricated using an all-last high-k/metal gate (HKMG) process on bulk-silicon (Si) substrates for the first time. In combination with the structure advantage of conventional Si nanowires, the proposed S-FinFETs provide better electrostatic integrity in the channels than normal bulk-Si FinFETs or tri-gate devices with rectangular or trapezoidal fins. It is due to formation of quasi-surrounding gate electrod...

  3. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  4. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  5. Study on the substrate-induced crystallisation of amorphous SiC-precursor ceramics. TIB/A; Untersuchungen zur substratinduzierten Kristallisation amorpher SiC-Precursorkeramiken

    Energy Technology Data Exchange (ETDEWEB)

    Rau, C.

    2000-12-01

    In the present thesis the crystallization behaviour of amorphous silicon-carbon materials (SiC{sub x}) was studied. The main topic of the experimental studies formed thereby the epitactical crystallization of thin silicon carbide layers on monocrystalline substrates of silicon carbides or silicon. Furthermore by thermolysis of the polymer amorphous SiC{sub x}-powder was obtained.

  6. Effects of rapid thermal annealing on structural, chemical, and electrical characteristics of atomic-layer deposited lanthanum doped zirconium dioxide thin film on 4H-SiC substrate

    International Nuclear Information System (INIS)

    Lim, Way Foong; Quah, Hock Jin; Lu, Qifeng; Mu, Yifei; Ismail, Wan Azli Wan; Rahim, Bazura Abdul; Esa, Siti Rahmah; Kee, Yeh Yee; Zhao, Ce Zhou

    2016-01-01

    Graphical abstract: - Highlights: • Studies of RTA temperatures on La doped ZrO2 atomic layer deposited on 4HSiC. • Oxygen vacancies improved insulating and catalytic properties of La doped ZrO2. • 700 °C annealed sample showed the highest EB, k value, and sensitivity on O2. • La doped ZrO2 was proposed as a potential metal reactive oxide on 4H-SiC. - Abstract: Effects of rapid thermal annealing at different temperatures (700–900 °C) on structural, chemical, and electrical characteristics of lanthanum (La) doped zirconium oxide (ZrO_2) atomic layer deposited on 4H-SiC substrates have been investigated. Chemical composition depth profiling analysis using X-ray photoelectron spectroscopy (XPS) and cross-sectional studies using high resolution transmission electron microscopy equipped with energy dispersive X-ray spectroscopy line scan analysis were insufficient to justify the presence of La in the investigated samples. The minute amount of La present in the bulk oxide was confirmed by chemical depth profiles of time-of-flight secondary ion mass spectrometry. The presence of La in the ZrO_2 lattice led to the formation of oxygen vacancies, which was revealed through binding energy shift for XPS O 1s core level spectra of Zr−O. The highest amount of oxygen vacancies in the sample annealed at 700 °C has yielded the acquisition of the highest electric breakdown field (∼ 6.3 MV/cm) and dielectric constant value (k = 23) as well as the highest current–time (I–t) sensor response towards oxygen gas. The attainment of both the insulating and catalytic properties in the La doped ZrO_2 signified the potential of the doped ZrO_2 as a metal reactive oxide on 4H-SiC substrate.

  7. Fabrication of the heterojunction diode from Y-doped ZnO thin films on p-Si substrates by sol-gel method

    Science.gov (United States)

    Sharma, Sanjeev K.; Singh, Satendra Pal; Kim, Deuk Young

    2018-02-01

    The heterojunction diode of yttrium-doped ZnO (YZO) thin films was fabricated on p-Si(100) substrates by sol-gel method. The post-annealing process was performed at 600 °C in vacuum for a short time (3 min) to prevent inter-diffusion of Zn, Y, and Si atoms. X-ray diffraction (XRD) pattern of as-grown and annealed (600 °C in vacuum) films showed the preferred orientation along the c-axis (002) regardless of dopant concentrations. The uniform surface microstructure and the absence of other metal/oxide peaks in XRD pattern confirmed the excellence of films. The increasing bandgap and carrier concentration of YZO thin films were interpreted by the BM shift, that is, the Fermi level moves towards the conduction band edge. The current-voltage characteristics of the heterojunction diode, In/n-ZnO/p-Si/Al, showed a rectification behavior. The turn-on voltage and ideality factor of n-ZnO/p-Si and n-YZO/p-Si were observed to be 3.47 V, 2.61 V, and 1.97, 1.89, respectively. Y-dopant in ZnO thin films provided more donor electrons caused the shifting of Fermi-energy level towards the conduction band and strengthen the interest for heterojunction diodes.

  8. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  9. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    Science.gov (United States)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  10. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    International Nuclear Information System (INIS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-01-01

    Sponge-like Si nanostructures embedded in SiO 2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO 2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations

  11. A study on the change in the phase transition temperature of TiSi sub 2 by adding the Zr element on different Si substrates

    CERN Document Server

    Yoon, S H

    1999-01-01

    The stabilization of C49 TiSi sub 2 at high temperature was investigated by adding Zr element to Ti-silicide both on single crystalline Si(100) and amorphous Si substrates. This stabilization of the C49 TiSi sub 2 phase, which exhibits lower surface and interface energies than those of the C54 TiSi sub 2 phase, was expected to suppress the problems of Ti-silicide, such as the phase transition and the agglomeration. Ti and Zr films of 40 nm were co-deposited on Si substrates in a dual e-beam evaporation system equipped with an ion pump and at a base pressure of approx 5x10 sup - sup 9 Torr. The amounts of Zr contents added to the Ti-silicide were 5, 10 and 20 atomic %, and the thicknesses were monitored by in-situ quartz-crystal thickness monitors. After the deposition, films were annealed by using an ex-situ vacuum furnace at temperatures between 600 .deg. C and 900 .deg. C in 100 .deg. C increments. The phase identification and the chemical compositions were investigated by X-ray diffraction (XRD) and Auger ...

  12. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  13. Adhesion of Y2O3-Al2O3-SiO2 coatings to typical aerospace substrates

    International Nuclear Information System (INIS)

    Marraco-Borderas, C.; Nistal, A.; Garcia, E.; Sainz, M.A.; Martin de la Escalera, F.; Essa, Y.; Miranzo, P.

    2016-01-01

    High performance lightweight materials are required in the aerospace industry. Silicon carbide, carbon fiber reinforced carbon and slicon carbide composites comply with those requirements but they suffer from oxidation at the high temperature of the service conditions. One of the more effective approaches to prevent this problem is the use of protecting ceramic coatings, where the good adhesion between substrates and coatings are paramount to guarantee the optimal protection performance. In the present work, the adhesion between those substrates and glass coatings of the Y2O3-Al2O3-SiO2 system processed by oxyacetylene flame spraying is analyzed. Increasing load scratch tests are employed for determining the failure type, maximum load and their relation with the elastic and mechanical properties of the coatings. The results points to the good adhesion of the coatings to silicon carbide and carbon fibre reinforced silicon carbide while the carbon fiber reinforced carbon is not a suitable material to be coated. (Author)

  14. Differences in structure and magnetic behavior of Mn-AlN films due to substrate material

    International Nuclear Information System (INIS)

    Sato, Takanobu; Nakatani, Ryoichi; Endo, Yasushi; Kirino, Fumiyoshi

    2009-01-01

    The structure and magnetic behavior of Mn-AlN (Al 1-x Mn x N, x = 0.03, 0.04) films deposited on thermally oxidized Si (001) substrates and sapphire (0001) substrates were studied. Mn-AlN films deposited on each substrate had a wuertzite-type AlN phase with a preferentially oriented c-axis. Mn-AlN films that were deposited on Si (001) substrate exhibited paramagnetic behavior. In addition to paramagnetic behavior, weak ferromagnetic behavior with curie temperatures higher than room temperature were observed for Mn-AlN films deposited on sapphire (0001) substrates.

  15. Enhancing gas sensing properties of graphene by using a nanoporous substrate

    International Nuclear Information System (INIS)

    Yang, Cheol-Soo; Park, Serin; Choi, Won Jin; Lee, Jeong-O; Mahmood, Ather; Doudin, Bernard; Kim, Bongseock; Shin, Kyusoon; Jeon, Do Hyun; Han, Jin Kyu; Bu, Sang Don

    2016-01-01

    Substrate engineering is shown to be a viable approach for improving the use of graphene thin films for gas sensor applications. The performance of two-terminal devices fabricated on smooth SiO 2 and nanoporous anodized aluminum oxide (AAO) substrates are compared. Raman studies indicated that both types of samples exhibit similarly low point-defect densities, but the mobility values of the SiO 2 -supported films were found to be three times larger than those on porous AAO substrates. However, the AAO-supported graphene devices exhibit a 3-fold enhanced sensitivity to both NO 2 and NH 3 gases when compared to the devices supported on SiO 2 . We attribute this sensitivity enhancement to the inhomogeneous electrostatic potential landscape that results from the porous nature of the AAO substrate, as well as extended defects made of wrinkles or folds originated from AAO. This substrate design strategy could be extended to other semiconductor-based sensor devices. (letter)

  16. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    Science.gov (United States)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  17. Magnetron sputtered transparent conductive zinc-oxide stabilized amorphous indium oxide thin films on polyethylene terephthalate substrates at ambient temperature

    International Nuclear Information System (INIS)

    Yan, Y.; Zhang, X.-F.; Ding, Y.-T.

    2013-01-01

    Amorphous transparent conducting zinc-oxide stabilized indium oxide thin films, named amorphous indium zinc oxide (a-IZO), were deposited by direct current magnetron sputtering at ambient temperature on flexible polyethylene terephthalate substrates. It has been demonstrated that the electrical resistivity could attain as low as ∼ 5 × 10 −4 Ω cm, which was noticeably lower than amorphous indium tin oxide films prepared at the same condition, while the visible transmittance exceeded 84% with the refractive index of 1.85–2.00. In our experiments, introduction of oxygen gas appeared to be beneficial to the improvement of the transparency and electrical conductivity. Both free carrier absorption and indirect transition were observed and Burstein–Moss effect proved a-IZO to be a degenerated amorphous semiconductor. However, the linear relation between the optical band gap and the band tail width which usually observed in covalent amorphous semiconductor such as a-Si:H was not conserved. Besides, porosity could greatly determine the resistivity and optical constants for the thickness variation at this deposition condition. Furthermore, a broad photoluminescence peak around 510 nm was identified when more than 1.5 sccm oxygen was introduced. - Highlights: ► Highly conducting amorphous zinc-oxide stabilized indium oxide thin films were prepared. ► The films were fabricated on polyethylene terephthalate at ambient temperature. ► Introduction of oxygen can improve the transparency and electrical conductivity. ► The linear relation between optical band gap and band tail width was not conserved

  18. Formation of Me–O–Si covalent bonds at the interface between polysilazane and stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Amouzou, Dodji, E-mail: adodji@gmail.com [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium); Fourdrinier, Lionel; Maseri, Fabrizio [CRM-Group, Boulevard de Colonster, B 57, 4000 Liège (Belgium); Sporken, Robert [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium)

    2014-11-30

    Highlights: • Natural metal-oxides, hydroxides are detected on the top surface of steel substrates we tested. • Polysilazane reacts with hydroxide functional groups on steel substrates to form Cr–O–Si and Fe–O–Si covalent bonds. • Covalent bonding between steel and polysilazane at the interface was probed using spectroscopic techniques. - Abstract: In earlier works, we demonstrated the potential of polysilazane (PSZ) coatings for a use as insulating layers in Cu(In,Ga)Se{sub 2} (CIGS) solar cells prepared on steels substrates and showed a good adhesion between PSZ coatings and both AISI316 and AISI430 steels. In the present paper, spectroscopic techniques are used to elucidate the reason of such adhesion. X-ray Photoelectron Spectroscopy (XPS) was used to investigate surfaces for the two steel substrates and showed the presence of metal oxides and metal hydroxides at the top surface. XPS has been also used to probe interfaces between substrates and PSZ, and metallosiloxane (Me–O–Si) covalent bonds have been detected. These results were confirmed by Infra-Red Reflection Absorption Spectroscopy (IRRAS) analyses since vibrations related to Cr–O–Si and Fe–O–Si compounds were detected. Thus, the good adhesion between steel substrates and PSZ coatings was explained by covalent bonding through chemical reactions between PSZ precursors and hydroxide functional groups present on top surface of the two types of steel. Based on these results, an adhesion mechanism between steel substrates and PSZ coatings is proposed.

  19. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  20. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    Science.gov (United States)

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  1. Effect of SiC particles on microarc oxidation process of magnesium matrix composites

    International Nuclear Information System (INIS)

    Wang, Y.Q.; Wang, X.J.; Gong, W.X.; Wu, K.; Wang, F.H.

    2013-01-01

    SiC particles are an important reinforced phase in metal matrix composites. Their effect on the microarc oxidation (MAO, also named plasma electrolytic oxidation-PEO) process of SiC p /AZ91 Mg matrix composites (MMCs) was studied and the mechanism was revealed. The corrosion resistance of MAO coating was also investigated. Voltage–time curves during MAO were recorded to study the barrier film status on the composites. Scanning electron microscopy was used to characterize the existing state of SiC particles in MAO. Energy dispersive X-ray spectrometry and X-ray photoelectron spectroscopy were used to analyze the chemical composition of the coating. Corrosion resistance of the bare and coated composites was evaluated by potentiodynamic polarization curves in 3.5% NaCl solution. Results showed that the integrality and electrical insulation properties of the barrier film on the composites were destroyed by the SiC particles. Consequently, the sparking discharge at the early stage of MAO was inhibited, and the growth efficiency of the MAO coating decreased with the increase in the volume fraction of SiC particles. SiC particles did not exist stably during MAO; they were oxidized or partially oxidized into SiO 2 before the overall sparking discharge. The transformation from semi-conductive SiC to insulating SiO 2 by oxidation restrained the current leakage at the original SiC positions and then promoted sparking discharge and coating growth. The corrosion current density of SiC p /AZ91 MMCs was reduced by two orders of magnitude after MAO treatment. However, the corrosion resistances of the coated composites were lower than that of the coated alloy.

  2. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  3. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  4. Sites of reactive oxygen species generation by mitochondria oxidizing different substrates

    Directory of Open Access Journals (Sweden)

    Casey L. Quinlan

    2013-01-01

    Full Text Available Mitochondrial radical production is important in redox signaling, aging and disease, but the relative contributions of different production sites are poorly understood. We analyzed the rates of superoxide/H2O2 production from different defined sites in rat skeletal muscle mitochondria oxidizing a variety of conventional substrates in the absence of added inhibitors: succinate; glycerol 3-phosphate; palmitoylcarnitine plus carnitine; or glutamate plus malate. In all cases, the sum of the estimated rates accounted fully for the measured overall rates. There were two striking results. First, the overall rates differed by an order of magnitude between substrates. Second, the relative contribution of each site was very different with different substrates. During succinate oxidation, most of the superoxide production was from the site of quinone reduction in complex I (site IQ, with small contributions from the flavin site in complex I (site IF and the quinol oxidation site in complex III (site IIIQo. However, with glutamate plus malate as substrate, site IQ made little or no contribution, and production was shared between site IF, site IIIQo and 2-oxoglutarate dehydrogenase. With palmitoylcarnitine as substrate, the flavin site in complex II (site IIF was a major contributor (together with sites IF and IIIQo, and with glycerol 3-phosphate as substrate, five different sites all contributed, including glycerol 3-phosphate dehydrogenase. Thus, the relative and absolute contributions of specific sites to the production of reactive oxygen species in isolated mitochondria depend very strongly on the substrates being oxidized, and the same is likely true in cells and in vivo.

  5. Synthesis and properties of crystalline thin film of antimony trioxide on the Si(1 0 0) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yasir, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kuzmin, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Ioffe Physical-Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Punkkinen, M.P.J.; Mäkelä, J.; Tuominen, M.; Dahl, J. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Laukkanen, P., E-mail: pekka.laukkanen@utu.fi [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kokko, K. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland)

    2015-09-15

    Highlights: • Formation of crystalline phase of Sb{sub 2}O{sub 3} on Si(1 0 0) is demonstrated. • STM and STS characterizations of the grown Sb{sub 2}O{sub 3} film are presented. • STS results elucidate the band gap of Sb{sub 2}O{sub 3}. • Ab initio calculations reveal energetically favored Sb{sub 2}O{sub 3} surface structures. - Abstract: Atomic-scale understanding and processing of the surface and interface properties of antimony trioxide (Sb{sub 2}O{sub 3}) are essential to the development of nanoscale Sb{sub 2}O{sub 3} materials for various applications, such as photocatalysts, transparent conducting oxides, optical coatings, dielectric films, and fire retardants. Lack of atomically well-defined, crystalline Sb{sub 2}O{sub 3} templates has however hindered atomic resolution characterization of the Sb{sub 2}O{sub 3} properties. We report the preparation of crystalline Sb{sub 2}O{sub 3} thin films on the Si(1 0 0) substrate with a simple process by oxidizing Sb-covered Si(1 0 0) in proper conditions. Physical properties of the synthesized films have been elucidated by low-energy electron diffraction, scanning tunneling microscopy and spectroscopy, and ab initio calculations. The spectroscopic results show that the band gap of Sb{sub 2}O{sub 3} is 3.6 eV around the gamma point (i.e. Γ). Calculations reveal energetically favored Sb{sub 2}O{sub 3}(1 0 0) surface structures. The findings open a new path for the atomic-scale research of Sb{sub 2}O{sub 3}.

  6. Recombination reduction at the c-Si/RCA oxide interface through Ar-H2 plasma treatment

    Science.gov (United States)

    Landheer, Kees; Bronsveld, Paula C. P.; Poulios, Ioannis; Tichelaar, Frans D.; Kaiser, Monja; Schropp, Ruud E. I.; Rath, Jatin K.

    2017-02-01

    An Ar-H2 plasma treatment was applied on an ultrathin RCA oxide to create well-passivated silicon wafers with symmetric c-Si/SiOx:H/a-Si:H passivation layer stacks. The effective lifetime of these samples increased from 10 μs to 4 ms after annealing at 200 °C through Ar-H2 plasma treatment of the oxide. The results indicate that the plasma treatment can modify the RCA oxide and this enables atomic hydrogen diffusion at low annealing temperature, leading to a well passivated c-Si/SiOx:H interface. This might provide new possibilities to use wet chemical oxides in c-Si solar cells, for example as tunnel contacts.

  7. Oxidation of SiC cladding under Loss of Coolant Accident (LOCA) conditions in LWRs

    International Nuclear Information System (INIS)

    Lee, Y.; Yue, C.; Arnold, R. P.; McKrell, T. J.; Kazimi, M. S.

    2012-01-01

    An experimental assessment of Silicon Carbide (SiC) cladding oxidation rate in steam under conditions representative of Loss of Coolant Accidents (LOCA) in light water reactors (LWRs) was conducted. SiC oxidation tests were performed with monolithic alpha phase tubular samples in a vertical quartz tube at a steam temperature of 1140 deg. C and steam velocity range of 1 to 10 m/sec, at atmospheric pressure. Linear weight loss of SiC samples due to boundary layer controlled reaction of silica scale (SiO 2 volatilization) was experimentally observed. The weight loss rate increased with increasing steam flow rate. Over the range of test conditions, SiC oxidation rates were shown to be about 3 orders of magnitude lower than the oxidation rates of zircaloy 4. A SiC volatilization correlation for developing laminar flow in a vertical channel is formulated. (authors)

  8. Application of a mixed metal oxide catalyst to a metallic substrate

    Science.gov (United States)

    Sevener, Kathleen M. (Inventor); Lohner, Kevin A. (Inventor); Mays, Jeffrey A. (Inventor); Wisner, Daniel L. (Inventor)

    2009-01-01

    A method for applying a mixed metal oxide catalyst to a metallic substrate for the creation of a robust, high temperature catalyst system for use in decomposing propellants, particularly hydrogen peroxide propellants, for use in propulsion systems. The method begins by forming a prepared substrate material consisting of a metallic inner substrate and a bound layer of a noble metal intermediate. Alternatively, a bound ceramic coating, or frit, may be introduced between the metallic inner substrate and noble metal intermediate when the metallic substrate is oxidation resistant. A high-activity catalyst slurry is applied to the surface of the prepared substrate and dried to remove the organic solvent. The catalyst layer is then heat treated to bind the catalyst layer to the surface. The bound catalyst layer is then activated using an activation treatment and calcinations to form the high-activity catalyst system.

  9. Modes of oxidation in SiC-reinforced mullite/ZrO2 composites: Oxidation vs depth behavior

    International Nuclear Information System (INIS)

    Lin, C.C.; Ruh, R.

    1999-01-01

    Two basic oxidation modes of composites with oxidizing particles in a non-oxidizing matrix have been observed. Mode I is defined as the complete oxidation of all the particles within an outer layer of the composite, while mode II exhibits partial oxidation of the particles, deep into the composite. Using microscopic observations to plot the silica layer thickness on particles (whiskers) vs the depth of the particles (whiskers) below the composite surface is proposed as a powerful means of categorizing and quantifying actual oxidation modes. Thus, mullite/SiC-whisker composites were found to have mode I oxidation behavior, while certain (mullite + ZrO 2 )/SiC-whisker composites were found to exhibit mode II behavior, followed by a mixed mode after severe exposures. It is proposed that mode II behavior appears when oxygen diffusivity in the matrix is much higher than that in the product oxide layer

  10. Substrate independent approach for synthesis of graphene platelet networks

    Science.gov (United States)

    Shashurin, A.; Fang, X.; Zemlyanov, D.; Keidar, M.

    2017-06-01

    Graphene platelet networks (GPNs) comprised of randomly oriented graphene flakes two to three atomic layers thick are synthesized using a novel plasma-based approach. The approach uses a substrate capable of withstanding synthesis temperatures around 800 °C, but is fully independent of the substrate material. The synthesis occurs directly on the substrate surface without the necessity of any additional steps. GPNs were synthesized on various substrate materials including silicon (Si), thermally oxidized Si (SiO2), molybdenum (Mo), nickel (Ni) and copper (Cu), nickel-chromium (NiCr) alloy and alumina ceramics (Al2O3). The mismatch between the atomic structures of sp2 honeycomb carbon networks and the substrate material is fully eliminated shortly after the synthesis initiation, namely when about 100 nm thick deposits are formed on the substrate. GPN structures synthesized on a substrate at a temperature of about 800 °C are significantly more porous in comparison to the much denser packed amorphous carbon deposits synthesized at lower temperatures. The method proposed here can potentially revolutionize the area of electrochemical energy storage by offering a single-step direct approach for the manufacture of graphene-based electrodes for non-Faradaic supercapacitors. Mass production can be achieved using this method if a roll-to-roll system is utilized.

  11. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  12. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  13. Influence of sputtering gas pressure on properties of transparent conducting Si-doped zinc oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Hua; Liu, Hunfa; Lei, Chengxin [Shandong Univ. of Technology, Zibo (China). Dept. of Sciences

    2013-10-15

    Si-doped zinc oxide (SZO, Si 3%) thin films were deposited on glass substrates by means of direct current magnetron sputtering under different pressures. The influence of sputtering pressure on structure, morphology, optical and electrical properties of SZO thin films was investigated. The results reveal that the sputtering pressures have a significant impact on the growth rate, crystal quality and electrical properties of the films, but have little impact on the optical properties of the films. SZO thin film samples grown on glasses are polycrystalline with a hexagonal wurtzite structure and have a preferred orientation along the c-axis perpendicular to the substrate. When the sputtering pressure increases from 2 to 8 Pa, the film surface becomes compact and smooth, the degree of crystallization of the films increases, and the resistivity of films decreases. However, when the sputtering pressure continues to increase from 8 to 10 Pa, the degree of crystallization of the films decreases, the grain size decreases, and the resistivity of the films increases. SZO(3%) thin film deposited at a sputtering pressure of 8 Pa shows the largest carrier concentration, the largest mobility, the lowest resistivity of 3.0 x 10{sup -4} {Omega} cm and a high overall transmission of 93.3% in the visible range. (orig.)

  14. Effects of Oxygen Partial Pressure on Oxidation Behavior of CMnSi TRIP Steel in an Oxidation-Reduction Scheme

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Hwan; Huh, Joo-Youl [Korea University, Seoul (Korea, Republic of); Kim, Myung-Soo; Kim, Jong-Sang [POSCO Technical Research Laboratories, Gwangyang (Korea, Republic of)

    2017-02-15

    An oxidation-reduction scheme is an alternative approach for improving the galvanizability of advanced high-strength steel in the continuous hot-dip galvanizing process. Here, we investigated the effect of oxygen partial pressure (Po{sub 2}) on the oxidation behavior of a transformation-induced plasticity steel containing 1.5 wt% Si and 1.6 wt% Mn during heating to and holding for 60 s at 700 ℃ under atmospheres with various Po{sub 2} values. Irrespective of Po{sub 2}, a thin amorphous Si-rich layer of Si-Mn-O was formed underneath the Fe oxide scale (a Fe{sub 2}O{sub 3}/Fe{sub 3}O{sub 4} bilayer) in the heating stage. In contrast to Si, Mn tended to segregate at the scale surface as (Fe,Mn){sub 2}O{sub 3}. The multilayered structure of (Fe,Mn){sub 2}O{sub 3}/Fe{sub 2}O{sub 3}/Fe{sub 3}O{sub 4}/amorphous Si-Mn-O remained even after extended oxidizing at 700 ℃ for 60 s. Fe{sub 2}O{sub 3} was the dominantly growing oxide phase in the scale. The enhanced growth rate of Fe{sub 2}O{sub 3} with increasing Po{sub 2} resulted in the formation of more Kirkendall voids in the amorphous Si-rich layer and a less Mn segregation at the scale surface. The mechanisms underlying the absence of FeO and the formation of Kirkendall voids are discussed.

  15. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    International Nuclear Information System (INIS)

    Dehzangi, Arash; Larki, Farhad; Naseri, Mahmud G.; Navasery, Manizheh; Majlis, Burhanuddin Y.; Razip Wee, Mohd F.; Halimah, M.K.; Islam, Md. Shabiul; Md Ali, Sawal H.; Saion, Elias

    2015-01-01

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated

  16. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    Energy Technology Data Exchange (ETDEWEB)

    Dehzangi, Arash, E-mail: arashd53@hotmail.com [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Larki, Farhad [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Naseri, Mahmud G. [Department of Physics, Faculty of Science, Malayer University, Malayer, Hamedan (Iran, Islamic Republic of); Navasery, Manizheh [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Majlis, Burhanuddin Y.; Razip Wee, Mohd F. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Halimah, M.K. [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Islam, Md. Shabiul; Md Ali, Sawal H. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Saion, Elias [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia)

    2015-04-15

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated.

  17. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  18. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    Science.gov (United States)

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  19. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  20. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  1. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng, E-mail: rschen@ust.hk; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-08-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm{sup 2}/Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10{sup 6}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress.

  2. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  3. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  4. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  5. Bond-specific reaction kinetics during the oxidation of (111) Si: Effect of n-type doping

    International Nuclear Information System (INIS)

    Gokce, B.; Aspnes, D. E.; Lucovsky, G.; Gundogdu, K.

    2011-01-01

    It is known that a higher concentration of free carriers leads to a higher oxide growth rate in the thermal oxidation of silicon. However, the role of electrons and holes in oxidation chemistry is not clear. Here, we report real-time second-harmonic-generation data on the oxidation of H-terminated (111)Si that reveal that high concentrations of electrons increase the chemical reactivity of the outer-layer Si-Si back bonds relative to the Si-H up bonds. However, the thicknesses of the natural oxides of all samples stabilize near 1 nm at room temperature, regardless of the chemical kinetics of the different bonds.

  6. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  7. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  8. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  9. Dependence of open-circuit voltage of SnO2-nSi solar cells; SnO2-nSi taiyo denchi no sanka ondo menhoi izonsei

    Energy Technology Data Exchange (ETDEWEB)

    Shinoda, S; Shimizu, A; Yano, K; Kasuga, M [Yamanashi University, Yamanashi (Japan). Faculty of Engineering

    1997-11-25

    Although metal(or semiconductor)-semiconductor solar cells, SnO2-nSi solar cell for example, are superior in cost and efficiency, its barrier height and open-circuit voltage V(oc) are lower than those of p-n junctions. To improve these defects, study was made on the dependence of V(oc) on oxidation temperature and surface orientation using various solar cells prepared from (100)Si and (111)Si under various oxidation conditions. As a result, the density of surface states increases with a decrease in oxidation temperature of Si substrates, resulting in an increase in diode factor and V(oc). In this case, since oxide films are extremely thin and contribution of non-terminated bonds is large in the initial oxidation stage, the quantity of dangling bonds is larger in (100) plane than (111) plane, resulting in an increase in diode factor and V(oc). Since the surface energy level (the degree of electrons dominated by acceptor-like surface state from this level to the top of a valence band) of (100) Si is lower than that of (111) Si, the effective barrier height and V(oc) increase. 28 refs., 6 figs., 2 tabs.

  10. Structure of multilayered Cr(Al)N/SiO{sub x} nanocomposite coatings fabricated by differential pumping co-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kawasaki, Masahiro [JEOL USA Inc., 11 Dearborn Road, Peabody, Massachusetts 01960 (United States); Nose, Masateru [Faculty of Art and Design, University of Toyama, 180 Futagami-machi, Takaoka 933-8588 (Japan); Onishi, Ichiro [JEOL Ltd. 3-1-2 Musashino, Akishima, Tokyo 196-8558 (Japan); Shiojiri, Makoto [Kyoto Institute of Technology, Kyoto 606-8585 (Japan)

    2013-11-11

    A Cr(Al)N/38 vol. % SiO{sub x} hard coating was prepared on a (001) Si substrate at 250 °C in a differential pumping co-sputtering system, which has two chambers for radio frequency (RF) sputtering and a substrate holder rotating on the chambers. The composite coating was grown by alternate sputter-depositions from CrAl and SiO{sub 2} targets with flows of N{sub 2}+Ar and Ar at RF powers of 200 and 75 W, respectively, on transition layers grown on the substrate. Analytical electron microscopy reveled that the Cr(Al)N/SiO{sub x} coating had a multilayered structure of Cr(Al)N crystal layers ∼1.6 nm thick and two-dimensionally dispersed amorphous silicon oxide (a-SiO{sub x}) particles with sizes of ∼1 nm or less. The a-SiO{sub x} particles were enclosed with the Cr(Al)N layers. The coating had a low indentation hardness of ∼25 GPa at room temperature, due to a high oxide fraction of 38 vol. % and a low substrate rotational speed of 1 rpm. Faster rotation and lower oxide fraction would make a-SiO{sub x} particles smaller, resulting in the formation of Cr(Al)N crystal including the very fine a-SiO{sub x} particles with small number density. They would work as obstacles for the lattice deformation of the Cr(Al)N crystals. We have fabricated a superhard coating of Cr(Al)N/17 vol. % SiO{sub x} with a hardness of 46 GPa prepared at 12 rpm.

  11. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    International Nuclear Information System (INIS)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip

    2015-01-01

    Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields

  12. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Kim, Dong Rip, E-mail: dongrip@hanyang.ac.kr [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2015-06-15

    Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.

  13. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  14. Meniscus-force-mediated layer transfer technique using single-crystalline silicon films with midair cavity: Application to fabrication of CMOS transistors on plastic substrates

    Science.gov (United States)

    Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro

    2015-04-01

    A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.

  15. Generation and confinement of mobile charges in buried oxide of SOI substrates

    International Nuclear Information System (INIS)

    Gruber, O.; Krawiec, S.; Musseau, O.; Paillet, Ph.; Courtot-Descharles, A.

    1999-01-01

    We analyze the mechanisms of generation and confinement of mobile protons resulting from hydrogen annealing of SOI buried oxides. This study of the mechanisms of generation and confinement of mobile protons in the buried oxide of SOI wafers emphasizes the importance of H+ diffusion in the oxide in the formation of a mobile charge. Under specific electric field conditions the irradiation of these devices results in a pinning of this mobile charge at the bottom Si-SiO 2 interface. Ab initio calculations are in progress to investigate the possible precursor defects in the oxide and detail the mechanism for mobile proton generation and confinement. (authors)

  16. Si/ZnO NANO STRUCTURED HETEROJUNCTIONS BY APCVD METHOD

    Directory of Open Access Journals (Sweden)

    M. Maleki

    2015-12-01

    Full Text Available In this paper, polycrystalline pure zinc oxide nano structured thin films were deposited on two kinds of single crystal and polycrystalline of p and n type Si in three different substrate temperatures of 300, 400 and 500◦C by low cost APCVD method. Structural, electrical and optical properties of these thin films were characterized by X ray diffraction, two point probe method and UV visible spectrophotometer respectively. IV measurements of these heterojunctions showed that turn on voltage and series resistance will increase with increasing substrate temperature in polycrystalline Si, while in single crystal Si, turn on voltage will decrease. Although they are acceptable diodes, their efficiency as a heterojunction solar cell are so low

  17. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  18. Effects of dew point on selective oxidation of TRIP steels containing Si, Mn, and B

    Science.gov (United States)

    Lee, Suk-Kyu; Kim, Jong-Sang; Choi, Jin-Won; Kang, Namhyun; Cho, Kyung-Mox

    2011-04-01

    The selective oxidation of Si, Mn, and B on TRIP steel surfaces is a widely known phenomenon that occurs during heat treatment. However, the relationship between oxide formation and the annealing factors is not completely understood. This study examines the effect of the annealing conditions (dew point and annealing temperature) on oxide formation. A low dew point of -40 °C leads to the formation of Si-based oxides on the surface. A high dew point of -20 °C changes the oxide type to Mn-based oxides because the formation of Si oxides on the surface is suppressed by internal oxidation. Mn-based oxides exhibit superior wettability due to aluminothermic reduction during galvanizing.

  19. SiO mass spectrometry and Si-2p photoemission spectroscopy for the study of oxidation reaction dynamics of Si(001) surface by supersonic O sub 2 molecular beams under 1000K

    CERN Document Server

    Teraoka, Y; Moritani, K

    2003-01-01

    The Si sup 1 sup 8 O desorption yield was measured in the Si(001) surface temperature region from 900K to 1300K at the sup 1 sup 8 O sub 2 incident energies of 0.7eV, 2.2eV and 3.3eV. The Si sup 1 sup 8 O desorption yield in a surface temperature region higher than 1000K increased with increasing incident energy, indicating the incident-energy-induced oxidation and the variation of angular distribution of Si sup 1 sup 8 O desorption. Inversely, the Si sup 1 sup 8 O desorption yield decreased with increasing incident energy in the region from 900K to 1000K, indicating the coexistence of the passive and the active oxidation. In order to clarify the reaction mechanisms of the later phenomenon, real-time in-situ Si-2p photoemission spectroscopy has been performed. The obtained Si-2p spectra showed the variation of the oxide-nuclei quality from the sub-oxide-rich structure to the SiO sub 2 -rich structure. The formation of the SiO sub 2 structure suppresses the SiO desorption due to the enhanced O sub 2 sticking a...

  20. Hydrogen interaction with oxidized Si(111) probed with positrons

    International Nuclear Information System (INIS)

    Lynn, K.G.; Nielsen, B.; Welch, D.O.

    1989-01-01

    A variable-energy positron beam was utilized to study the interface action of hydrogen with Si(111) covered by an ultrahigh-vacuum thermally grown oxide of 2-3 nm thickness. It was observed that positrons implanted at shallow depth (< 100 nm) after diffusion are trapped either at the interface between the oxide and the Si or in the oxide. The positron-annihilation characteristics of these trapped positrons are found to be very sensitive to hydrogen exposure. The momentum distribution of the annihilating positron-electron pair, as observed in the Doppler broadening of the annihilation line, broadens considerably after exposure to hydrogen. The effect recovers after annealing at ≅ 1100 K, suggesting a hydrogen binding at the interface of ∼ 3 ± 0.3 eV. (author). 18 refs., 3 figs

  1. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  2. Real-time monitoring of initial thermal oxidation on Si(001) surfaces by synchrotron radiation photoemission spectroscopy

    CERN Document Server

    Yoshigoe, A; Teraoka, Y

    2003-01-01

    The thermal oxidation of Si(001) surfaces at 860 K, 895 K, 945 K and 1000 K under the O sub 2 pressure of 1 x 10 sup - sup 4 Pa has been investigated by time-resolved photoemission measurements with synchrotron radiation. Based on time evolution analyses by reaction kinetics models, it was found that the oxidation at 860 K, 895 K and 945 K has progressed with the Langmuir adsorption type, whereas the oxidation at 1000 K has showed the character of the two-dimensional island growth involving SiO desorption. The oxidation rates increases with increasing surface temperature in the passive oxidation condition. The time evolution of each Si oxidation state (Si sup n sup + : n = 1, 2, 3, 4) derived from the Si-2p core-level shifts has also been analyzed. The results revealed that the thermal energy contribution to the migration process of the adsorbed oxygen and the emission of the bulk silicon atoms. Thus, the fraction of the Si sup 4 sup + bonding state, i.e. SiO sub 2 structure, was increased. (author)

  3. New methods to the determination of acid-base constants of solid substrates (oxides and carbon fibres) and of the transition temperatures of polymers adsorbed on oxides

    International Nuclear Information System (INIS)

    Hamieh, Tayssir

    2000-01-01

    Full text.Inverse gas chromatography technique at infinite dilution was used to calculate the acidic and basic surface characteristics of some solid substrates like oxides: Mono gal, MgO, ZnO, SiO 2 and Al 2 O 3 , four different carbon fibres and polymers as PMMA at various tacticities. We determined the specific interactions between them and model organic molecules and showed the amphoteric feature of such solids. We proved that the usual relation giving the specific enthalpy of adsorption (ΔH s P) of a polar molecule adsorbed on a solid: (-ΔH s P) = (K A DN + K D AN) was not correct for oxides and carbon fibres. We proposed a new relashionship by adding a third parameter K reflecting the amphoteric character of the solid according to: (-ΔH s P) = K A .DN + K D .AN - K. AN.DN. On the other hand, we used the inverse gas chromatography (IGC) at infinite dilution to determine the glass transition temperatures and other transitions of the systems PMMA/SiO 2 and PMMA/Al 2 O 3 , at various covered surface fractions and for various tacticities of the polymer (atactic, isotactic and syndiotactic). The maxima of the dispersive component of the surface energy γ s d of our two systems, obtained by IGC at infinite dilution, indicated clearly the presence of transition temperatures (glass or local transitions). The study of the chemical physical properties of PMMA/SiO 2 and PMMA/Al 2 O 3 , revealed an important difference in the acidic and basic behaviour, in Lewis terms, of oxide covered by various concentrations of PMMA. This study also highlighted an important effect of the tacticity of the polymer on the acidic basic character of PMMA adsorbed on oxides

  4. III-V/Si wafer bonding using transparent, conductive oxide interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Tamboli, Adele C., E-mail: Adele.Tamboli@nrel.gov; Hest, Maikel F. A. M. van; Steiner, Myles A.; Essig, Stephanie; Norman, Andrew G.; Bosco, Nick; Stradins, Paul [National Center for Photovoltaics, National Renewable Energy Laboratory, 15013 Denver West Pkwy, Golden, Colorado 80401 (United States); Perl, Emmett E. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106-9560 (United States)

    2015-06-29

    We present a method for low temperature plasma-activated direct wafer bonding of III-V materials to Si using a transparent, conductive indium zinc oxide interlayer. The transparent, conductive oxide (TCO) layer provides excellent optical transmission as well as electrical conduction, suggesting suitability for Si/III-V hybrid devices including Si-based tandem solar cells. For bonding temperatures ranging from 100 °C to 350 °C, Ohmic behavior is observed in the sample stacks, with specific contact resistivity below 1 Ω cm{sup 2} for samples bonded at 200 °C. Optical absorption measurements show minimal parasitic light absorption, which is limited by the III-V interlayers necessary for Ohmic contact formation to TCOs. These results are promising for Ga{sub 0.5}In{sub 0.5}P/Si tandem solar cells operating at 1 sun or low concentration conditions.

  5. Dry sliding wear behavior of laser clad TiVCrAlSi high entropy alloy coatings on Ti–6Al–4V substrate

    International Nuclear Information System (INIS)

    Huang, Can; Zhang, Yongzhong; Vilar, Rui; Shen, Jianyun

    2012-01-01

    Highlights: ► TiVCrAlSi high entropy alloy coatings were obtained on Ti–6Al–4V by laser cladding. ► (Ti,V) 5 Si 3 forms because the formation is accompanied of large variation on enthalpy. ► Wear resistance of Ti–6Al–4V is improved by laser cladding with TiVCrAlSi. ► The wear mechanism is investigated. -- Abstract: Approximately equimolar ratio TiVCrAlSi high entropy alloy coatings has been deposited by laser cladding on Ti–6Al–4V alloy. The analysis of the microstructure by scanning electron microscopy (SEM) shows that the coating is metallurgically bonded to the substrate. X-ray diffraction (XRD) and energy dispersive spectrometer (EDS) analyses show that TiVCrAlSi coating is composed of precipitates of (Ti,V) 5 Si 3 dispersed in a body-centered cubic (BCC) matrix. Intermetallic compound (Ti,V) 5 Si 3 forms because the formation is accompanied by larger variation on enthalpy, which may offset the entropy term. The dry sliding wear tests show that the wear resistance of Ti–6Al–4V is improved by laser cladding with TiVCrAlSi. The enhancement of the wear resistance is explained by the presence of the hard silicide phase dispersed in a relatively ductile BCC matrix, which allows sliding wear to occur in the mild oxidative regime for a wide range of testing conditions.

  6. Fabrication of GaAs quantum dots by droplet epitaxy on Si/Ge virtual substrate

    International Nuclear Information System (INIS)

    Bietti, S; Sanguinetti, S; Somaschini, C; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2009-01-01

    We present here the fabrication, via droplet epitaxy, of GaAs/AlGaAs quantum dots with high optical efficiency on Si. The growth substrate lattice parameter was adapted to that of (Al)GaAs via Ge virtual substrates (GeVS). The samples clearly show the presence of quantum dot self-assembly, with the designed shape and density. Photoluminescence measurements, performed at low temperature, show an intense emission band from the quantum dots.

  7. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  8. Oxidation-resistant interface coatings for Nicalon/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Stinton, D.P.; Besmann, T.M.; Lowden, R.A. [Oak Ridge National Lab., TN (United States); Liaw, P.K.; Shanmugham, S. [Univ. of Tennessee, Knoxville, TN (United States)

    1997-12-01

    Nicalon/SiC composites with thin C and C/oxide/C interfaces were fabricated. The oxide layers, mullite and Al{sub 2}O{sub 3}-TiO{sub 2}, were deposited by a sol-gel process, while the C layer was deposited by a chemical vapor infiltration method. The fabricated composites were flexure tested in both as-processed and oxidized conditions. Composites with C and C/oxide/C interfaces retained graceful failure even after 500 h oxidation at 1000 C, but with reduced flexural strengths.

  9. Al-oxynitride interfacial layer investigations for Pr{sub X}O{sub Y} on SiC and Si

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, K; Karavaev, K; Torche, M; Schwiertz, C; Burkov, Y; Schmeisser, D [Brandenburgische Technische Universitaet Cottbus, Angewandte Physik-Sensorik, K-Wachsmann-Allee 17, 03046 Cottbus (Germany)], E-mail: henkel@tu-cottbus.de

    2008-01-15

    We investigate the dielectric properties of Praseodymium based oxides Pr{sub X}O{sub Y} by preparing MIS (metal insulator semiconductor) structures consisting of Pr{sub X}O{sub Y} as a high-k insulating layer and silicon (Si) or silicon carbide (SiC) as semiconductor substrates. The use of a buffer layer between Pr{sub X}O{sub Y} and the semiconductor is necessary as we found deleterious reactions between these materials such as silicate and graphite formation. Possessing a higher permittivity value ({epsilon}{sub r}) than silicon dioxide (SiO{sub 2}) and good lattice matching in conjunction with similar thermal expansion coefficient to SiC, we focus on aluminum oxynitride (AlON) as a suitable buffer layer for this high-k/wide-bandgap system. In our spectroscopic investigations we found a decrease or indeed prevention of silicon diffusion into the oxide and an increased Pr{sub 2}O{sub 3} fraction after deposition. In electrical characterizations of Pr{sub X}O{sub Y}/AlON stacks we found considerable improvements in the leakage current by several orders on both substrates, especially on silicon where we obtain values down to 10{sup -7}A/cm{sup 2} at a CET (capacitance equivalent thickness) of 4nm. We observed interface state densities in the range of 5 x 10{sup 11}-1 x 10{sup 12}/eVcm{sup 2} and 1-5 x 10{sup 12}/eVcm{sup 2} on Si and SiC, respectively.

  10. Effect of ternary additions on the oxidation resistance of Ti5Si3

    International Nuclear Information System (INIS)

    Thom, A.J.; Akinc, M.; Iowa State Univ., Ames, IA

    1995-01-01

    Refractory intermetallic silicides are receiving increasing consideration for use as high temperature structural materials. Ti 5 Si 3 -based compositions are attractive due to their ability to incorporate a variety of interstitial ternary additions. These ternary additions present a unique opportunity to potentially tailor physical properties. Previous experimental work has shown that these additions significantly increase the otherwise poor oxidation resistance of undoped Ti 5 Si 3 above 700 C. Recent experimental work by the authors on the oxidation of small atom doped Ti 5 Si 3 is discussed. Interstitial additions of boron, carbon, and oxygen substantially improve the isothermal oxidation resistance of Ti 5 Si 3 at 1,000 C. In contrast, added nitrogen does not provide significant improvement. Even up to 1,306 C, interstitial oxygen imparts excellent oxidation resistance with a mass gain of 1.1 mg/cm 2 after 240 hours

  11. Flexible Metal Oxide/Graphene Oxide Hybrid Neuromorphic Devices on Flexible Conducting Graphene Substrates

    OpenAIRE

    Wan, Chang Jin; Wang, Wei; Zhu, Li Qiang; Liu, Yang Hui; Feng, Ping; Liu, Zhao Ping; Shi, Yi; Wan, Qing

    2016-01-01

    Flexible metal oxide/graphene oxide hybrid multi-gate neuron transistors were fabricated on flexible graphene substrates. Dendritic integrations in both spatial and temporal modes were successfully emulated, and spatiotemporal correlated logics were obtained. A proof-of-principle visual system model for emulating lobula giant motion detector neuron was investigated. Our results are of great interest for flexible neuromorphic cognitive systems.

  12. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  13. Effect of post oxidation anneal on VUV radiation-hardness of the Si/SiO2 system studied by positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Clement, M.; Nijs, J.M.M. de; Veen, A. van; Schut, H.; Balk, P.

    1995-01-01

    The effect of a post oxidation anneal at 1,000 C in a N 2 ambient of the thermally grown Si/SiO 2 system was investigated using vacuum ultraviolet irradiation for determining the generation of interface traps of the Al metallized system in combination with positron annihilation spectroscopy to characterize the structure of the oxide network. A correlation was found between the generation of interface traps and the S parameter of the positron trapping sites in the oxide close to the Si. It appears likely that the positrons are trapped in the larger near-interfacial oxide network interstices. These interstices could act as scavengers for the metastable intermediate (atomic hydrogen or excitons) involved in the generation of the interface traps

  14. Influence of metformin and insulin on myocardial substrate oxidation under conditions encountered during cardiac surgery.

    Science.gov (United States)

    Holmes, Cyonna; Powell, LaShondra; Clarke, Nicholas S; Jessen, Michael E; Peltz, Matthias

    2018-02-01

    The influence of diabetic therapies on myocardial substrate selection during cardiac surgery is unknown but may be important to ensure optimal surgical outcomes. We hypothesized that metformin and insulin alter myocardial substrate selection during cardiac surgery and may affect reperfusion cardiac function. Rat hearts (n = 8 per group) were evaluated under 3 metabolic conditions: normokalemia, cardioplegia, or bypass. Groups were perfused with Krebs-Henseleit buffer in the presence of no additives, metformin, insulin, or both insulin and metformin. Perfusion buffer containing physiologic concentrations of energetic substrates with different carbon-13 ( 13 C) labeling patterns were used to determine substrate oxidation preferences using 13 C magnetic resonance spectroscopy and glutamate isotopomer analysis. Rate pressure product and oxygen consumption were measured. Myocardial function was not different between groups. For normokalemia, ketone oxidation was reduced in the presence of insulin and the combination of metformin and insulin reduced fatty acid oxidation. Metformin reduced fatty acid and ketone oxidation during cardioplegia. Fatty acid oxidation was increased in the bypass group compared with all other conditions. Metformin and insulin affect substrate utilization and reduce fatty acid oxidation before reperfusion. These alterations in substrate oxidation did not affect myocardial function in otherwise normal hearts. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. A Study on N{sub 2}O Direct Oxidation Process with Re-oxidation Annealing for the Improvement of Interface Properties in 4H-SiC MOS Capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Doohyung; Park, Kunsik; Yoo, Seongwook; Kim, Sanggi; Lee, Jinhwan; Kim, Kwangsoo [Electronics and Telecommunications Research Institute (ETRI), Daejeon (Korea, Republic of)

    2017-08-15

    The effect of N{sub 2}O direct oxidation processes with re-oxidation on SiC/SiO{sub 2} interface characteristics has been investigated. With different oxidation and post oxidation annealing (POA) processes, the flat-band voltage, effective dielectric charge density, and interface trap density are obtained from the capacitance-voltage curves. For the proposed N{sub 2}O direct oxidation processes with re-oxidation, oxides were grown in N{sub 2}O ambient, diluted in high-purity N{sub 2} to 10% concentration, for 5 h at 1230 ℃. After the growth, some samples were annealed additionally at 1200 ℃ in O{sub 2} or H{sub 2}O for 20 min. N{sub 2}O direct oxidation with re-oxidation processes was confirmed that SiC/SiO{sub 2} interface properties and dielectric stability have better performance than with other conventional oxidation processes. This oxidation technique is expected to improve gate dielectric stability for application to SiC MOS devices; in particular, it can be used to obtain high-quality SiC/SiO{sub 2} interface properties.

  16. Quality improvement of ZnO thin layers overgrown on Si(100 substrates at room temperature by nitridation pretreatment

    Directory of Open Access Journals (Sweden)

    Peng Wang

    2012-06-01

    Full Text Available To improve the quality of ZnO thin film overgrown on Si(100 substrate at RT (room temperature, the Si(100 surface was pretreated with different methods. The influence of interface on the overgrown ZnO layers was investigated by atomic force microscopy, photoluminescence and X-ray diffraction. We found that the nitridation pretreatment could significantly improve the quality of RT ZnO thin film through two-fold effects: one was to buffer the big lattice mismatch and ease the stress resulted from heterojunction growth; the other was to balance the interface charge, block the symmetric inheritance from the cubic Si (100 substrate and thus restrain the formation of zincblende phase.

  17. Deposition of an Ultraflat Graphene Oxide Nanosheet on Atomically Flat Substrates

    Science.gov (United States)

    Khan, M. Z. H.; Shahed, S. M. F.; Yuta, N.; Komeda, T.

    2017-07-01

    In this study, graphene oxide (GO) sheets produced in the form of stable aqueous dispersions were deposited on Au (111), freshly cleaved mica, and highly oriented pyrolytic graphite (HOPG) substrates. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) were used to study the presence and distinct contact of GO sheets on the substrates. It was revealed from the topography images that high-quality ultraflat GO monolayer sheets formed on the substrates without distinct cracking/wrinkling or folding. GO sheets with apparent height variation observed by microscopy also indicate ultraflat deposition with clear underlying steps. It was observed that ultrasonication and centrifuge steps prior to deposition were very effective for getting oxidation debris (OD)-free ultraflat single monolayer GO nanosheets onto substrates and that the process depends on the concentration of supplied GO solutions.

  18. Realization of hexagonal barium ferrite thick films on Si substrates using a screen printing technique

    International Nuclear Information System (INIS)

    Chen Yajie; Smith, Ian; Geiler, Anton L; Vittoria, Carmine; Harris, Vincent G; Zagorodnii, Volodymyr; Celinski, Zbigniew

    2008-01-01

    Hexagonal barium ferrite thick films (50-200 μm) have been deposited on Si and Al 2 O 3 /Si substrates using a screen printing technique. X-ray diffractometry, scanning electron microscopy and magnetometry were used to characterize and correlate the ferrite films' microstructure and magnetic properties. The experiments indicated that an Al 2 O 3 underlayer was effective in preventing silicon diffusion into the barium ferrite films during a final sintering treatment at temperatures above 1100 deg. C. A two-stage sintering process allowed a reasonable tradeoff between mechanical and magnetic properties. This work reveals the feasibility of fabrication of thick ferrite films on large substrates (up to 25 mm in diameter) for future planar microwave devices compatible with semiconductor integrated circuits processing

  19. PbSe quantum well mid-infrared vertical external cavity surface emitting laser on Si-substrates

    Science.gov (United States)

    Fill, M.; Khiar, A.; Rahim, M.; Felder, F.; Zogg, H.

    2011-05-01

    Mid-infrared vertical external cavity surface emitting lasers based on PbSe/PbSrSe multi-quantum-well structures on Si-substrates are realized. A modular design allows growing the active region and the bottom Bragg mirror on two different Si-substrates, thus facilitating comparison between different structures. Lasing is observed from 3.3 to 5.1 μm wavelength and up to 52 °C heat sink temperature with 1.55 μm optical pumping. Simulations show that threshold powers are limited by Shockley-Read recombination with lifetimes as short as 0.1 ns. At higher temperatures, an additional threshold power increase occurs probably due to limited carrier diffusion length and carrier leakage, caused by an unfavorable band alignment.

  20. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  1. Effect of substrate properties and thermal annealing on the resistivity of molybdenum thin films

    International Nuclear Information System (INIS)

    Schmid, U.; Seidel, H.

    2005-01-01

    In this study, the influence of substrate properties (e.g. roughness characteristics and chemical composition) on the electrical resistivity of evaporated molybdenum thin films is investigated as a function of varying parameters, such as film thickness (25-115 nm) and post-deposition annealing with temperatures up to T PDA = 900 deg. C. A thermally oxidized silicon wafer with very low surface roughness was used as one substrate type. In contrast, a low temperature co-fired ceramics substrate with a glass encapsulant printed in thick film technology is the representative for rough surface morphology. The electrical resistivity follows the prediction of the size effect up to T PDA = 600 deg. C independent of substrate nature. On the silicon-based substrate, the thickness-independent portion of the film resistivity ρ g in the 'as deposited' state is about 29 times higher than the corresponding bulk value for a mono-crystalline sample. Thin films of this refractory metal on the SiO 2 /Si substrate exhibit an average grain size of 4.9 nm and a negative temperature coefficient of resistivity (TCR). On the glass/ceramic-based substrate, however, ρ g is half the value as compared to that obtained on the SiO 2 /Si substrate and the TCR is positive

  2. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  3. Identification of nanoscale structure and morphology reconstruction in oxidized a-SiC:H thin films

    Energy Technology Data Exchange (ETDEWEB)

    Vasin, A.V.; Rusavsky, A.V.; Nazarov, A.N.; Lysenko, V.S.; Lytvyn, P.M.; Strelchuk, V.V. [Lashkaryov Institute of Semiconductor Physics, 41 Nauki Pr., Kiev 03028 (Ukraine); Kholostov, K.I.; Bondarenko, V.P. [Belarusian State University of Informatics and Radioelectronics, 6P. Brovki Str., Minsk 220013 (Belarus); Starik, S.P. [Bakul Institute of Superhard Materials, 2 Avtzavodskaya Str., Kiev 04074 (Ukraine)

    2012-11-01

    Highlights: Black-Right-Pointing-Pointer Increase of magnetron discharge power results in densification of a-SiC:H thin films. Black-Right-Pointing-Pointer The denser a-SiC:H material the better resistance to oxidation by oxygen. Black-Right-Pointing-Pointer Oxidation of soft a-SiC:H films can result in increase of electric conductivity. Black-Right-Pointing-Pointer Formation of graphitic clusters was found in a-SiC:H after annealing in oxygen. - Abstract: Oxidation behavior of a-SiC:H layers deposited by radio-frequency magnetron sputtering technique was examined by Kelvin probe force microscopy (KPFM) in combination with scanning electron microscopy, Fourier-transform infra-red spectroscopy and submicron selected area Raman scattering spectroscopy. Partially oxidized a-SiC:H samples (oxidation at 600 Degree-Sign C in oxygen) were examined to clarify mechanism of the oxidation process. Nanoscale and microscale morphological defects (pits) with dimension of about 50 nm and several microns respectively have appeared after thermal treatment. KPFM measurements exhibited the surface potential of the material in micro pits is significantly smaller in comparison with surrounding material. Submicron RS measurements indicates formation of graphite-like nano-inclusions in the pit defects. We conclude that initial stage of oxidation process in a-SiC:H films takes place not homogeneously throughout the layer but it is initiated in local nanoscale regions followed by spreading over all layer.

  4. Positron Studies of Oxide-Semiconductor Structures

    OpenAIRE

    Uedono , A.; Wei , L.; Kawano , T.; Tanigawa , S.; Suzuki , R.; Ohgaki , H.; Mikado , T.

    1995-01-01

    The annihilation characteristics of positrons in SiO2 films grown on Si substrates were studied by using monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured as a function of incident positron energy for SiO2/Si structures fabricated by various oxidation techniques. From the measurements, it was found that the formation probability of positronium (Ps) atoms in SiO2 films strongly depends on the growth condition...

  5. Ab initio calculation of band alignment of epitaxial La2O3 on Si(111 substrate

    Directory of Open Access Journals (Sweden)

    Alberto Debernardi

    2015-08-01

    Full Text Available By means of plane wave pseudopotential method we have studied the electronic properties of the heterostructure formed by an high dielectric constant (k oxide, the hexagonal La2O3 epitaxially grown with (0001-orientation on Si (111 substrate. We found that for La2O3 both the dielectric constant along the growth direction and the band gap are larger in the epitaxial film than in the bulk. By super-cell techniques we have computed the band alignment of the junction finding a valence band offset and a conduction band offset of ~1.6 eV and ~1.7 eV respectively. We demonstrate that the band alignment can be engineered by δ-doping the interface: our simulations show that, by doping the interface with S or Se monolayer, the valence (conduction band offset increases (decreases of about 0.5 eV without the formation of spurious electronic states in the semiconductor band-gap. The simulation of the critical thickness of pseudomorphic Lanthana film complete the work. Our results are relevant for the realization of a new generation of devices based on ultra-scaled complementary metal oxides semiconductors (CMOS technology.

  6. Bonding temperature dependence of GaInAsP/InP laser diode grown on hydrophilically directly bonded InP/Si substrate

    Science.gov (United States)

    Aikawa, Masaki; Onuki, Yuya; Hayasaka, Natsuki; Nishiyama, Tetsuo; Kamada, Naoki; Han, Xu; Kallarasan Periyanayagam, Gandhi; Uchida, Kazuki; Sugiyama, Hirokazu; Shimomura, Kazuhiko

    2018-02-01

    The bonding-temperature-dependent lasing characteristics of 1.5 a µm GaInAsP laser diode (LD) grown on a directly bonded InP/Si substrate were successfully obtained. We have fabricated the InP/Si substrate using a direct hydrophilic wafer bonding technique at bonding temperatures of 350, 400, and 450 °C, and deposited GaInAsP/InP double heterostructure layers on this InP/Si substrate. The surface conditions, X-ray diffraction (XRD) analysis, photoluminescence (PL) spectra, and electrical characteristics after the growth were compared at these bonding temperatures. No significant differences were confirmed in X-ray diffraction analysis and PL spectra at these bonding temperatures. We realized the room-temperature lasing of the GaInAsP LD on the InP/Si substrate bonded at 350 and 400 °C. The threshold current densities were 4.65 kA/cm2 at 350 °C and 4.38 kA/cm2 at 400 °C. The electrical resistance was found to increase with annealing temperature.

  7. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  8. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  9. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  10. Energy level alignment at the Si(1 1 1)/RCA–SiO2/copper(II) phthalocyanine ultra-thin film interface

    International Nuclear Information System (INIS)

    Krzywiecki, Maciej; Grządziel, Lucyna

    2014-01-01

    Graphical abstract: - Highlights: • The interface formation studies between CuPc and Si by photoemission methods. • Charge rearrangement detected at the inorganic/organic interface. • Existence of disordered/polarization layer at the initial stages of CuPc deposition. • Examined structures applicable for organic transistors development. - Abstract: The photoemission experimental techniques (i.e. ultraviolet photoelectron spectroscopy—UPS and X-ray photoelectron spectroscopy—XPS) were used to investigate the charge–rearrangement–related phenomena occuring at organic–inorganic semiconductor interface. Examined samples were copper phthalocyanine (CuPc) ultra-thin (up to 16 nm) layers deposited onto oxidized silicon Si(1 1 1) of n- and p-type of conductivity. The 1.3-nm-thick silicon oxide was prepared by means of RCA wet cleaning procedure. The analysis of the photoemission data (mainly UPS) suggested the existance of the polarization layer within first 3 nm of CuPc layer thickness. Basing on the UPS and XPS results the energy level diagrams of examined structures have been constructed. In present paper it is suggested that the existance of the polarization layer could be assigned to the disordered adsorption and continous molecular reorientation of the CuPc molecules during the interface formation process. In the terms of the lack of the charge transfer via substrate/organic overlayer interface and disordered adsorption the fluctuations of CuPc electronic parameters were detected. Moreover the ionization energy and the work function parameters of final CuPc layer were affected. The values were more consistent with those obtained for much thicker (over 500 nm) CuPc layers. Performed studies showed that contrary to CuPc layers deposited on native substrates (where the charge transfer via tunnelable oxide – determined as dipole effect – has been detected), the thicker RCA-prepared oxide seems to be non-tunnelable hence the possibility for Si(1 1 1

  11. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  12. Nanogranular Au films deposited on carbon covered Si substrates for enhanced optical reflectivity and Raman scattering

    International Nuclear Information System (INIS)

    Bhuvana, T; Kumar, G V Pavan; Narayana, Chandrabhas; Kulkarni, G U

    2007-01-01

    Electroless deposition of gold has been carried out on Si(100) surfaces precoated with laser ablated carbon layers of different thicknesses, and the resulting substrates have been characterized by a host of techniques. We first established the porous nature of the amorphous carbon layer by Raman and profilometric measurements. The Au uptake from the plating solution was optimal at a carbon layer thickness of 90 nm, where we observed nanogranules of ∼60-70 nm, well separated from each other in the carbon matrix (mean interparticle spacing ∼7 nm). We believe that the observed nanostructure is a result of Au 3+ electroless reduction on the Si surface through porous channels present in the amorphous carbon matrix. Importantly, this nanostructured substrate exhibited high reflectivity in the near IR region besides being effective as a substrate for surface enhanced Raman scattering (SERS) measurements with enhancement factors up to 10 7

  13. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  14. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  15. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  16. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si{sub 3}N{sub 4}/DLC substrate

    Energy Technology Data Exchange (ETDEWEB)

    Roman, W S; Riascos, H [Grupo Plasma, Laser y Aplicaciones, Universidad Tecnologica de Pereira (Colombia); Caicedo, J C [Grupo de PelIculas Delgadas, Universidad del Valle, Cali (Colombia); Ospina, R [Laboratorio de Plasma, Universidad Nacional de Colombia, sede Manizales (Colombia); Tirado-MejIa, L, E-mail: hriascos@utp.edu.c [Laboratorio de Optoelectronica, Universidad del Quindio (Colombia)

    2009-05-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si{sub 3}N{sub 4} substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm{sup -2}, 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm{sup -1} for B - N bonding and bands around 1700 cm{sup -1} associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), alpha-Si{sub 3}N{sub 4} (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si{sub 3}N{sub 4}/DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  17. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  18. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  19. Crack-free AlGaN-based UV LED on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, P.; Dadgar, A.; Blaesing, J.; Witte, H.; Mueller, M.; Guenther, K.M.; Fey, T.; Bastek, B.; Bertram, F.; Kurnatowski, M. von; Wieneke, M.; Hempel, T.; Veit, P.; Clos, R.; Christen, J.; Krost, A. [FNW/IEP/AHE Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2010-07-01

    To achieve low-cost UV LEDs on large-diameter substrates it is a very interesting approach to grow AlGaN on low-cost Si substrates. Here, AlGaN layers and AlGaN LED structures grown on Si(111) were additionally monitored by in-situ curvature measurements. They show that with the insertion of AlN-based SL buffer layers and LT-AlN interlayers, the AlGaN layers are under compressive stress during growth enabling to compensate tensile stress after cooling. To characterize the crystalline quality, HR-XRD measurements were performed. Cross-sectional TEM to investigate dislocation propagation and annihilation. n- and p- conductivities were achieved by Si and Mg doping of the layers, respectively. By C-V and Hall-effect measurements, the maximum free-electron concentration of 2.6{sup +18} cm{sup -3} and free-hole concentration of 2.4{sup +17} cm{sup -3} by using a structure of Mg-doped GaN/Al{sub 0.1}Ga{sub 0.9}N multilayers for the latter were determined. A GaN/Al{sub 0.1}Ga{sub 0.9}N MQW structure showed near UV-luminescence around 350-360 nm. The optical and electrical properties of AlGaN-based LED samples were further characterized by I-V, EL, PL and CL measurements. The I-V measurements show forward-diode characteristics with turn-on voltage about 2.6-3.1 V.

  20. Enhancing the Photovoltage of Ni/ n-Si Photoanode for Water Oxidation through a Rapid Thermal Process.

    Science.gov (United States)

    Li, Shengyang; She, Guangwei; Chen, Cheng; Zhang, Shaoyang; Mu, Lixuan; Guo, Xiangxin; Shi, Wensheng

    2018-03-14

    The Ni in the Ni/ n-Si photoanode can not only protect Si from corrosion, but also catalyze the water oxidation reaction. However, the high density of interface states at the Ni/ n-Si interface could pin the Fermi level of silicon, which will lower the Schottky barrier height of the Ni/ n-Si. As a result, a low photovoltage and consequent high onset potential of Ni/ n-Si photoanode for water oxidation were generated. In this study, the interfacial states of the Ni/ n-Si photoanodes were efficiently diminished through a rapid thermal process (RTP). Calculated from the Mott-Schottky plots, the Schottky barrier height of Ni/ n-Si was increased from 0.58 to 0.78 eV after RTP. Under the illumination of 100 mW cm -2 of the Xe lamp, the onset potential of the Ni/ n-Si photoanode for water oxidation was negatively shifted for 150 mV after RTP. Besides, the RTP-treated Ni/ n-Si photoanode exhibited a high stability during the PEC water oxidation of 8 h in 1 M KOH solution.

  1. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  2. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  3. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  4. M3FT-16OR020202112 - Report on viability of hydrothermal corrosion resistant SiC/SiC Joint development

    Energy Technology Data Exchange (ETDEWEB)

    Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kiggans Jr, James O. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Terrani, Kurt A. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2016-06-30

    Hydrothermal corrosion of four types of the silicon carbide (SiC) to SiC plate joints were investigated under PWR and BWR relevant chemical conditions without irradiation. The joints were formed by metal diffusion bonding using molybdenum or titanium interlayer, reaction sintering using Ti-Si-C system, and SiC nanopowder sintering. Most of the formed joints withstood the corrosion tests for five weeks. The recession of the SiC substrates was limited. Based on the recession rate of the bonding layers, it was concluded that all the joints except for the molybdenum diffusion bond are promising under the reducing activity environments. The SiC nanopowder sintered joint was the most corrosion tolerant under the oxidizing activity environment among the four joints.

  5. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  6. Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si

    International Nuclear Information System (INIS)

    Naureen, S; Shahid, N; Dev, A; Anand, S

    2013-01-01

    High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth. (paper)

  7. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates.

    Science.gov (United States)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-11-21

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) "hot spots" created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10(-7) M and 10(-5) M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.

  8. Formation and oxidation resistance of NbSi2 coatings on niobium by pack cementation

    International Nuclear Information System (INIS)

    Li Ming; Song Lixin; Le Jun; Zhang Xiaowei; Pei Baogen; Hu Xingfang

    2005-01-01

    NbSi 2 coatings were formed on niobium by halide-activated pack cementation process. The as-coated niobium samples were oxidized in air up to 1723 K by thermogravimetry method. The surface and cross-sectional morphology, phase composition and element distribution of the NbSi 2 coatings before and after oxidation were characterized by SEM, XRD and EPMA. The results show that the as-formed coatings consist of single phase of hexagonal NbSi 2 and the oxidation resistance of pure niobium can be greatly improved by pack siliconizing. (orig.)

  9. Correlation of nanostructure and charge transport properties of oxidized a -SiC:H films

    Energy Technology Data Exchange (ETDEWEB)

    Gordienko, S.O.; Nazarov, A.N.; Vasin, A.V.; Rusavsky, A.V.; Lysenko, V.S. [Lashkaryov Institute of Semiconductor Physics, National Academy of Sciences of Ukraine, Prospekt Nauki 41, 03028 Kyiv (Ukraine)

    2012-06-15

    This paper considers the influence of low temperature oxidation on structural and electrical properties of amorphous carbon-rich a -Si{sub 1-x}C{sub x}:H thin films fabricated by reactive RF magnetron sputtering. It is shown that oxidation leads to formation of SiO{sub x} matrix with graphite-like carbon inclusions. Such conductive precipitates has a strong effect on charge transport in oxidized a -Si{sub 1-x}C{sub x}:H films (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Oxidation behavior of U-Si compounds in air from 25 to 1000 C

    Science.gov (United States)

    Sooby Wood, E.; White, J. T.; Nelson, A. T.

    2017-02-01

    The air oxidation behavior of U3Si2, USi, and U3Si5 is studied from room temperature to 1000 C. The onsets of breakaway oxidation for each compound are identified during synthetic air ramps to 1000 C using thermogravimetric analysis. Isothermal air oxidation tests are performed below and above the breakaway oxidation onset to discern the oxidation kinetic behavior of these candidate accident tolerant fuel forms. Uranium metal is tested in the same manner to provide a reference for the oxidation behavior. Thermogravimetric, x-ray diffraction, and scanning electron microscopy analysis are presented here along with a discussion of the oxidation behavior of these materials and the impact of the lack of oxidation resistance to their deployment as accident tolerant nuclear fuels.

  11. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  12. Functional BaTiO{sub 3} nanostructures immobilized onto si-based substrates using sol–gel and reverse micelle techniques

    Energy Technology Data Exchange (ETDEWEB)

    Mamana, Nadia, E-mail: nadia@fceia.unr.edu.ar; Pellegri, Nora [CONICET, FCEIyA, UNR, Laboratorio de Materiales Cerámicos IFIR (Argentina)

    2015-03-15

    The current tendency toward miniaturization of electronic devices has driven the interest in developing ferroelectric materials in low dimensions. In this work, for the preparation of lead-free BaTiO{sub 3} nanoparticles, we used a combination of the sol–gel method with the reverse micelles techniques. Moreover, previously to the thermal treatment, it was necessary to remove the surfactant. For this stage, oleic acid was used to stabilize the nanoparticles in the solution. Then, nanometer-sized particles were deposited on different substrates (Si, Pt/TiO{sub 2}/SiO{sub 2}/Si). The influence of different modes of deposition on particle size, degree of agglomeration, was analyzed. The mean particle size was 10 nm. Finally, the deposition of BaTiO{sub 3} particles on a conductive substrate such as the wafer of platinum (Pt/TiO{sub 2}/SiO{sub 2}/Si) was confirmed by several AFM techniques.

  13. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  14. Thermal oxidation of 6H-SiC studied by oxygen isotopic tracing and narrow nuclear resonance profiling

    International Nuclear Information System (INIS)

    Trimaille, Isabelle.; Ganem, Jean-Jacques; Vickridge, Ian C.; Rigo, Serge; Battistig, Gabor; Szilagyi, Edit; Baumvol, Israel J.; Radtke, Claudio; Stedile, Fernanda C.

    2004-01-01

    We show that on SiC (0 0 0 1-bar) (the fast-oxidizing carbon face), at 1100 deg. C and 100 mbar, the oxide exhibits an initial fast growth regime, followed by a constant growth rate confirming previous results that oxide growth is not limited by diffusion of the oxidizing species or reaction products through the oxide. At 1100 deg. C, in this linear regime, the growth rate also exhibits a linear dependence with oxygen pressure. The silicon face shows sub-linear pressure dependence. A simple oxidation model is ruled out since, on both SiC faces, sequential 16 O 2 / 18 O 2 / 16 O 2 oxidations show that oxygen fixed in the oxide, near the SiC/SiO 2 interface moves during subsequent growth

  15. Thermal oxidation of 6H-SiC studied by oxygen isotopic tracing and narrow nuclear resonance profiling

    Energy Technology Data Exchange (ETDEWEB)

    Trimaille, Isabelle. E-mail: trimaille@gps.jussieu.fr; Ganem, Jean-Jacques; Vickridge, Ian C.; Rigo, Serge; Battistig, Gabor; Szilagyi, Edit; Baumvol, Israel J.; Radtke, Claudio; Stedile, Fernanda C

    2004-06-01

    We show that on SiC (0 0 0 1-bar) (the fast-oxidizing carbon face), at 1100 deg. C and 100 mbar, the oxide exhibits an initial fast growth regime, followed by a constant growth rate confirming previous results that oxide growth is not limited by diffusion of the oxidizing species or reaction products through the oxide. At 1100 deg. C, in this linear regime, the growth rate also exhibits a linear dependence with oxygen pressure. The silicon face shows sub-linear pressure dependence. A simple oxidation model is ruled out since, on both SiC faces, sequential {sup 16}O{sub 2}/{sup 18}O{sub 2}/{sup 16}O{sub 2} oxidations show that oxygen fixed in the oxide, near the SiC/SiO{sub 2} interface moves during subsequent growth.

  16. Switchable Super-Hydrophilic/Hydrophobic Indium Tin Oxide (ITO) Film Surfaces on Reactive Ion Etching (RIE) Textured Si Wafer.

    Science.gov (United States)

    Kim, Hwa-Min; Litao, Yao; Kim, Bonghwan

    2015-11-01

    We have developed a surface texturing process for pyramidal surface features along with an indium tin oxide (ITO) coating process to fabricate super-hydrophilic conductive surfaces. The contact angle of a water droplet was less than 5 degrees, which means that an extremely high wettability is achievable on super-hydrophilic surfaces. We have also fabricated a super-hydrophobic conductive surface using an additional coating of polytetrafluoroethylene (PTFE) on the ITO layer coated on the textured Si surface; the ITO and PTFE films were deposited by using a conventional sputtering method. We found that a super-hydrophilic conductive surface is produced by ITO coated on the pyramidal Si surface (ITO/Si), with contact angles of approximately 0 degrees and a resistivity of 3 x 10(-4) Ω x cm. These values are highly dependent on the substrate temperature during the sputtering process. We also found that the super-hydrophobic conductive surface produced by the additional coating of PTFE on the pyramidal Si surface with an ITO layer (PTFE/ITO/Si) has a contact angle of almost 160 degrees and a resistivity of 3 x 10(-4) Ω x cm, with a reflectance lower than 9%. Therefore, these processes can be used to fabricate multifunctional features of ITO films for switchable super-hydrophilic and super-hydrophobic surfaces.

  17. Growth Al{sub x}Ga{sub 1−x}N films on Si substrates by magnetron sputtering and high ammoniated two-step method

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xuewen, E-mail: wangxuew@nwu.edu.cn [School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Su, Xingxing; Hu, Feng; He, Lin; He, Lewan; Zhang, Zhiyong; Zhao, Wu [School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Wang, Kai-Ge; Wang, Shuang [Institute of Photonics & Photo-Technology, International Joint Research Centre of Photoelectric Technology & Nano-functional Materials and Application, Northwest University, Xi' an 710069 (China)

    2016-05-15

    In this paper, Al{sub x}Ga{sub 1−x}N films on Si substrates were synthesized with adjusting process parameters by magnetron sputtering and high ammoniated two-step method innovatively, while gallium oxide was used as gallium target, and aluminum was used as aluminum target, ammonia gas and nitrogen were used as nitrogen source. The influence of process parameters on the quality of Al{sub x}Ga{sub 1−x}N films was researched with X-ray diffraction (XRD), scanning electron microscope (SEM), and Energy Diffraction Spectrum (EDS) for the prepared samples. The results showed that Al{sub x}Ga{sub 1−x}N film can be grown on the Si substrate by magnetron sputtering and high ammoniated two-step method, and substrate temperature, sputtering power, nitrogen concentration also have a great impact on the quality of Al{sub x}Ga{sub 1−x}N film. The sample was developed along (002) peak preferred with high orientation at 200 °C. High-quality film could be grown when the x is 0.32 in Al{sub x}Ga{sub 1−x}N films grown in 300 °C substrate temperature, 150 W sputtering power and 50% nitrogen concentration conditions, which is used for gas sensitive sensor. And compared stress by the measurement of Raman with an excitation wavelength λ = 532 nm. The samples were tested by photoluminescence (PL), which indicated two light-emitting peaks at 405 nm and 645 nm when the excitation wavelength is 325 nm. The measure in Hall Effect Measurement System showed that the carrier concentration and mobility were changed with different Al components. - Highlights: • Grow Al{sub 0.32}Ga{sub 0.68}N films on Si by RF sputtering and high ammoniated two-step method. • The sample was developed along (002) peak preferred with high orientation at 200 °C. • The growth technics of the film was employed for the preparation of gas sensors. • Carrier concentration and mobility were changed with different Al components.

  18. On the processing-structure-property relationship of ITO layers deposited on crystalline and amorphous Si

    International Nuclear Information System (INIS)

    Diplas, S.; Ulyashin, A.; Maknys, K.; Gunnaes, A.E.; Jorgensen, S.; Wright, D.; Watts, J.F.; Olsen, A.; Finstad, T.G.

    2007-01-01

    Indium-tin-oxide (ITO) antireflection coatings were deposited on crystalline Si (c-Si), amorphous hydrogenated Si (a-Si:H) and glass substrates at room temperature (RT), 160 deg. C and 230 deg. C by magnetron sputtering. The films were characterised using atomic force microscopy, transmission electron microscopy, angle resolved X-ray photoelectron spectroscopy, combined with resistance and transmittance measurements. The conductivity and refractive index as well as the morphology of the ITO films showed a significant dependence on the processing conditions. The films deposited on the two different Si substrates at higher temperatures have rougher surfaces compared to the RT ones due to the development of crystallinity and growth of columnar grains

  19. Ag Nanorods-Oxide Hybrid Array Substrates: Synthesis, Characterization, and Applications in Surface-Enhanced Raman Scattering

    Directory of Open Access Journals (Sweden)

    Lingwei Ma

    2017-08-01

    Full Text Available Over the last few decades, benefitting from the sufficient sensitivity, high specificity, nondestructive, and rapid detection capability of the surface-enhanced Raman scattering (SERS technique, numerous nanostructures have been elaborately designed and successfully synthesized as high-performance SERS substrates, which have been extensively exploited for the identification of chemical and biological analytes. Among these, Ag nanorods coated with thin metal oxide layers (AgNRs-oxide hybrid array substrates featuring many outstanding advantages have been proposed as fascinating SERS substrates, and are of particular research interest. The present review provides a systematic overview towards the representative achievements of AgNRs-oxide hybrid array substrates for SERS applications from diverse perspectives, so as to promote the realization of real-world SERS sensors. First, various fabrication approaches of AgNRs-oxide nanostructures are introduced, which are followed by a discussion on the novel merits of AgNRs-oxide arrays, such as superior SERS sensitivity and reproducibility, high thermal stability, long-term activity in air, corrosion resistivity, and intense chemisorption of target molecules. Next, we present recent advances of AgNRs-oxide substrates in terms of practical applications. Intriguingly, the recyclability, qualitative and quantitative analyses, as well as vapor-phase molecule sensing have been achieved on these nanocomposites. We further discuss the major challenges and prospects of AgNRs-oxide substrates for future SERS developments, aiming to expand the versatility of SERS technique.

  20. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  1. Enhanced photocathodic behaviors of Pb(Zr{sub 0.20}Ti{sub 0.80})O{sub 3} films on Si substrates for hydrogen production

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Xiaorong; Dong, Wen; Zheng, Fengang; Fang, Liang; Shen, Mingrong, E-mail: mrshen@suda.edu.cn [College of Physics, Optoelectronics and Energy, Collaborative Innovation Center of Suzhou Nano Science and Technology, Photovoltaic Research Institute of Soochow University & Canadian Solar Inc., and Jiangsu Key Laboratory of Thin Films, Soochow University, 1 Shizi Street, Suzhou 215006 (China)

    2015-06-15

    Wide bandgap ferroelectric Pb(Zr{sub 0.20}Ti{sub 0.80})O{sub 3} films were deposited on indium tin oxide (ITO) coated Si-pn{sup +} substrates with an intention to form efficient Si-pn{sup +}/ITO/Pb(Zr,Ti)O{sub 3} (PZT) photocathode for hydrogen production. Depolarization electric field generated in PZT film due to poling can drive the photogenerated electrons from Si-pn{sup +} junction to PZT film, resulting in enhanced photoelectrochemical activity of the photocathode. Comparing the electrode with as-prepared PZT film, the photocurrent increased from −100 μA cm{sup −2} to −1.2 mA cm{sup −2} at 0 V vs. reversible hydrogen electrode (RHE) and the onset potential from 0.36 V to 0.7 V vs. RHE under 100 mW cm{sup −2} illumination, manifesting the great advantage of depolarization electric field in driving the photogenerated carriers not only in the ferroelectric film but also on the interface of different semiconductors.

  2. Indium-Nitrogen Codoped Zinc Oxide Thin Film Deposited by Ultrasonic Spray Pyrolysis on n-(111 Si Substrate: The Effect of Film Thickness

    Directory of Open Access Journals (Sweden)

    Cheng-Chang Yu

    2014-01-01

    Full Text Available Indium-nitrogen codoped zinc oxide (INZO thin films were fabricated by spray pyrolysis deposition technique on n-(111 Si substrate with different film thicknesses at 450°C using a precursor containing zinc acetate, ammonium acetate, and indium nitrate with 1 : 3 : 0.05 at.% concentration. The morphology and structure studies were carried out by scanning electron microscopy (SEM and X-ray diffraction (XRD. The grain size of the films increased when increasing the film thickness. From XRD spectra, polycrystalline ZnO structure can be observed and the preferred orientation behavior varied from (002 to (101 as the film thickness increased. The concentration and mobility were investigated by Hall effect measurement. the p-type films with a hole mobility around 3 cm2V−1s−1 and hole concentration around 3×1019 cm−3 can be achieved with film thickness less than 385 nm. The n-type conduction with concentration 1×1020 cm−3 is observed for film with thickness 1089 nm. The defect states were characterized by photoluminescence. With temperature-dependent conductivity analysis, acceptor state with activation energy 0.139 eV dominate the p type conduction for thin INZO film. And the Zn-related shallow donors with activation energy 0.029 eV dominate the n-type conduction for the thick INZO film.

  3. Oxidation resistance coating for niobium base structural composites

    International Nuclear Information System (INIS)

    Tabaru, T.; Shobu, K.; Kim, J.H.; Hirai, H.; Hanada, S.

    2003-01-01

    Oxidation behavior of Al-rich Mo(Si,Al) 2 base alloys, which is a candidate material for the oxidation resistance coating on Nb base structural composites, were investigated by thermogravimetry. The Mo(Si,Al) 2 base alloys containing Mo 5 (Si,Al) 3 up to about 10 vol% exhibits excellent oxidation resistance at temperatures ranging from 780 to 1580 K, particularly at 1580 K due to continuous Al 2 O 3 layer development. To evaluate the applicability of the Mo(Si,Al) 2 base coating, plasma spraying on Nb base composites were undertaken. However, interface reaction layer was found to form during the following heat treatment. Preparation of Mo(Si,Al) 2 /Al 2 O 3 /Nb layered structures via powder metallurgical process was attempted to preclude diffusion reaction between coating and substrate. (orig.)

  4. Influence of oxidation temperature on the interfacial properties of n-type 4H-SiC MOS capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Yifan; Lv, Hongliang [School of Microelectronics, Xidian University, Key Laboratory of Wide Band Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Song, Qingwen, E-mail: qwsong@xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band Gap Semiconductor Materials and Devices, Xi’an 710071 (China); School of Advanced Materials and Nanotechnology, Xidian University, Xi’an 710071 (China); Tang, Xiaoyan, E-mail: xytang@xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Xiao, Li; Wang, Liangyong; Tang, Guangming [Zhongxing Telecommunication Equipment Corporation, Shenzhen 518057 (China); Zhang, Yimen; Zhang, Yuming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band Gap Semiconductor Materials and Devices, Xi’an 710071 (China)

    2017-03-01

    Highlights: • Effect of oxidation temperature on interfacial properties of SiO{sub 2}/SiC is investigated. • Raising the oxidation temperature effectively decreases the density of NITs and N{sub eff}. • The higher oxidation temperature reduces the surface RMS roughness of the grow SiO{sub 2}. • SIMS and XPS results reveal the improvement mechanism of high temperature oxidation. - Abstract: The effect of oxidation temperature on interfacial properties of n-type 4H-SiC metal-oxide-semiconductor capacitors has been systematically investigated. Thermal dry oxidation process with three different oxidation temperatures 1200 °C, 1300 °C and 1350 °C were employed to grow SiO{sub 2} dielectric, following by the standard post-oxidation annealing (POA) in NO ambience at 1175 °C for 2 h. The root mean square (RMS) roughness measured by Atomic Force Microscopy for the thermally grown SiO{sub 2} before POA process is reduced with increasing the oxidation temperature, obtaining an atomically flat surface with a RMS of 0.157 nm from the sample oxidized at 1350 °C. Several kinds of electrical measurements were used to evaluate the densities of near interface traps and effective fixed dielectric charge for the samples, exhibiting a trend reduced with increasing the oxidation temperature. The interface state density of 3 × 10{sup 11} cm{sup −2}eV{sup −1} at 0.2 eV from the conduction band edge was achieved from conductance method measurement for the sample oxidized at 1350 °C. The results from Secondary Ion Mass Spectroscopy and X-ray Photoelectron Spectroscopy demonstrate that high oxidation temperature can reduce the width of transition layer, the excess Si and silicon suboxide compositions near the interface, leading to effective improvement of the interfacial properties.

  5. Oxidation behavior of U-Si compounds in air from 25 to 1000 C

    Energy Technology Data Exchange (ETDEWEB)

    Sooby Wood, E., E-mail: sooby@lanl.gov; White, J.T.; Nelson, A.T.

    2017-02-15

    The air oxidation behavior of U{sub 3}Si{sub 2}, USi, and U{sub 3}Si{sub 5} is studied from room temperature to 1000 C. The onsets of breakaway oxidation for each compound are identified during synthetic air ramps to 1000 C using thermogravimetric analysis. Isothermal air oxidation tests are performed below and above the breakaway oxidation onset to discern the oxidation kinetic behavior of these candidate accident tolerant fuel forms. Uranium metal is tested in the same manner to provide a reference for the oxidation behavior. Thermogravimetric, x-ray diffraction, and scanning electron microscopy analysis are presented here along with a discussion of the oxidation behavior of these materials and the impact of the lack of oxidation resistance to their deployment as accident tolerant nuclear fuels.

  6. X-ray irradiation effects of interface traps and trapped-oxide charge at the Si-SiO{sub 2} interface of segmented silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kopsalis, Ioannis; Fretwurst, Eckhart; Garutti, Erika; Klanner, Robert; Schwandt, Joern [Institute for Experimental Physics, Hamburg University, Luruper Chaussee 149, D-22761 Hamburg (Germany)

    2016-07-01

    The surface radiation damage of SiO{sub 2} grown on high-ohmic Si, as used for the fabrication of segmented silicon sensors, has been investigated. Circular p- and n-MOSFETs, biased in accumulation and inversion at a field in the SiO{sub 2} of about 500 kV/cm, have been irradiated by X-rays up to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation, the gate voltage has been cycled from inversion to accumulation conditions and back, and from the dependence of the drain-source current, on gate voltage, the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface determined. From the threshold voltage, the effective oxide-charge density is calculated. Using the subthreshold-current technique the contribution of interface traps, in the lower and the upper part of the energy Si bandgap, and of fixed oxide-charge to the effective oxide-charge density has been estimated. Results on the dose dependence of the above quantities, the charging-up and discharging of border traps when changing the gate voltage, and the hole and electron mobilities at the Si-SiO{sub 2} interface are presented.

  7. Decay characteristics of electronic charged states of Si quantum dots as evaluated by an AFM/Kelvin probe technique

    International Nuclear Information System (INIS)

    Nishitani, Junichiro; Makihara, Katsunori; Ikeda, Mitsuhisa; Murakami, Hideki; Higashi, Seiichiro; Miyazaki, Seiichi

    2006-01-01

    Si quantum dots (Si-QDs) with an areal dot density of 8 x 10 11 cm -2 on SiO 2 have been prepared by the thermal decomposition of monosilane to characterize charged states of Si-QDs using AFM/Kelvin probe force microscopy (KFM). The temporal changes in the surface potential induced by electron charging and discharging at Si-QDs covered with a ∼ 2-nm-thick oxide layer have been measured. In electron charging and discharging at Si-QDs, a Rh-coated AFM tip was electrically biased in the range of - 5 to 5 V and scanned on the sample surface in a tapping mode. The surface potential changes on Si-QDs by electron injection and extraction were observable, while no potential change was detected elsewhere. The surface potential of charged Si-QDs decays with time at rates depending on charge injection conditions. The observed decay characteristics can be interpreted in terms of discharging of stored electrons in Si-QDs due to electron tunneling through the bottom oxide to the substrate and neutralization of stored holes due to recombination with electrons tunneling from the substrates. The defect generation in oxide is likely to be responsible for a fairly slow decay as observed in the case of electron extraction by the tip bias as high as + 4.8 V with respect to p-Si(100)

  8. Influence of the Hydrothermal Method Growth Parameters on the Zinc Oxide Nanowires Deposited on Several Substrates

    Directory of Open Access Journals (Sweden)

    Concepción Mejía-García

    2014-01-01

    Full Text Available We report the synthesis of ZnO nanowires grown on several substrates (PET, glass, and Si using a two-step process: (a preparation of the seed layer on the substrate by spin coating, from solutions of zinc acetate dihydrate and 1-propanol, and (b growth of the ZnO nanostructures by dipping the substrate in an equimolar solution of zinc nitrate hexahydrate and hexamethylenetetramine. Subsequently, films were thermally treated with a commercial microwave oven (350 and 700 W for 5, 20, and 35 min. The ZnO nanowires obtained were characterized structurally, morphologically, and optically using XRD, SEM, and UV-VIS transmission, respectively. XRD patterns spectra revealed the presence of Zn(OH2 on the films grown on glass and Si substrates. A preferential orientation along c-axis directions for films grown on PET substrate was observed. An analysis by SEM revealed that the growth of the ZnO nanowires on PET and glass is better than the growth on Si when the same growth parameters are used. On glass substrates, ZnO nanowires less than 50 nm in diameter and between 200 nm and 1200 nm in length were obtained. The ZnO nanowires band gap energy for the films grown on PET and glass was obtained from optical transmission spectra.

  9. [Oxidation of sulfur-containing substrates by aboriginal and experimentally designed microbial communities].

    Science.gov (United States)

    Pivovarova, T A; Bulaev, A G; Roshchupko, P V; Belyĭ, A V; Kondrat'eva, T F

    2012-01-01

    Aboriginal and experimental (constructed of pure microbial cultures) communities of acidophilic chemolithotrophs have been studied. The oxidation of elemental sulfur, sodium thiosulfate, and potassium tetrathionate as sole sources of energy has been monitored. The oxidation rate of the experimental community is higher as compared to the aboriginal community isolated from a flotation concentrate of pyrrhotine-containing pyrite-arsenopyrite gold-arsenic sulfide ore. The degree of oxidation of the mentioned S substrates amounts to 17.91, 68.30, and 93.94% for the experimental microbial community and to 10.71, 56.03, and 79.50% for the aboriginal community, respectively. The degree of oxidation of sulfur sulfide forms in the ore flotation concentrate is 59.15% by the aboriginal microbial community and 49.40% by the experimental microbial community. Despite a higher rate of oxidation of S substrates as a sole source of energy by the experimental microbial community, the aboriginal community oxidizes S substrates at a higher rate in the flotation concentrate of pyrrhotine-containing pyrite-arsenopyrite gold-arsenic sulfide ore, from which it was isolated. Bacterial-chemical oxidation of the flotation concentrate by the aboriginal microbial community allows for the extraction of an additional 32.3% of gold from sulfide minerals, which is by 5.7% larger compared to the yield obtained by the experimental microbial community.

  10. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  12. Pulsed Laser Deposition of BaTiO3 Thin Films on Different Substrates

    Directory of Open Access Journals (Sweden)

    Yaodong Yang

    2010-01-01

    Full Text Available We have studied the deposition of BaTiO3 (BTO thin films on various substrates. Three representative substrates were selected from different types of material systems: (i SrTiO3 single crystals as a typical oxide, (ii Si wafers as a semiconductor, and (iii Ni foils as a magnetostrictive metal. We have compared the ferroelectric properties of BTO thin films obtained by pulsed laser deposition on these diverse substrates.

  13. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    Science.gov (United States)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  14. Molecular-mediated crystal growth of PbTiO3 nanostructure on silicon substrate

    International Nuclear Information System (INIS)

    Chao Chunying; Ren Zhaohui; Liu Zhenya; Xiao Zhen; Xu Gang; Li Xiang; Wei Xiao; Shen Ge; Han Gaorong

    2011-01-01

    A simple approach based on an organically modified sol-gel process has been developed to fabricate PbTiO 3 (PT) nanocrystals on Si (1 0 0) substrate, where the amorphous powder modified by acetylacetone (acac) was used as precursor. After dropping the amorphous powder precursor prepared by freeze-drying process, PT nanocrystals on Si (1 0 0) substrate were obtained after heat treatment at 720 deg. C for 30 min in air. PT nanocrystals have been detected by XRD to be tetragonal perovskite structure. With the increase of acac/Pb molar ratio, the relative (1 0 0)/(0 0 1) diffraction peak intensity gradually increases, which probably suggested an oriented growth of PT nanocrystal along [1 0 0] on Si (1 0 0) substrates. In addition, Atomic force microscopy (AFM) results indicated that the height and the average lateral size of PT nanocrystal increased and then decreased as the acac/Pb molar ratio increased. Piezoelectric force microscopy (PFM) results demonstrated that all the samples show obvious piezoelectric activity. These results implied that the acetylacetone molecular mediated the growth of PT nanocrystals on Si (1 0 0) substrates possibly by the acac/Pb molar ratio. This simple method has been suggested to be attractive for tailoring an oriented growth of the nanostructures of perovskite oxide systems on Si substrates.

  15. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  16. Study of radiation damage restoration and antimony ions redistribution in Si(1 0 0) and Si(1 1 1) crystals

    CERN Document Server

    Labbani, R; Chafi, Z

    2002-01-01

    In this work, we study the radiation damage restoration and antimony ions redistribution into and oriented silicon substrates. The samples are implanted with antimony to a dose of 5x10 sup 1 sup 4 Sb sup + cm sup - sup 2 at 60 keV energy, then annealed under oxygen atmosphere at 900 deg. C, 30 min. The thin layer of SiO sub 2 (which is formed on Si surface by dry oxidation and expected to prevent any loss of Sb sup + dopant during Si recovery) is removed by a 10% HF solution. The specimens are analyzed by H sup + Rutherford Backscattering Spectrometry operating at 0.3 MeV energy in both random and channelling modes. The values of the projected range, R sub p , the standard deviation, DELTA R sub p , and the dose of antimony ions, which are estimated with a simple program, are in agreement with tabulated ones. It is also shown that the surface damage restoration is better for Si(1 0 0) samples than for Si(1 1 1) ones, in other words, the radiation damage is more significant in Si(1 1 1) substrates. Moreover,...

  17. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  18. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  19. Substrate inhibition: Oxidation of D-sorbitol and D-mannitol by potassium periodate in alkaline medium

    Science.gov (United States)

    Lakshman Kumar, Y.; Venkata Nadh, R.; Radhakrishnamurti, P. S.

    2014-05-01

    In the oxidation of D-sorbitol and D-mannitol by potassium periodate in alkaline media, substrate inhibition was observed with both substrates, i.e., a decrease in the rate of the reaction was observed with an increase in the concentration of substrate. The substrate inhibition was attributed to the formation of stable complex between the substrate and periodate. The reactions were found to be first order in case of periodate and a positive fractional order with hydroxide ions. Arrhenius parameters were calculated for the oxidation of sorbitol and mannitol by potassium periodate in alkali media.

  20. Raman Spectroscopic Study of As-Deposited and Exfoliated Defected Graphene Grown on (001 Si Substrates by CVD

    Directory of Open Access Journals (Sweden)

    T. I. Milenov

    2017-01-01

    Full Text Available We present here results on a Raman spectroscopic study of the deposited defected graphene on Si substrates by chemical vapor deposition (thermal decomposition of acetone. The graphene films are not deposited on the (001 Si substrate directly but on two types of interlayers of mixed phases unintentionally deposited on the substrates: а diamond-like carbon (designated here as DLC and amorphous carbon (designated here as αC are dominated ones. The performed thorough Raman spectroscopic study of as-deposited as well as exfoliated specimens by two different techniques using different excitation wavelengths (488, 514, and 613 nm as well as polarized Raman spectroscopy establishes that the composition of the designated DLC layers varies with depth: the initial layers on the Si substrate consist of DLC, nanodiamond species, and C70 fullerenes while the upper ones are dominated by DLC with an occasional presence of C70 fullerenes. The αC interlayer is dominated by turbostratic graphite and contains a larger quantity of C70 than the DLC-designated interlayers. The results of polarized and unpolarized Raman spectroscopic studies of as-grown and exfoliated graphene films tend to assume that single- to three-layered defected graphene is deposited on the interlayers. It can be concluded that the observed slight upshift of the 2D band as well as the broadening of 2D band should be related to the strain and doping.

  1. Thermal and Microstructure Characterization of Zn-Al-Si Alloys and Chemical Reaction with Cu Substrate During Spreading

    Science.gov (United States)

    Berent, Katarzyna; Pstruś, Janusz; Gancarz, Tomasz

    2016-08-01

    The problems associated with the corrosion of aluminum connections, the low mechanical properties of Al/Cu connections, and the introduction of EU directives have forced the potential of new materials to be investigated. Alloys based on eutectic Zn-Al are proposed, because they have a higher melting temperature (381 °C), good corrosion resistance, and high mechanical strength. The Zn-Al-Si cast alloys were characterized using differential scanning calorimetry (DSC) measurements, which were performed to determine the melting temperatures of the alloys. Thermal linear expansion and electrical resistivity measurements were performed at temperature ranges of -50 to 250 °C and 25 to 300 °C, respectively. The addition of Si to eutectic Zn-Al alloys not only limits the growth of phases at the interface of liquid solder and Cu substrate but also raises the mechanical properties of the solder. Spreading test on Cu substrate using eutectic Zn-Al alloys with 0.5, 1.0, 3.0, and 5.0 wt.% of Si was studied using the sessile drop method in the presence of QJ201 flux. Spreading tests were performed with contact times of 1, 8, 15, 30, and 60 min, and at temperatures of 475, 500, 525, and 550 °C. After cleaning the flux residue from solidified samples, the spreadability of Zn-Al-Si on Cu was determined. Selected, solidified solder/substrate couples were cross-sectioned, and the interfacial microstructures were studied using scanning electron microscopy and energy dispersive x-ray spectroscopy. The growth of the intermetallic phase layer was studied at the solder/substrate interface, and the activation energy of growth of Cu5Zn8, CuZn4, and CuZn phases were determined.

  2. Comparison Study on the Microstructure of Nanocrystalline TiO2 in Different Ti-Si Binary Oxides

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Three different Ti-Si oxide structuares, silica supported titania, silica coated titania and intimately mixed silicatitania, containing 10%-40% SiO2, were made by sol-gel process. The variations of microstructure parameters of nanocrystalline (nc) TiO2-anatase in the three kirds of binary oxides, including in-plane spacing d, cell constants (a0, CQ), cell volume V, cell axial ratio c0/a0 and crystal grain size, were comparatively investigated by high resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). It is found that the microstructure parameters vary remarkably with increasing SiO2 content and annealing temperature. Different structured Ti-Si binary oxides lead to different variation tendencies of microstructure parameters. The more SiO2 the binary oxide contains, the more lattice defects of nc TiO2-anatase appear; diffusion or migration of Si cations could be an important influential factor in the variations of microstructure. The grain size of nc TiO2 in the three kinds of binary oxides not only depends on SiO2 content and annealing temperature but also on the degree of lattice microstrain and distortion of nc TiO2-anatase. Both grain size and phase transformation of nc TiO2-anatase are effectively inhibited with increasing SiOa content.

  3. Multilayer oxidation resistant coating for SiC coated carbon/carbon composites at high temperature

    International Nuclear Information System (INIS)

    Li Hejun; Jiao Gengsheng; Li Kezhi; Wang Chuang

    2008-01-01

    To prevent carbon/carbon (C/C) composites from oxidation, a multilayer coating based on molybdenum disilicide and titanium disilicide was formed using a two-step pack cementation technique in argon atmosphere. XRD and SEM analysis showed that the internal coating was a bond SiC layer that acts as a buffer layer, and that the external multilayer coating formed in the two-step pack cementation was composed of two MoSi 2 -TiSi 2 -SiC layers. This coating, which is characterized by excellent thermal shock resistance, could effectively protect the composites from exposure to an oxidizing atmosphere at 1773 K for 79 h. The oxidation of the coated C/C composites was primarily due to the reaction of C/C matrix and oxygen diffusing through the penetrable cracks in the coating

  4. (In)GaSb/AlGaSb quantum wells grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2007-01-01

    We have successfully grown GaSb and InGaSb quantum wells (QW) on a Si(001) substrate, and evaluated their optical properties using photoluminescence (PL). The PL emissions from the QWs at room temperature were observed at around 1.55 μm, which is suitable for fiber optic communications systems. The measured ground state energy of each QW matched well with the theoretical value calculated by solving the Schroedinger equation for a finite potential QW. The temperature dependence of the PL intensity showed large activation energy (∼ 77.6 meV) from QW. The results indicated that the fabricated QW structure had a high crystalline quality, and the GaSb QW on Si for optical devices operating at temperatures higher than room temperature will be expected

  5. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    Science.gov (United States)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  6. Preparation of MgO Films as Buffer Layers by Laser-ablation at Various Substrate Temperatures

    Institute of Scientific and Technical Information of China (English)

    LI Ling; WANG Chuanbin; WANG Fang; SHEN Qiang; ZHANG Lianmeng

    2011-01-01

    MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxide films on Si substrates.The effect of Tsub on the preferred orientation,crystallinity and surface morphology of the films was investigated.MgO films in single-phase were obtained at 473-973 K.With increasing Tsub,the preferred orientation of the films changed from (200) to (111).The crystallinity and surface morphology was different too,depending on Tsub·At Tsub=673 K,the MgO film became uniform and smooth,exhibiting high crystallinity and a dense texture.

  7. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  8. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  9. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  10. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  11. Flexible substrate compatible solution processed P-N heterojunction diodes with indium-gallium-zinc oxide and copper oxide

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, Ishan; Deepak, E-mail: saboo@iitk.ac.in

    2017-04-15

    Highlights: • Both n and p-type semiconductors are solution processed. • Temperature compatibility with flexible substrates such as polyimide. • Compatibility of p-type film (CuO) on n-type film (IZO). • Diode with rectification ratio of 10{sup 4} and operating voltage <1.5 V. • Construction of band alignment using XPS. - Abstract: Printed electronics on flexible substrates requires low temperature and solution processed active inks. With n-type indium-gallium-zinc oxide (IGZO) based electronics maturing for thin film transistor (TFT), we here demonstrate its heterojunction diode with p-copper oxide, prepared by sol-gel method and processed at temperatures compatible with polyimide substrates. The phase obtained for copper oxide is CuO. When coated on n-type oxide, it is prone to develop morphological features, which are minimized by annealing treatment. Diodes of p-CuO films with IGZO are of poor quality due to its high resistivity while, conducting indium-zinc oxide (IZO) films yielded good diode with rectification ratio of 10{sup 4} and operating voltage <1.5 V. A detailed measurement at the interface by X-ray photoelectron spectroscopy and optical absorption ascertained the band alignment to be of staggered type. Consistently, the current in the diode is established to be due to electrons tunnelling from n-IZO to p-CuO.

  12. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    For more than two decades, advances in MOSFETs used in CMOS VLSI applications have been made through scaling to ever smaller dimensions for higher packing density, faster circuit speed and lower power dissipation. As scaling now approaches nanometer regime, the challenge for further scaling becomes greater in terms of technology as well as device reliability. This work presents an alternative approach whereby non-selectively grown Si/SiGe heterostructure system is used to improve device performance or to relax the technological challenge. SiGe is considered to be of great potential because of its promising properties and its compatibility with Si, the present mainstream material in microelectronics. The advantages of introducing strained SiGe in CMOS technology are examined through two types of device structure. A novel structure has been fabricated in which strained SiGe is incorporated in the source/drain of P-MOSFETs. Several advantages of the Si/SiGe source/drain P-MOSFETs over Si devices are experimentally, demonstrated for the first time. These include reduction in off-state leakage and punchthrough susceptibility, degradation of parasitic bipolar transistor (PBT) action, suppression of CMOS latchup and suppression of PBT-induced breakdown. The improvements due to the Si/SiGe heterojunction are supported by numerical simulations. The second device structure makes use of Si/SiGe heterostructure as a buried channel to enhance the hole mobility of P-MOSFETs. The increase in the hole mobility will benefit the circuit speed and device packing density. Novel fabrication processes have been developed to integrate non-selective Si/SiGe MBE layers into self-aligned PMOS and CMOS processes based on Si substrate. Low temperature processes have been employed including the use of low-pressure chemical vapor deposition oxide and plasma anodic oxide. Low field mobilities, μ 0 are extracted from the transfer characteristics, Id-Vg of SiGe channel P-MOSFETs with various Ge

  13. Modified Wagner model for the active-to-passive transition in the oxidation of Si3N4

    International Nuclear Information System (INIS)

    Wang Junjie; Zhang Litong; Zeng Qingfeng; Cheng Laifei; Xu Yongdong

    2008-01-01

    Si 3 N 4 is used as the coating material of space shuttle structures which receive very high thermal fluxes during the atmospheric re-entry phase. Two main regimes govern the oxidation of Si 3 N 4 : the passive oxidation, with the formation of a protective silica layer leading generally to a mass gain, and the active oxidation, with vaporization of SiO leading to a mass loss of the sample. To ensure that silicon nitride will efficiently protect a material in given oxidizing environments, its own oxidation behaviour must be previously known. Therefore, the active-to-passive transition of Si 3 N 4 oxidation is a problem of deep scientific importance and of wide technological relevance. In this paper, a modified Wager model for the active-to-passive transition in the oxidation of Si 3 N 4 is presented, which includes the non-equilibrium effects caused by the mass transfer. The present theoretical calculations satisfactorily explained the reported experimental and theoretical data. The influence of flow rate on the active-to-passive transition boundary has been explained using our model. The rate controlling mechanism of the oxidation at the active-to-passive transition point is proposed

  14. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    Science.gov (United States)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  15. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    International Nuclear Information System (INIS)

    Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1993-01-01

    Studies of SiO 2 -Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO 2 -Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown

  16. Improving long term oxidation protection for {gamma}-TiAl substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bobzin, K.; Schlaefer, T.; Bruehl, M.; Linke, T.F. [Thermisches Spritzen, Institut fuer Oberflaechentechnik (IOT), RWTH Aachen University (Germany); Warda, T.

    2011-11-15

    In previous work, a thermal spray multilayer system consisting of Zirconia (ZrO{sub 2}) and MCrAlY top coat showed promising results regarding the oxidation behavior of the Gamma Titanium Aluminides substrates tested, which encouraged further research activities. Diffusion of substrate material was successfully inhibited by a ceramic Zirconia coating. A building up of a dense and stable oxide layer could be achieved by additional application of an MCrAlY top coat, leading to improved oxidation resistance and thus showing feasibility. In this work the main focus for development was put on enhancing adhesion and lowering residual stresses of the coatings in order to allow long term and cyclic testing without delamination taking place. Being a very brittle material, Gamma Titanium Aluminides require special surface treatment to enable roughening which is crucial for a strong mechanical bond between substrate and coating. Alternatives to conventional grit blasting as a standard preparation method were investigated. These were micro-abrasive blasting and blasting at elevated temperature ({approx}300-550 C) to allow a more ductile behavior. The paper will highlight the implications by means of these measures and will also show the present development status of the multilayer system. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  18. A study of the substrate surface chemical states at the interface TiN/Si by X-Ray Photoelectron Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Antunes, Vinicius Gabriel; Alvarez, Fernando, E-mail: vi.antunes@gmail.com [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Figueroa, Carlos Alejandro [Universidade de Caxias do Sul, RS (Brazil)

    2016-07-01

    Full text: Titanium-based thin films are used for a great number of applications, such as hard coating in cutting tools, catalyst diffusion barriers, and in microelectronic devices. Although the understanding of the film adhesion mechanisms onto different substrates continue being a challenge and its atomic bonding properties are not fully understood. Furthermore, as the interface determines many characteristics of the final film by prompting the bulk properties of the grown material, a detailed study of the first atomic layers is an interesting route to gain physical inside on the adhesion properties of the coating. Also, it is important to remark that the presence of residual oxygen in standards deposition chambers is sometime unavoidable and its influence on the films properties is important to be taking in account. In this work the influence of the chemical state of the silicon surface at the interface TiN/Si have been studied. In order to this, a few atomic layers of TiN were deposited on mirror polished c-Si by ion beam deposition (IBD) sputtering of a pure Ti target in a nitrogen atmosphere during 5s (T=350C). In this conditions, a 3Å average thickness, as estimated by the material deposition rate, is obtained. The local electronic structure at the interface TiN/Si was scrutinized by XPS (X-ray photoelectron spectroscopy, 1485.6eV) in a UHV chamber attached to the IBD system, i.e the studied samples are free from atmospheric contamination. The study indicates the presence of Ti-Si, Ti-O, Si-O, Ti-N and Si-N bonds at the TiN/Si interface, where the oxygen stems from the residual chamber pressure. The nano-structuration of the Si substrate surface by noble gas ion bombardment during the pre-cleaning procedure of the substrate and its influence on the bonding structures at the TiN/Si interface will be also presented and discussed. Finally, the oxygen reduction effect obtained by introducing H2 on the interface structure is presented and discussed. (author)

  19. An in situ XPS study of growth of ITO on amorphous hydrogenated Si: Initial stages of heterojunction formation upon processing of ITO/a-Si:H based solar cell structures

    Energy Technology Data Exchange (ETDEWEB)

    Diplas, Spyros; Thoegersen, Annett; Ulyashin, Alexander [SINTEF Materials and Chemistry, Oslo (Norway); Romanyuk, Andriy [University of Basel, Basel (Switzerland)

    2015-01-01

    In this work we studied the interface growth upon deposition of indium-tin oxide (ITO) on amorphous hydrogenated Si (a-Si:H)/crystalline Si (c-Si) structures. The analysis methods used were X-ray photoelectron spectroscopy (XPS) and ultraviolet photoelectron spectroscopy (UPS) in combination with in situ film growth with magnetron sputtering. The analysis was complemented with transmission electron microscopy (TEM) of the deposited films. The sputtering equipment was attached to the XPS spectrometer and hence early stage film growth was observed without breaking the vacuum. It was shown that during early deposition stages ITO is reduced by a-Si:H. The reduction is accompanied with formation of metallic In and Sn at the interface. Formation of Sn is more enhanced on a-Si substrates whilst formation of In is more dominant on c-Si substrates. The reduction effect is less intense for amorphous hydrogenated Si as compared to crystalline Si and this is attributed to stronger presence of dangling bonds in the latter than the former. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Polymer-Derived Ceramics as Innovative Oxidation Barrier Coatings for Mo-Si-B Alloys

    Science.gov (United States)

    Hasemann, Georg; Baumann, Torben; Dieck, Sebastian; Rannabauer, Stefan; Krüger, Manja

    2015-04-01

    A preceramic polymer precursor, perhydropolysilazane, is used to investigate its function as a new type of oxidation barrier coating on Mo-Si-B alloys. After dip-coating and pyrolysis at 1073 K (800 °C), dense and well-adhering SiON ceramic coatings could be achieved, which were investigated by SEM and cyclic oxidation tests at 1073 K and 1373 K (800 °C and 1100 °C). The coating is promising in reducing the mass loss during the initial stage of oxidation exposure at 1373 K (1100 °C) significantly.