WorldWideScience

Sample records for oxides utilizing epitaxy

  1. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  2. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  3. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  4. The competing oxide and sub-oxide formation in metal-oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vogt, Patrick; Bierwagen, Oliver

    2015-01-01

    The hetero-epitaxial growth of the n-type semiconducting oxides β-Ga 2 O 3 , In 2 O 3 , and SnO 2 on c- and r-plane sapphire was performed by plasma-assisted molecular beam epitaxy. The growth-rate and desorbing flux from the substrate were measured in-situ under various oxygen to metal ratios by laser reflectometry and quadrupole mass spectrometry, respectively. These measurements clarified the role of volatile sub-oxide formation (Ga 2 O, In 2 O, and SnO) during growth, the sub-oxide stoichiometry, and the efficiency of oxide formation for the three oxides. As a result, the formation of the sub-oxides decreased the growth-rate under metal-rich growth conditions and resulted in etching of the oxide film by supplying only metal flux. The flux ratio for the exclusive formation of the sub-oxide (e.g., the p-type semiconductor SnO) was determined, and the efficiency of oxide formation was found to be the highest for SnO 2 , somewhat lower for In 2 O 3 , and the lowest for Ga 2 O 3 . Our findings can be generalized to further oxides that possess related sub-oxides

  5. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  6. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  7. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  8. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  9. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  10. Crossover from incoherent to coherent phonon scattering in epitaxial oxide superlattices.

    Science.gov (United States)

    Ravichandran, Jayakanth; Yadav, Ajay K; Cheaito, Ramez; Rossen, Pim B; Soukiassian, Arsen; Suresha, S J; Duda, John C; Foley, Brian M; Lee, Che-Hui; Zhu, Ye; Lichtenberger, Arthur W; Moore, Joel E; Muller, David A; Schlom, Darrell G; Hopkins, Patrick E; Majumdar, Arun; Ramesh, Ramamoorthy; Zurbuchen, Mark A

    2014-02-01

    Elementary particles such as electrons or photons are frequent subjects of wave-nature-driven investigations, unlike collective excitations such as phonons. The demonstration of wave-particle crossover, in terms of macroscopic properties, is crucial to the understanding and application of the wave behaviour of matter. We present an unambiguous demonstration of the theoretically predicted crossover from diffuse (particle-like) to specular (wave-like) phonon scattering in epitaxial oxide superlattices, manifested by a minimum in lattice thermal conductivity as a function of interface density. We do so by synthesizing superlattices of electrically insulating perovskite oxides and systematically varying the interface density, with unit-cell precision, using two different epitaxial-growth techniques. These observations open up opportunities for studies on the wave nature of phonons, particularly phonon interference effects, using oxide superlattices as model systems, with extensive applications in thermoelectrics and thermal management.

  11. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  12. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  13. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  14. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    OpenAIRE

    Hyoungjeen Jeen; Ho Nyung Lee

    2015-01-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model syste...

  15. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  16. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  17. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  18. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  19. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  20. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  1. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  2. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong, E-mail: hhong@aps.anl.gov [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Tung, I. C. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Chang, S.-H.; Bhattacharya, A.; Fong, D. D. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2016-01-15

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques.

  3. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong; Tung, I. C.; Chang, S.-H.; Bhattacharya, A.; Fong, D. D.

    2016-01-01

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques

  4. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  5. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  6. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  7. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  8. Epitaxial growth of zigzag PtAu alloy surface on Au nano-pentagrams with enhanced Pt utilization and electrocatalytic performance toward ethanol oxidation reaction

    International Nuclear Information System (INIS)

    Du, Cheng; Gao, Xiaohui; Zhuang, Zhihua; Cheng, Chunfeng; Zheng, Fuqin; Li, Xiaokun; Chen, Wei

    2017-01-01

    Highlights: • PtAu nanoalloy surface is heteroepitaxially grown on the pre-synthesized Au nano-pentagrams. • The PtAu/Au nano-pentagrams exhibit excellent electrocatalytic activity for ethanol oxidation. • The charge transfer resistance of PtAu/Au is lower than that of commercial Pt/C. • The durability and anti-poisoning ability of PtAu/Au is much better than those of commercial Pt/C - Abstract: Improving Pt utilization is of fundamental importance for many significant processes in energy conversion, which is strongly dependent on the surface structure of used catalysts. Based on the traditional Pt-on-Au system which has been proved to be an ideal nanostructure for improving the catalytic activity and stability of Pt, and the recent follow-up studies on this system, we introduce here a new strategy for fabricating Pt surface with high-index facets over the Pt-on-Au system. To achieve this goal, we elaborately designed and fabricated a unique zigzag PtAu alloy nanosurface on Au nano-pentagrams (PtAu/Au NPs) through epitaxial growth of Pt along the high-index facets on the pre-synthesized Au nano-pentagrams. Owing to the surface electronic interaction between Au and Pt and the exposed high-index facets from the unique morphology of zigzag PtAu alloy nanosurface, the as-prepared PtAu/Au NPs exhibited excellent electrocatalytic performance toward ethanol oxidation reaction (EOR) in alkaline condition. The specific activity (8.3 mA cm"−"2) and mass activity (4.4 A mg"−"1) obtained from PtAu/Au NPs are about 5.2 and 5.5 times, respectively, higher than those from commercial Pt/C for EOR.

  9. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  10. Temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact

    International Nuclear Information System (INIS)

    Hu, C.Y.; Qin, Z.X.; Feng, Z.X.; Chen, Z.Z.; Ding, Z.B.; Yang, Z.J.; Yu, T.J.; Hu, X.D.; Yao, S.D.; Zhang, G.Y.

    2006-01-01

    The temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact were studied with Rutherford backscattering spectroscopy/channeling (RBS/C) and synchrotron X-ray diffraction (XRD). It is found that the Au diffuses to the surface of p-GaN to form an epitaxial structure on p-GaN after annealing at 450 deg. C. At the same time, the O diffuses to the metal-semiconductor interface and forms NiO. Both of them are suggested to be responsible for the sharp decrease in the specific contact resistance (ρ c ) at 450 deg. C. At 500 deg. C, the epitaxial structure of Au develops further and the O also diffuses deeper into the interface. As a result, the ρ c reaches the lowest value at this temperature. However, when annealing temperature reaches 600 deg. C, part or all of the interfacial NiO is detached from the p-GaN and diffuses out, which cause the ρ c to increase greatly

  11. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  12. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  13. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Science.gov (United States)

    Jeen, Hyoungjeen; Lee, Ho Nyung

    2015-12-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  14. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Directory of Open Access Journals (Sweden)

    Hyoungjeen Jeen

    2015-12-01

    Full Text Available Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx epitaxially grown by pulsed laser epitaxy (PLE as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ. We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  15. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  16. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  17. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  18. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  19. Structural evolution of epitaxial SrCoO{sub x} films near topotactic phase transition

    Energy Technology Data Exchange (ETDEWEB)

    Jeen, Hyoungjeen [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Department of Physics, Pusan National University, Busan, 609735 (Korea, Republic of); Lee, Ho Nyung, E-mail: hnlee@ornl.gov [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States)

    2015-12-15

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO{sub x}) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO{sub 2.5} thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO{sub 3-δ}). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  20. Experimental investigations of superconductivity in quasi-two-dimensional epitaxial copper oxide superlattices and trilayers

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Norton, D.P.

    1993-01-01

    Epitaxial trilayer and superlattice structures grown by pulsed laser ablation have been used to study the superconducting-to-normal transition of ultrathin (one and two c-axis unit cells) YBa 2 Cu 3 O 7-x layers. The normalized flux-flow resistances for several epitaxial structures containing two-cell-thick YBa 2 Cu 3 O 7-x films collapse onto the ''universal'' curve of the Ginzburg-Landau Coulomb Gas (GLCG) model. Analysis of normalized resistance data for a series of superlattices containing one-cell-thick YBa 2 Cu 3 O 7-x layers also is consistent with the behavior expected for quasi-two-dimensional layers in a highly anisotropic, layered three-dimensional superconductor. Current-voltage measurements for one of the trilayer structures also are consistent with the normalized resistance data, and with the GLCG model. Scanning tunneling microscopy, transmission electron microscopy, and electrical transport studies show that growth-related steps in ultrathin YBa 2 Cu 3 O 7-x layers affect electrical continuity over macroscopic distances, acting as weak links. However , the perturbation of the superconducting order parameter can be minimized by utilizing hole-doped buffer and cap layers, on both sides of the YBa 2 Cu 3 O 7-x layer, in trilayers and superlattices. These results demonstrate the usefulness of epitaxial trilayer and superlattice structures as tools for systematic, fundamental studies of high-temperature superconductivity

  1. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  2. Transparent conducting oxide clad limited area epitaxy semipolar III-nitride laser diodes

    KAUST Repository

    Myzaferi, A.

    2016-08-11

    The bottom cladding design of semipolar III-nitride laser diodes is limited by stress relaxation via misfit dislocations that form via the glide of pre-existing threading dislocations (TDs), whereas the top cladding is limited by the growth time and temperature of the p-type layers. These design limitations have individually been addressed by using limited area epitaxy (LAE) to block TD glide in n-type AlGaN bottom cladding layers and by using transparent conducting oxide (TCO) top cladding layers to reduce the growth time and temperature of the p-type layers. In addition, a TCO-based top cladding should have significantly lower resistivity than a conventional p-type (Al)GaN top cladding. In this work, LAE and indium-tin-oxide cladding layers are used simultaneously in a (202⎯⎯1) III-nitride laser structure. Lasing was achieved at 446 nm with a threshold current density of 8.5 kA/cm2 and a threshold voltage of 8.4 V.

  3. Texture and microstructure analysis of epitaxial oxide layers prepared on textured Ni-12wt%Cr tapes

    Energy Technology Data Exchange (ETDEWEB)

    Huehne, R; Kursumovic, A; Tomov, R I; Glowacki, B A [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Holzapfel, B [Institut fuer Festkoerper- und Werkstoffforschung, Helmholtzstrasse 20, 01069 Dresden (Germany); Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2003-05-07

    Oxide layers for the preparation of YBa{sub 2}Cu{sub 3}O{sub 7-x} coated conductors were grown on highly textured Ni-12wt%Cr tapes in pure oxygen using surface oxidation epitaxy at temperatures between 1000 deg. C and 1300 deg. C. Microstructural investigations revealed a layered oxide structure. The upper layer consists mainly of dense cube textured NiO. This is followed by a porous layer containing NiO and NiCr{sub 2}O{sub 4} particles. A detailed texture analysis showed a cube-on-cube relationship of the NiCr{sub 2}O{sub 4} spinel to the metal substrate. Untextured Cr{sub 2}O{sub 3} particles in a nickel matrix were found in a third layer arising from internal oxidation of the alloy. A high surface roughness and mechanical instability of the oxide were observed, depending on oxidation temperature and film thickness. However, mechanically stable oxide layers have been prepared using an additional annealing step in a protective atmosphere. Additionally, mechanical polishing or a second buffer layer, which grows with a higher smoothness, may be applied to reduce the surface roughness for coated conductor applications.

  4. Epitaxy of Polar Oxides and Semiconductors

    Science.gov (United States)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  5. Near-surface effects of transient oxidation and reduction on Nb-doped SrTiO3 epitaxial thin films

    Science.gov (United States)

    Chang, C. F.; Chen, Q. Y.; Wadekar, P. V.; Lozano, O.; Wong, M. S.; Hsieh, W. C.; Lin, W. Y.; Ko, H. H.; Lin, Q. J.; Huang, H. C.; Ho, N. J.; Tu, L. W.; Liao, H. H.; Chinta, P. V.; Chu, W. K.; Seo, H. W.

    2014-03-01

    We studied the effects of transient oxidation and reduction of Nb-doped epitaxial thin films through variations of PAr and PO2. The samples were prepared by co-sputtering of Nb and SrTiO3 on LaAlO3 substrates. The Nb-content were varied from 0-33.7%, as determined by PIXE. Contact resistance, sheet resistance, and optical properties are used to discriminate the effects.

  6. Growth of epitaxially oriented Ag nanoislands on air-oxidized Si(1 1 1)-(7 × 7) surfaces: Influence of short-range order on the substrate

    International Nuclear Information System (INIS)

    Roy, Anupam; Bhattacharjee, K.; Ghatak, J.; Dev, B.N.

    2012-01-01

    Clean Si(1 1 1)-(7 × 7) surfaces, followed by air-exposure, have been investigated by reflection high-energy electron diffraction (RHEED) and scanning tunneling microscopy (STM). Fourier transforms (FTs) of STM images show the presence of short-range (7 × 7) order on the air-oxidized surface. Comparison with FTs of STM images from a clean Si(1 1 1)-(7 × 7) surface shows that only the 1/7th order spots are present on the air-oxidized surface. The oxide layer is ∼2-3 nm thick, as revealed by cross-sectional transmission electron microscopy (XTEM). Growth of Ag islands on these air-oxidized Si(1 1 1)-(7 × 7) surfaces has been investigated by in situ RHEED and STM and ex situ XTEM and scanning electron microscopy. Ag deposition at room temperature leads to the growth of randomly oriented Ag islands while preferred orientation evolves when Ag is deposited at higher substrate temperatures. For deposition at 550 °C face centered cubic Ag nanoislands grow with a predominant epitaxial orientation [11 ¯ 0] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si along with its twin [1 ¯ 10] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si , as observed for epitaxial growth of Ag on Si(1 1 1) surfaces. The twins are thus rotated by a 180° rotation of the Ag unit cell about the Si[1 1 1] axis. It is intriguing that Ag nanoislands follow an epitaxial relationship with the Si(1 1 1) substrate in spite of the presence of a 2-3 nm thick oxide layer between Ag and Si. Apparently the short-range order on the oxide surface influences the crystallographic orientation of the Ag nanoislands.

  7. Molecular beam epitaxy growth of niobium oxides by solid/liquid state oxygen source and lithium assisted metal-halide chemistry

    Science.gov (United States)

    Tellekamp, M. Brooks; Greenlee, Jordan D.; Shank, Joshua C.; Doolittle, W. Alan

    2015-09-01

    In order to consistently grow high quality niobium oxides and lithium niobium oxides, a novel solid/liquid state oxygen source, LiClO4, has been implemented in a molecular beam epitaxy (MBE) system. LiClO4 is shown to decompose into both molecular and atomic oxygen upon heating. This allows oxidation rates similar to that of molecular oxygen but at a reduced overall beam flux, quantified by in situ Auger analysis. LiClO4 operation is decomposition limited to less than 400 °C, and other material limitations are identified. The design of a custom near-ambient NbCl5 effusion cell is presented, which improves both short and long term stability. Films of Nb oxidation state +2, +3, and +5 are grown using these new tools, including the multi-functional sub-oxide LiNbO2.

  8. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  9. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chun-Hao [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Lin, Jheng-Cyuan [Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Liu, Heng-Jui; Do, Thi Hien [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Zhu, Yuan-Min; Zhan, Qian [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Ha, Thai Duy; Juang, Jenh-Yih [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); He, Qing [Department of Physics, Durham University, Durham DH1 3LE (United Kingdom); Arenholz, Elke [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Chiu, Po-Wen, E-mail: pwchiu@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan (China); Chu, Ying-Hao, E-mail: yhc@nctu.edu.tw [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-20

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar to those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.

  10. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  11. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    KAUST Repository

    Sarath Kumar, S. R.

    2012-02-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258–133 S cm−1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8–3.2 me ), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  12. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    KAUST Repository

    Sarath Kumar, S. R.; Abutaha, Anas I.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2012-01-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258–133 S cm−1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8–3.2 me ), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  13. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  14. Epitaxial Sb-doped SnO_2 and Sn-doped In_2O_3 transparent conducting oxide contacts on GaN-based light emitting diodes

    International Nuclear Information System (INIS)

    Tsai, Min-Ying; Bierwagen, Oliver; Speck, James S.

    2016-01-01

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO_2 (ATO) and (111)-oriented, cubic Sn-doped In_2O_3 (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO_D_o_m_a_i_n_1[‐ 211]|| ITO_D_o_m_a_i_n_2[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10"− "3 Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga_2O_3 interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10"−"4 Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO_2:Sb (ATO) and In_2O_3:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short wavelengths than ITO.

  15. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  16. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  17. Anisotropic-strain-relaxation-induced crosshatch morphology in epitaxial SrTiO{sub 3}/NdGaO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tan, X. L.; Chen, F.; Chen, P. F.; Xu, H. R.; Chen, B. B.; Jin, F.; Gao, G. Y.; Wu, W. B., E-mail: wuwb@ustc.edu.cn [Hefei National Laboratory for Physical Sciences at Microscale, University of Science and Technology of China, and High Magnetic Field Laboratory, Chinese Academy of Sciences, Hefei 230026 (China)

    2014-10-15

    We investigate the strain relaxation and surface morphology of epitaxial SrTiO{sub 3} (STO) films grown on (001){sub O} and (110){sub O} planes of orthorhombic NdGaO{sub 3} (NGO), and (001) plane of cubic (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}AlTaO{sub 6}){sub 0.7} (LSAT) substrates. Although the average lattice mismatches are similar, strikingly regular crosshatched surface patterns can be found on STO/NGO(001){sub O}[(110){sub O}] films, contrary to the uniform surface of STO/LSAT(001). Based on the orientation and thickness dependent patterns and high-resolution x-ray diffractions, we ascribe the crosshatch morphology to the anisotropic strain relaxation with possibly the 60° misfit dislocation formation and lateral surface step flow in STO/NGO films, while an isotropic strain relaxation in STO/LSAT. Further, we show that the crosshatched STO/NGO(110){sub O} surface could be utilized as a template to modify the magnetotransport properties of epitaxial La{sub 0.6}Ca{sub 0.4}MnO{sub 3} films. This study highlights the crucial role of symmetry mismatch in determining the surface morphology of the perovskite oxide films, in addition to their epitaxial strain states, and offers a different route for designing and fabricating functional perovskite-oxide devices.

  18. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  19. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  20. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  1. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  2. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    Science.gov (United States)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  3. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    upward band bending at the surface, which should be considered when determining heterojunction band offsets with GaN. Epitaxial La2O3 and Sc2O3 were successfully deposited on GaN by molecular beam epitaxy (MBE). Sc 2O3 exhibited a cubic bixbyite crystal structure, while La 2O3 had a mix of both cubic and hexagonal crystal structures. A highly defective structure was observed for La2O3, compared to Sc2O3, which results from its larger mismatch with GaN (14.5% and 8.9%, respectively). TEM images indicated an abrupt atomic interface for Sc2O3 films, but an interfacial layer was observed for La2O3 on GaN. Additionally, La 2O3 was shown to be extremely reactive with water and carbon dioxide in air, forming both hydroxides and carbonates within 15 minutes of exposure. Therefore, tantalum and silicon were investigated as in-situ capping metals to prevent these deleterious effects. XPS was utilized to determine a valence band offset (VBO) and conduction band offset of 1.9 +/- 0.1 eV and 0.9 +/- 0.1 eV for La2O 3 on GaN. Similarly, Sc2O3 had a VBO and CBO of 0.8 +/- 0.1 eV and 2.1 +/- 0.1 eV, respectively. Both oxides exhibited sufficient band offsets to prevent thermionic emission of carriers, even at high operation temperatures, making them good candidates for insulator layers in high temperature, high power applications. Preliminary C-V curves, for La2O3 and Sc2 O3 MOS capacitors, showed large charge accumulation layers, extremely high permittivity values, and low hysteresis windows indicative of low density of interface traps and fixed oxide charges. I-V curves showed a reduction in leakage current density for both oxides compared to Si 3N4, a readily used gate dielectric for GaN devices. The larger reduction achieved with La2O3 films is attributed to a passivating interfacial layer that minimizes the amount of dislocations propagating into the oxide. These preliminary results point to the viability of these gate oxides in GaN MOSFET devices.

  4. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  5. Epitaxial Sb-doped SnO{sub 2} and Sn-doped In{sub 2}O{sub 3} transparent conducting oxide contacts on GaN-based light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Min-Ying [Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 (United States); Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de [Materials Department, University of California, Santa Barbara, CA 93106 (United States); Paul-Drude-Insitut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, James S. [Materials Department, University of California, Santa Barbara, CA 93106 (United States)

    2016-04-30

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO{sub 2} (ATO) and (111)-oriented, cubic Sn-doped In{sub 2}O{sub 3} (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO{sub Domain1}[‐ 211]|| ITO{sub Domain2}[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10{sup −} {sup 3} Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga{sub 2}O{sub 3} interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10{sup −4} Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO{sub 2}:Sb (ATO) and In{sub 2}O{sub 3}:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short

  6. Strain-induced oxygen vacancies in ultrathin epitaxial CaMnO3 films

    Science.gov (United States)

    Chandrasena, Ravini; Yang, Weibing; Lei, Qingyu; Delgado-Jaime, Mario; de Groot, Frank; Arenholz, Elke; Kobayashi, Keisuke; Aschauer, Ulrich; Spaldin, Nicola; Xi, Xiaoxing; Gray, Alexander

    Dynamic control of strain-induced ionic defects in transition-metal oxides is considered to be an exciting new avenue towards creating materials with novel electronic, magnetic and structural properties. Here we use atomic layer-by-layer laser molecular beam epitaxy to synthesize high-quality ultrathin single-crystalline CaMnO3 films with systematically varying coherent tensile strain. We then utilize a combination of high-resolution soft x-ray absorption spectroscopy and bulk-sensitive hard x-ray photoemission spectroscopy in conjunction with first-principles theory and core-hole multiplet calculations to establish a direct link between the coherent in-plane strain and the oxygen-vacancy content. We show that the oxygen vacancies are highly mobile, which necessitates an in-situ-grown capping layer in order to preserve the original strain-induced oxygen-vacancy content. Our findings open the door for designing and controlling new ionically active properties in strongly-correlated transition-metal oxides.

  7. Comparative study of LaNiO$_3$/LaAlO$_3$ heterostructures grown by pulsed laser deposition and oxide molecular beam epitaxy

    OpenAIRE

    Wrobel, F.; Mark, A. F.; Christiani, G.; Sigle, W.; Habermeier, H. -U.; van Aken, P. A.; Logvenov, G.; Keimer, B.; Benckiser, E.

    2017-01-01

    Variations in growth conditions associated with different deposition techniques can greatly affect the phase stability and defect structure of complex oxide heterostructures. We synthesized superlattices of the paramagnetic metal LaNiO3 and the large band gap insulator LaAlO3 by atomic layer-by-layer molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) and compared their crystallinity, microstructure as revealed by high-resolution transmission electron microscopy images and resistiv...

  8. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  9. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W; Lippert, Thomas; Traversa, Enrico; Kilner, John A

    2015-01-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used

  10. Avoiding polar catastrophe in the growth of polarly orientated nickel perovskite thin films by reactive oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, H. F.; Liu, Z. T.; Fan, C. C.; Xiang, P.; Zhang, K. L.; Li, M. Y.; Liu, J. S.; Yao, Q.; Shen, D. W.

    2016-01-01

    By means of the state-of-the-art reactive oxide molecular beam epitaxy, we synthesized (001)- and (111)-orientated polar LaNiO 3 thin films. In order to avoid the interfacial reconstructions induced by polar catastrophe, screening metallic Nb-doped SrTiO 3 and iso-polarity LaAlO 3 substrates were chosen to achieve high-quality (001)-orientated films in a layer-by-layer growth mode. For largely polar (111)-orientated films, we showed that iso-polarity LaAlO 3 (111) substrate was more suitable than Nb-doped SrTiO 3 . In situ reflection high-energy electron diffraction, ex situ high-resolution X-ray diffraction, and atomic force microscopy were used to characterize these films. Our results show that special attentions need to be paid to grow high-quality oxide films with polar orientations, which can prompt the explorations of all-oxide electronics and artificial interfacial engineering to pursue intriguing emergent physics like proposed interfacial superconductivity and topological phases in LaNiO 3 based superlattices.

  11. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  12. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  13. Controlling plasmonic properties of epitaxial thin films of indium tin oxide in the near-infrared region

    Science.gov (United States)

    Kamakura, R.; Fujita, K.; Murai, S.; Tanaka, K.

    2015-06-01

    Epitaxial thin films of indium tin oxide (ITO) were grown on yttria-stabilized zirconia single-crystal substrates by using a pulsed laser deposition to examine their plasmonic properties. The dielectric function of ITO was characterized by spectroscopic ellipsometry. Through the concentration of SnO2 in the target, the carrier concentration in the films was modified, which directly leads to the tuning of the dielectric function in the near-infrared region. Variable-angle reflectance spectroscopy in the Kretschmann geometry shows the dip in the reflection spectrum of p-polarized light corresponding to the excitation of surface plasmon polaritions (SPPs) in the near-infrared region. The excitation wavelength of the SPPs was shifted with changing the dielectric functions of ITO, which is reproduced by the calculation using transfer matrix method.

  14. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  15. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  16. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  17. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  18. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  19. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  20. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  1. Epitaxially grown zinc-blende structured Mn doped ZnO nanoshell on ZnS nanoparticles

    International Nuclear Information System (INIS)

    Limaye, Mukta V.; Singh, Shashi B.; Date, Sadgopal K.; Gholap, R.S.; Kulkarni, Sulabha K.

    2009-01-01

    Zinc oxide in the bulk as well as in the nanocrystalline form is thermodynamically stable in the wurtzite structure. However, zinc oxide in the zinc-blende structure is more useful than that in the wurtzite structure due to its superior electronic properties as well as possibility of efficient doping. Therefore, zinc oxide shell is grown epitaxially on zinc sulphide core nanoparticles having zinc-blende structure. It is shown that doping of manganese could be achieved in zinc oxide nanoshell with zinc-blende structure

  2. Properties of epitaxial ferroelectric PbZr0.56Ti0.44O3 heterostructures with La0.5Sr0.5CoO3 metallic oxide electrodes

    International Nuclear Information System (INIS)

    Wang, F.; Leppaevuori, S.

    1997-01-01

    PbZr 0.56 Ti 0.44 O 3 (PZT) epitaxial ferroelectric thin films on a LaAlO 3 (100) substrate, covered by a metallic oxide electrode La 0.5 Sr 0.5 CoO 3 (LSCO) are demonstrated in this work. The films are fabricated by the sol endash gel method and effort was focused on thermal processing to obtain the desired epitaxial heterostructure. The dielectric and ferroelectric properties of PZT thin films were measured and it was found that they are as good as in the films deposited by other thin-film methods. The dielectric constant and the dissipation factor of the PZT films are, respectively, about 500 and 0.06 below 20 kHz. The remanent polarization P r is about 27 μC/cm 2 and the coercive field E c is about 50 kV/cm. It was found that the ferroelectric properties were significantly influenced by the microstructure of the PZT layers. The present study also showed that the fatigue characteristics of the epitaxial heterostructure with LSCO electrodes under a reversed electrical field are far superior to those obtained with a polycrystalline ferroelectric layer on Pt bottom electrodes. A low leakage current, about 3 μA/cm 2 at 0.5 MV/cm, was obtained for these epitaxial films. In addition, the optical transmittance spectrum of PZT thin films was also measured and the conduction mechanism is discussed.copyright 1997 American Institute of Physics

  3. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  4. Interfaces and nanostructures of oxide octahedral frameworks

    Directory of Open Access Journals (Sweden)

    Felip eSandiumenge

    2014-08-01

    Full Text Available In the past decade, the rich physics exhibited by solid interfaces combining octahedral framework structures of transition metal oxides has fascinated the materials science community. However, the behavior of these materials still elude the current understanding of classical semiconductor and metal epitaxy. The reason for that is rooted in the surprising versatility of linked coordination units to adapt to a dissimilar substrate and the strong sensitivity of correlated oxides to external perturbations. The confluence of atomic control in oxide thin film epitaxy, state of the art high spatial resolution characterization techniques, and electronic structure computations, has allowed in recent years to obtain first insights on the underlying microscopic mechanisms governing the epitaxy of these fascinating materials. Here, we shortly review these mechanisms and highlight their potential in the design of novel nanostructures with enhanced functionalities.

  5. The role of Energy Deposition in the Epitaxial Layer in Triggering SEGR in Power MOSFETs

    Science.gov (United States)

    Selva, L.; Swift, G.; Taylor, W.; Edmonds, L.

    1999-01-01

    In these SEGR experiments, three identical-oxide MOSFET types were irradiated with six ions of significantly different ranges. Results show the prime importance of the total energy deposited in the epitaxial layer.

  6. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  7. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  8. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose; Ahn, Sung-Jin; Crumlin, Ethan; Orikasa, Yuki; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2010-01-01

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  9. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose

    2010-06-22

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  10. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  11. Field-induced resistance switching at metal/perovskite manganese oxide interface

    International Nuclear Information System (INIS)

    Ohkubo, I.; Tsubouchi, K.; Harada, T.; Kumigashira, H.; Itaka, K.; Matsumoto, Y.; Ohnishi, T.; Lippmaa, M.; Koinuma, H.; Oshima, M.

    2008-01-01

    Planar type metal/insulator/metal structures composed of an epitaxial perovskite manganese oxide layer and various metal electrodes were prepared for electric-field-induced resistance switching. Only the electrode pairs including Al show good resistance switching and the switching ratio reaches its maximum of 1000. This resistance switching occurs around the interface between Al electrodes and epitaxial perovskite manganese oxide thin films

  12. An epitaxial transparent conducting perovskite oxide: double-doped SrTiO3

    NARCIS (Netherlands)

    Ravichandran, Jayakanth; Siemons, W.; Heijmerikx, Herman; Huijben, Mark; Majumdar, Arun; Ramesh, Ramamoorthy

    2010-01-01

    Epitaxial thin films of strontium titanate doped with different concentrations of lanthanum and oxygen vacancies were grown on LSAT substrates by pulsed laser deposition technique. Films grown with 5−15% La doping and a critical growth pressure of 1−10 mTorr showed high transparency (>70−95%) in the

  13. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  14. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  15. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Andersen, Tassie K. [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Cook, Seyoung [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Wan, Gang [Materials Science; Hong, Hawoong [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439, United States; Marks, Laurence D. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Fong, Dillon D. [Materials Science

    2018-01-31

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as a function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.

  16. Influence of metallic surface states on electron affinity of epitaxial AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2017-06-15

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6–1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2–3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  17. Strain induced room temperature ferromagnetism in epitaxial magnesium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Zhenghe; Kim, Ki Wook [Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nori, Sudhakar; Lee, Yi-Fang; Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Kumar, D. [Department of Mechanical Engineering, North Carolina A & T State University, Greensboro, North Carolina 27411 (United States); Wu, Fan [Princeton Institute for the Science and Technology of Materials (PRISM), Princeton University, Princeton, New Jersey 08540 (United States); Prater, J. T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States)

    2015-10-28

    We report on the epitaxial growth and room-temperature ferromagnetic properties of MgO thin films deposited on hexagonal c-sapphire substrates by pulsed laser deposition. The epitaxial nature of the films has been confirmed by both θ-2θ and φ-scans of X-ray diffraction pattern. Even though bulk MgO is a nonmagnetic insulator, we have found that the MgO films exhibit ferromagnetism and hysteresis loops yielding a maximum saturation magnetization up to 17 emu/cc and large coercivity, H{sub c} = 1200 Oe. We have also found that the saturation magnetization gets enhanced and that the crystallization degraded with decreased growth temperature, suggesting that the origin of our magnetic coupling could be point defects manifested by the strain in the films. X-ray (θ-2θ) diffraction peak shift and strain analysis clearly support the presence of strain in films resulting from the presence of point defects. Based on careful investigations using secondary ion mass spectrometer and X-ray photoelectron spectroscopy studies, we have ruled out the possibility of the presence of any external magnetic impurities. We discuss the critical role of microstructural characteristics and associated strain on the physical properties of the MgO films and establish a correlation between defects and magnetic properties.

  18. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  19. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  20. Advanced Photon Source Activity Report 2002 at Argonne National Laboratory, Argonne, IL, December 2003 - contribution title:''Microdiffraction Study of Epitaxial Growth and Lattice Tilts in Oxide Films on Polycrystalline Metal Substrates''

    International Nuclear Information System (INIS)

    Budai, J.D.

    2004-01-01

    Texture, the preference for a particular crystallographic orientation in polycrystalline materials, plays an important role in controlling such diverse materials properties as corrosion resistance, recording density in magnetic media and electrical transport in superconductors [1]. Without texture, polycrystalline oxide superconductors contain many high-angle, weak-linked grain boundaries which reduce critical current densities by several orders of magnitude [2]. One approach for inducing texture in oxide superconductors has been the epitaxial growth of films on rolling-assisted biaxially-textured substrates (RABiTS) [3]. In this approach, rolled Ni foils are recrystallized under conditions that lead to a high degree of biaxial {001} cube texture. Subsequent deposition of epitaxial oxide buffer layers (typically CeO 2 and YSZ as chemical barriers) and superconducting YBCO preserves the lattice alignment, eliminating high-angle boundaries and enabling high critical current densities, J c > 10 6 /cm 2 . Conventional x-ray diffraction using ω- and φ-scans typically shows macroscopic biaxial texture to within ∼5 o -10 o FWHM for all layers, but does not describe the local microstructural features that control the materials properties. Understanding and controlling the local texture and microstructural evolution of processes associated with heteroepitaxial growth, differential thermal contraction and cracking remain significant challenges in this complex system [4], as well as in many other technologically important thin-film applications

  1. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  2. Fabrication of magnetic tunnel junctions with epitaxial and textured ferromagnetic layers

    Science.gov (United States)

    Chang, Y. Austin; Yang, Jianhua Joshua

    2008-11-11

    This invention relates to magnetic tunnel junctions and methods for making the magnetic tunnel junctions. The magnetic tunnel junctions include a tunnel barrier oxide layer sandwiched between two ferromagnetic layers both of which are epitaxial or textured with respect to the underlying substrate upon which the magnetic tunnel junctions are grown. The magnetic tunnel junctions provide improved magnetic properties, sharper interfaces and few defects.

  3. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  4. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  5. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  6. Magnetic anisotropies in epitaxial Fe3O4/GaAs(100) patterned structures

    International Nuclear Information System (INIS)

    Zhang, W.; Zhang, D.; Yuan, S. J.; Huang, Z. C.; Zhai, Y.; Wong, P. K. J.; Wu, J.; Xu, Y. B.

    2014-01-01

    Previous studies on epitaxial Fe 3 O 4 rings in the context of spin-transfer torque effect have revealed complicated and undesirable domain structures, attributed to the intrinsic fourfold magnetocrystalline anisotropy in the ferrite. In this Letter, we report a viable solution to this problem, utilizing a 6-nm-thick epitaxial Fe 3 O 4 thin film on GaAs(100), where the fourfold magnetocrystalline anisotropy is negligible. We demonstrate that in the Fe 3 O 4 planar wires patterned from our thin film, such a unique magnetic anisotropy system has been preserved, and relatively simple magnetic domain configurations compared to those previous reports can be obtained

  7. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  8. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  9. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  10. Roles of free radicals in NO oxidation by Fenton system and the enhancement on NO oxidation and H2O2 utilization efficiency.

    Science.gov (United States)

    Zhao, Haiqian; Dong, Ming; Wang, Zhonghua; Wang, Huaiyuan; Qi, Hanbing

    2018-06-20

    Low H 2 O 2 utilization efficiency is the main problem when Fenton system was used to oxidize NO in flue gas. To understand the behavior of the free radicals during NO oxidation process in Fenton system is crucial to solving this problem. The oxidation capacity of ·OH and HO 2 · on NO in Fenton system was compared and the useless consumption path of ·OH and HO 2 · that caused the low utilization efficiency of H 2 O 2 were studied. A method to enhance the oxidation ability and H 2 O 2 utilization efficiency by adding reducing additives in Fenton system was proposed. The results showed that both of ·OH and HO 2 · were active substances that oxidize NO. However, the oxidation ability of ·OH radicals was stronger. The vast majority of ·OH and HO 2 · was consumed by rapid reaction ·OH+HO 2 ·→H 2 O+O 2 , which was the primary reason for the low utilization efficiency of H 2 O 2 in Fenton system. Hydroxylamine hydrochloride and ascorbic acid could accelerate the conversion of Fe 3+ to Fe 2+ , thereby increase the generation rate of ·OH and decrease the generation rate of HO 2 ·. As a result, the oxidation ability and H 2 O 2 utilization efficiency were enhanced.

  11. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    KAUST Repository

    Abutaha, Anas I.; Sarath Kumar, S. R.; Alshareef, Husam N.

    2012-01-01

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 〈100〉 substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies

  12. Epitaxial YBa2Cu3O7 on biaxially textured (001) Ni: An approach to high critical current density superconducting tapes

    International Nuclear Information System (INIS)

    Norton, D.P.; Goyal, A.; Budai, J.D.

    1997-01-01

    In-plane aligned, c-axis oriented YBa 2 Cu 3 O 7 (YBCO) films with superconducting critical current densities, J c , as high as 700,000 amperes per square centimeter at 77 kelvin have been grown on thermo-mechanically, rolled-textured (001) Ni tapes using pulsed-laser deposition. Epitaxial growth of oxide buffer layers directly on biaxially textured Ni, formed by recrystallization of cold-rolled pure Ni, enables the growth of 1.5 micrometer-thick YBCO films with superconducting properties that are comparable to those observed for epitaxial films on single crystal oxide substrates. This result represents a viable approach for producing long-length superconducting tapes for high current, high field applications at 77 kelvin

  13. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  14. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  15. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  16. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  17. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  18. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  19. Stoichiometry control of complex oxides by sequential pulsed-laser deposition from binary-oxide targets

    Energy Technology Data Exchange (ETDEWEB)

    Herklotz, A. [ORNL, Materials Science and Technology Division, Bethel Valley Road, Oak Ridge, Tennessee 37831-6056 (United States); Martin Luther University Halle-Wittenberg, Institute for Physics, Von-Danckelmann-Platz 3, 06120 Halle (Germany); Dörr, K. [Martin Luther University Halle-Wittenberg, Institute for Physics, Von-Danckelmann-Platz 3, 06120 Halle (Germany); Ward, T. Z.; Eres, G. [ORNL, Materials Science and Technology Division, Bethel Valley Road, Oak Ridge, Tennessee 37831-6056 (United States); Christen, H. M.; Biegalski, M. D. [ORNL, Center for Nanophase Materials Sciences, Bethel Valley Road, Oak Ridge, Tennessee 37831-6496 (United States)

    2015-03-30

    To have precise atomic layer control over interfaces, we examine the growth of complex oxides through the sequential deposition from binary targets by pulsed laser deposition. In situ reflection high-energy electron diffraction (RHEED) is used to control the growth and achieve films with excellent structural quality. The growth from binary oxide targets is fundamentally different from single target growth modes and shows more similarities to shuttered growth by molecular beam epitaxy. The RHEED intensity oscillations of non-stoichiometric growth are consistent with a model of island growth and accumulation of excess material on the surface that can be utilized to determine the correct stoichiometry for growth. Correct monolayer doses can be determined through an envelope frequency in the RHEED intensity oscillations. In order to demonstrate the ability of this growth technique to create complex heterostructures, the artificial n = 2 and 3 Sr{sub n+1}Ti{sub n}O{sub 3n+1} Ruddlesden-Popper phases are grown with good long-range order. This method enables the precise unit-cell level control over the structure of perovskite-type oxides, and thus the growth of complex materials with improved structural quality and electronic functionality.

  20. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  1. Oxide Interfaces: emergent structure and dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Clarke, Roy [Univ. of Michigan, Ann Arbor, MI (United States)

    2016-08-16

    This Final Report describes the scientific accomplishments that have been achieved with support from grant DE-FG02-06ER46273 during the period 6/1/2012– 5/31/2016. The overall goals of this program were focused on the behavior of epitaxial oxide heterostructures at atomic length scales (Ångstroms), and correspondingly short time-scales (fs -ns). The results contributed fundamentally to one of the currently most active frontiers in condensed matter physics research, namely to better understand the intricate relationship between charge, lattice, orbital and spin degrees of freedom that are exhibited by complex oxide heterostructures. The findings also contributed towards an important technological goal which was to achieve a better basic understanding of structural and electronic correlations so that the unusual properties of complex oxides can be exploited for energy-critical applications. Specific research directions included: probing the microscopic behavior of epitaxial interfaces and buried layers; novel materials structures that emerge from ionic and electronic reconfiguration at epitaxial interfaces; ultrahigh-resolution mapping of the atomic structure of heterointerfaces using synchrotron-based x-ray surface scattering, including direct methods of phase retrieval; using ultrafast lasers to study the effects of transient strain on coherent manipulation of multi-ferroic order parameters; and investigating structural ordering and relaxation processes in real-time.

  2. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  3. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  4. Interface formation and defect structures in epitaxial La2Zr2O7 thin films on (111) Si

    International Nuclear Information System (INIS)

    Seo, J.W.; Fompeyrine, J.; Guiller, A.; Norga, G.; Marchiori, C.; Siegwart, H.; Locquet, J.-P.

    2003-01-01

    We have studied the growth of epitaxial La 2 Zr 2 O 7 thin films on (111) Si. Although the interface structure can be strongly affected by the Si oxidation during the deposition process, epitaxial growth of La 2 Zr 2 O 7 was obtained. A detailed study by means of transmission electron microscopy reveals two types of structures (pyrochlore and fluorite) with the same average chemical composition but strong differences in reactivity and interface formation. The structural complexity of the ordered pyrochlore structure seems to prevent excess oxygen diffusion and interfacial SiO 2 formation

  5. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  6. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  7. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  8. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  9. High current density GaAs/Si rectifying heterojunction by defect free Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed.

    Science.gov (United States)

    Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel

    2016-05-04

    Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

  10. Improved vertical MOSFET performance using an epitaxial channel and a stacked silicon-insulator structure

    International Nuclear Information System (INIS)

    Uchino, T; Gili, E; Ashburn, P; Tan, L; Buiu, O; Hall, S

    2012-01-01

    A vertical MOSFET (VMOST) incorporating an epitaxial channel and a drain junction in a stacked silicon-insulator structure is presented. In this device structure, an oxide layer near the drain junction edge (referred to as a junction stop) acts as a dopant diffusion barrier and consequently a shallow drain junction is formed to suppress short channel effects. To investigate the scalability of this device, a simulation study in the sub-100 nm regime calibrated to measured results on the fabricated devices is carried out. The use of an epitaxial channel delivers 50% higher drive current due to the higher mobility of the retrograde channel and the junction stop structure delivers improvements of threshold voltage roll-off and drain-induced barrier lowering compared with a conventional VMOST. (fast track communication)

  11. Computer graphic investigation on the epitaxial growth of superconductor films

    International Nuclear Information System (INIS)

    Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.

    1989-01-01

    A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x

  12. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  13. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  14. Spin Seebeck effect in insulating epitaxial γ−Fe2O3 thin films

    Directory of Open Access Journals (Sweden)

    P. Jiménez-Cavero

    2017-02-01

    Full Text Available We report the fabrication of high crystal quality epitaxial thin films of maghemite (γ−Fe2O3, a classic ferrimagnetic insulating iron oxide. Spin Seebeck effect (SSE measurements in γ−Fe2O3/Pt bilayers as a function of sample preparation conditions and temperature yield a SSE coefficient of 0.5(1 μV/K at room temperature. Dependence on temperature allows us to estimate the magnon diffusion length in maghemite to be in the range of tens of nanometers, in good agreement with that of conducting iron oxide magnetite (Fe3O4, establishing the relevance of spin currents of magnonic origin in magnetic iron oxides.

  15. In-situ observation of oxidation of Ti(0001) surface by real-time photoelectron spectroscopy using synchrotron radiation

    CERN Document Server

    Takakuwa, Y; Yoshigoe, A; Teraoka, Y; Mizuno, Y; Tonda, H; Homma, T

    2003-01-01

    Temperature dependence of the initial oxidation kinetics of Ti(0001) surface was investigated by low energy electron diffraction (LEED) and real-time photoelectron spectroscopy using synchrotron radiation of surface- and bulk-sensitive photon energies. LEED observation revealed that oxide layers grow epitaxially with different surface structures depending on temperature: 1x1 at 200degC and sq root 3 x sq root 3 at 400degC. From the oxygen uptake curve measured by O 1s photo-electron intensity, it was clarified that oxygen diffusion through the epitaxially grown oxide layer is significantly enhanced with raising temperature, making the oxide layer thicker than 70A at 400degC. The chemical shift components observed for Ti 2p showed that TiO sub 2 becomes predominant at the subsurface with O sub 2 dose, while the stoichiometry of oxide near the interface is maintained as TiO and Ti sub 2 O sub 3 , for both cases at 200degC and 400degC. Thus it is concluded that the epitaxial growth of a very thin oxide on the Ti...

  16. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  17. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  18. BiFeO3 epitaxial thin films and devices: past, present and future

    Science.gov (United States)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  19. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  20. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    Science.gov (United States)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  1. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  2. Preparation and utilization of metal oxide fine powder

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Joon Soo; Jang, Hee Dong; Lim, Young Woong; Kim, Sung Don; Lee, Hi Sun; Lee, Hoo In; Kim, Chul Joo; Shim, Gun Joo; Jang, Dae Kyu [Korea Inst. of Geology Mining and Materials, Taejon (Korea, Republic of)

    1995-12-01

    Metal oxide fine powders finds many applications in industry as new materials. It is very much necessary for the development of such powders to improve the domestic industry. The purpose of present research is to develop a process for the preparation and utilization of metal oxide fine powder. This project is consisted of two main subjects. (1) Production of ultrafine metal oxide powder: Ultrafine metal oxide powder is defined as a metal oxide powder of less than 100 nanometer in particle size. Experiments for the control of particle size and distributions in the various reaction system and compared with results of (2 nd year research). Various reaction systems were adopted for the development of feasible process. Ultrafine particles could be prepared even higher concentration of TiCl{sub 4} and lower gas flowrate compared to TiCl{sub 4}-O{sub 2} system in the TiCl{sub 4}-Air-H{sub 2}O system. Ultrafine Al{sub 2}O{sub 3} powders also prepared with the change of concentration and gas flowrate. Experiments on the treatment of surface characteristics of ultrafine TiO{sub 2} powders were investigated using esterification and surface treating agents. A mathematical model that can predict the particle size and distribution was also developed. (2) Preparation of cerium oxide for high-grade polishing powder: Used cerium polishing powder was recycled for preparation of high grade cerium oxide polishing powder. Also, cerium hydroxide which was generated as by-product in processing of monazite ore was used as another material. These two materials were leached respectively by using acid, and the precipitate was gained in each leached solution by adjusting pH of the solution, and by selective crystallization. These precipitates were calcined to make high grade cerium oxide polishing powder. The effect of several experimental variables were investigated, and the optimum conditions were obtained through the experiments. (author). 81 refs., 49 figs., 27 tabs.

  3. Molecular beam epitaxy applications to key materials

    CERN Document Server

    Farrow, Robin F C

    1995-01-01

    In this volume, the editor and contributors describe the use of molecular beam epitaxy (MBE) for a range of key materials systems that are of interest for both technological and fundamental reasons. Prior books on MBE have provided an introduction to the basic concepts and techniques of MBE and emphasize growth and characterization of GaAs-based structures. The aim in this book is somewhat different; it is to demonstrate the versatility of the technique by showing how it can be utilized to prepare and explore a range of distinct and diverse materials. For each of these materials systems MBE has played a key role both in their development and application to devices.

  4. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  5. Self-planarized quantum-disks nanowires ultraviolet-B emitter utilizing pendeo-epitaxy

    KAUST Repository

    Janjua, Bilal

    2017-03-03

    The growth of self-assembled, vertically oriented and uniform nanowires (NWs) has remained a challenge for efficient light-emitting devices. Here, we demonstrate dislocation-free AlGaN NWs with spontaneous coalescence, which are grown by plasma-assisted molecular beam epitaxy on an n-type doped silicon (100) substrate. A high density of NWs (filling factor > 95%) was achieved under optimized growth conditions, enabling device fabrication without planarization using ultraviolet (UV)-absorbing polymer materials. UV-B (280-320 nm) light-emitting diodes (LEDs), which emit at ~303 nm with a narrow full width at half maximum (FWHM) (~20 nm) of the emission spectrum, are demonstrated using a large active region (“active region/NW length-ratio” ~ 50%) embedded with 15 stacks of AlxGa1-xN/AlyGa1-yN quantum-disks (Qdisks). To improve the carrier injection, a graded layer is introduced at the AlGaN/GaN interfaces on both p- and n-type regions. This work demonstrates a viable approach to easily fabricate ultra-thin, efficient UV optoelectronic devices on low-cost and scalable silicon substrates.

  6. Physical properties and band structure of reactive molecular beam epitaxy grown oxygen engineered HfO{sub 2{+-}x}

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, 64287 Darmstadt (Germany)

    2012-12-01

    We have conducted a detailed thin film growth structure of oxygen engineered monoclinic HfO{sub 2{+-}x} grown by reactive molecular beam epitaxy. The oxidation conditions induce a switching between (111) and (002) texture of hafnium oxide. The band gap of oxygen deficient hafnia decreases with increasing amount of oxygen vacancies by more than 1 eV. For high oxygen vacancy concentrations, defect bands form inside the band gap that induce optical transitions and p-type conductivity. The resistivity changes by several orders of magnitude as a function of oxidation conditions. Oxygen vacancies do not give rise to ferromagnetic behavior.

  7. Method of depositing an electrically conductive oxide film on a textured metallic substrate and articles formed therefrom

    Science.gov (United States)

    Christen, David K.; He, Qing

    2001-01-01

    The present invention provides a biaxially textured laminate article having a polycrystalline biaxially textured metallic substrate with an electrically conductive oxide layer epitaxially deposited thereon and methods for producing same. In one embodiment a biaxially texture Ni substrate has a layer of LaNiO.sub.3 deposited thereon. An initial layer of electrically conductive oxide buffer is epitaxially deposited using a sputtering technique using a sputtering gas which is an inert or forming gas. A subsequent layer of an electrically conductive oxide layer is then epitaxially deposited onto the initial layer using a sputtering gas comprising oxygen. The present invention will enable the formation of biaxially textured devices which include HTS wires and interconnects, large area or long length ferromagnetic and/or ferroelectric memory devices, large area or long length, flexible light emitting semiconductors, ferroelectric tapes, and electrodes.

  8. Reversal of lattice, electronic structure, and magnetism in epitaxial SrCoOx thin films

    Science.gov (United States)

    Jeen, H.; Choi, W. S.; Lee, J. H.; Cooper, V. R.; Lee, H. N.; Seo, S. S. A.; Rabe, K. M.

    2014-03-01

    SrCoOx (x = 2.5 - 3.0, SCO) is an ideal material to study the role of oxygen content for electronic structure and magnetism, since SCO has two distinct topotactic phases: the antiferromagnetic insulating brownmillerite SrCoO2.5 and the ferromagnetic metallic perovskite SrCoO3. In this presentation, we report direct observation of a reversible lattice and electronic structure evolution in SrCoOx epitaxial thin films as well as different magnetic and electronic ground states between the topotactic phases.[2] By magnetization measurements, optical absorption, and transport measurements drastically different electronic and magnetic ground states are found in the epitaxially grown SrCoO2.5 and SrCoO3 thin films by pulsed laser epitaxy. First-principles calculations confirm substantial, which originate from the modification in the Co valence states and crystallographic structures. By real-time spectroscopic ellipsometry, the two electronically and magnetically different phases can be reversibly changed by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides. The work was supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division.

  9. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  10. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  11. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  12. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  13. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  14. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  15. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  16. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  17. In Situ Observation of Oxygen Vacancy Dynamics and Ordering in the Epitaxial LaCoO3 System.

    Science.gov (United States)

    Jang, Jae Hyuck; Kim, Young-Min; He, Qian; Mishra, Rohan; Qiao, Liang; Biegalski, Michael D; Lupini, Andrew R; Pantelides, Sokrates T; Pennycook, Stephen J; Kalinin, Sergei V; Borisevich, Albina Y

    2017-07-25

    Vacancy dynamics and ordering underpin the electrochemical functionality of complex oxides and strongly couple to their physical properties. In the field of the epitaxial thin films, where connection between chemistry and film properties can be most clearly revealed, the effects related to oxygen vacancies are attracting increasing attention. In this article, we report a direct, real-time, atomic level observation of the formation of oxygen vacancies in the epitaxial LaCoO 3 thin films and heterostructures under the influence of the electron beam utilizing scanning transmission electron microscopy (STEM). In the case of LaCoO 3 /SrTiO 3 superlattice, the formation of the oxygen vacancies is shown to produce quantifiable changes in the interatomic distances, as well as qualitative changes in the symmetry of the Co sites manifested as off-center displacements. The onset of these changes was observed in both the [100] pc and [110] pc orientations in real time. Additionally, annular bright field images directly show the formation of oxygen vacancy channels along [110]pc direction. In the case of 15 u.c. LaCoO 3 thin film, we observe the sequence of events during beam-induced formation of oxygen vacancy ordered phases and find them consistent with similar processes in the bulk. Moreover, we record the dynamics of the nucleation, growth, and defect interaction at the atomic scale as these transformations happen. These results demonstrate that we can track dynamic oxygen vacancy behavior with STEM, generating atomic-level quantitative information on phase transformation and oxygen diffusion.

  18. Tin-Assisted Synthesis of ɛ -Ga2O3 by Molecular Beam Epitaxy

    Science.gov (United States)

    Kracht, M.; Karg, A.; Schörmann, J.; Weinhold, M.; Zink, D.; Michel, F.; Rohnke, M.; Schowalter, M.; Gerken, B.; Rosenauer, A.; Klar, P. J.; Janek, J.; Eickhoff, M.

    2017-11-01

    The synthesis of ɛ -Ga2O3 and β -Ga2O3 by plasma-assisted molecular beam epitaxy on (001 )Al2O3 substrates is studied. The growth window of β -Ga2O3 in the Ga-rich regime, usually limited by the formation of volatile gallium suboxide, is expanded due to the presence of tin during the growth process, which stabilizes the formation of gallium oxides. X-ray diffraction, transmission electron microscopy, time-of-flight secondary-ion mass spectrometry, Raman spectroscopy, and atomic force microscopy are used to analyze the influence of tin on the layer formation. We demonstrate that it allows the synthesis of phase-pure ɛ -Ga2O3 . A growth model based on the oxidation of gallium suboxide by reduction of an intermediate sacrificial tin oxide is suggested.

  19. Ab initio calculation of band alignment of epitaxial La2O3 on Si(111 substrate

    Directory of Open Access Journals (Sweden)

    Alberto Debernardi

    2015-08-01

    Full Text Available By means of plane wave pseudopotential method we have studied the electronic properties of the heterostructure formed by an high dielectric constant (k oxide, the hexagonal La2O3 epitaxially grown with (0001-orientation on Si (111 substrate. We found that for La2O3 both the dielectric constant along the growth direction and the band gap are larger in the epitaxial film than in the bulk. By super-cell techniques we have computed the band alignment of the junction finding a valence band offset and a conduction band offset of ~1.6 eV and ~1.7 eV respectively. We demonstrate that the band alignment can be engineered by δ-doping the interface: our simulations show that, by doping the interface with S or Se monolayer, the valence (conduction band offset increases (decreases of about 0.5 eV without the formation of spurious electronic states in the semiconductor band-gap. The simulation of the critical thickness of pseudomorphic Lanthana film complete the work. Our results are relevant for the realization of a new generation of devices based on ultra-scaled complementary metal oxides semiconductors (CMOS technology.

  20. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  1. All-perovskite transparent high mobility field effect using epitaxial BaSnO3 and LaInO3

    Directory of Open Access Journals (Sweden)

    Useong Kim

    2015-03-01

    Full Text Available We demonstrate an all-perovskite transparent heterojunction field effect transistor made of two lattice-matched perovskite oxides: BaSnO3 and LaInO3. We have developed epitaxial LaInO3 as the gate oxide on top of BaSnO3, which were recently reported to possess high thermal stability and electron mobility when doped with La. We measured the dielectric properties of the epitaxial LaInO3 films, such as the band gap, dielectric constant, and the dielectric breakdown field. Using the LaInO3 as a gate dielectric and the La-doped BaSnO3 as a channel layer, we fabricated field effect device structure. The field effect mobility of such device was higher than 90 cm2 V−1 s−1, the on/off ratio was larger than 107, and the subthreshold swing was 0.65 V dec−1. We discuss the possible origins for such device performance and the future directions for further improvement.

  2. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  3. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  4. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    Energy Technology Data Exchange (ETDEWEB)

    Vu, Hien Thu [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Houwman, Evert; Boota, Muhammad [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Dekkers, Matthijn [SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Vu, Hung Ngoc [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Rijnders, Guus [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectric properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity between

  5. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    to deposit single monolayers of SrO and TiO2 is utilized to build the RP film structure over a time period of 5 hours. This is the first time that a thin film RP phase has been grown using hybrid MBE, where an a stable control over the fluxes is demonstrated during relatively long time periods of growth, which advantageously facilitates the synthesis of high-quality RP materials with excellent structural and chemical homogeneity. Additionally, this work demonstrates some major advancements in optical second harmonic generation (SHG) characterization techniques of ferroelectric thin film materials. The SHG characterization techniques developed here proved to be the 'bread-and-butter' for most of the work performed in this thesis, providing a powerful tool for identifying the existence of strain-induced ferroelectric phases, including their temperature dependence and polar symmetry. The work presented in this dissertation will hopefully provide a preliminary road map for future hybrid MBE growers, scientists and researchers, to develop and investigate epitaxial strain and heterostructure layering induced phenomena in other complex oxide systems.

  6. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  7. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  8. Resistivity of epitaxial copper nanolines with trapezoidal cross-section

    International Nuclear Information System (INIS)

    Lu, Zonghuan; Frey, David M.; Merkh, Thomas; Lord, Robert; Washington, Morris A.; Lu, Toh-Ming

    2016-01-01

    The resistivity of epitaxial Cu nanolines with line width ranging from 20 to 180 nm and line height from 40 to 50 nm was measured using a four-point probe technique. The Cu nanolines were fabricated using ebeam lithography with a polymethyl methacrylate bilayer resist system for improved line edge smoothness. The cross-section profile of the lines was examined using the focused ion beam milling technique. The results indicate that the cross-section should be more accurately described as trapezoidal rather than as rectangular. Using the trapezoidal profile, the electrical resistivity was calculated from the measured resistance data. Modeling based on the Fuchs–Sondheimer (FS) theory using the trapezoidal profile was also carried out. The results were compared with the experimentally calculated resistivity data. For Cu lines with line width less than 30 nm, the measured resistivity was shown to be up to 20% higher than the value predicted by the FS theory. Further examination of Cu lines using atomic force microscopy and scanning electron microscopy was conducted to extract the surface roughness and line edge roughness information. Their contribution to the resistivity increase was estimated to be only up to 3% for the Cu nanolines fabricated, which did not significantly contribute to the overall resistivity for Cu lines with line width less than 30 nm. Other possible factors affecting the resistivity of the Cu nanolines were also discussed, including the oxide formation on the surface of the Cu lines. - Highlights: • Epitaxial copper nanolines were fabricated using ebeam lithography. • The effect of line cross-section profiles on electrical resistivity was studied. • Trapezoidal cross−section gives better resistivity estimation for lines down to 20 nm. • Impact of surface roughness and line edge roughness to resistivity is small. • Oxidization layer has an effect on the resistivity increase.

  9. Chemical solution route to self-assembled epitaxial oxide nanostructures.

    Science.gov (United States)

    Obradors, X; Puig, T; Gibert, M; Queraltó, A; Zabaleta, J; Mestres, N

    2014-04-07

    Self-assembly of oxides as a bottom-up approach to functional nanostructures goes beyond the conventional nanostructure formation based on lithographic techniques. Particularly, chemical solution deposition (CSD) is an ex situ growth approach very promising for high throughput nanofabrication at low cost. Whereas strain engineering as a strategy to define nanostructures with tight control of size, shape and orientation has been widely used in metals and semiconductors, it has been rarely explored in the emergent field of functional complex oxides. Here we will show that thermodynamic modeling can be very useful to understand the principles controlling the growth of oxide nanostructures by CSD, and some attractive kinetic features will also be presented. The methodology of strain engineering is applied in a high degree of detail to form different sorts of nanostructures (nanodots, nanowires) of the oxide CeO2 with fluorite structure which then is used as a model system to identify the principles controlling self-assembly and self-organization in CSD grown oxides. We also present, more briefly, the application of these ideas to other oxides such as manganites or BaZrO3. We will show that the nucleation and growth steps are essentially understood and manipulated while the kinetic phenomena underlying the evolution of the self-organized networks are still less widely explored, even if very appealing effects have been already observed. Overall, our investigation based on a CSD approach has opened a new strategy towards a general use of self-assembly and self-organization which can now be widely spread to many functional oxide materials.

  10. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  11. Formation of Monocrystalline 1D and 2D Architectures via Epitaxial Attachment: Bottom-Up Routes through Surfactant-Mediated Arrays of Oriented Nanocrystals.

    Science.gov (United States)

    Nakagawa, Yoshitaka; Kageyama, Hiroyuki; Oaki, Yuya; Imai, Hiroaki

    2015-06-09

    Monocrystalline architectures with well-defined shapes were achieved by bottom-up routes through epitaxial attachment of Mn3O4 nanocrystals. The crystallographically continuous 1D chains elongated in the a axis and 2D panels having large a or c faces were obtained by removal of the organic mediator from surfactant-mediated 1D and 2D arrays of Mn3O4 nanocrystals, respectively. Our basal approach indicates that the epitaxial attachment through the surfactant-mediated arrays is utilized for fabrication of a wide variety of micrometric architectures from nanometric crystalline units.

  12. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  13. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  14. The role of Si as surfactant and donor in molecular-beam epitaxy of AlN

    International Nuclear Information System (INIS)

    Lebedev, V.; Morales, F.M.; Romanus, H.; Krischok, S.; Ecke, G.; Cimalla, V.; Himmerlich, M.; Stauden, T.; Cengher, D.; Ambacher, O.

    2005-01-01

    The growth of Si-doped AlN(0001) thin films on Al 2 O 3 (0001) substrates by plasma-induced molecular-beam epitaxy is reported. We have found that Si positively affects the epitaxy being an effective surfactant for AlN growth with a remarkable impact on the crystal quality. It was proven that the characteristic surface reconstruction sequences frequently related to the Al adatoms are obviously Si induced on AlN(0001) surfaces. It was also observed that heavy doping conditions result in volume segregation of Si on the threading dislocation network and in the formation of an amorphous (AlO)(SiO)N cap layer caused by surface oxidation of the accumulated Al and segregated Si. The electron affinity was measured to be smaller than 0.5 eV on the clean AlN surface after removing of the cap layer using Ar + sputtering

  15. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  16. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  17. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  18. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  19. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  20. Spin Filtering in Epitaxial Spinel Films with Nanoscale Phase Separation

    KAUST Repository

    Li, Peng

    2017-05-08

    The coexistence of ferromagnetic metallic phase and antiferromagnetic insulating phase in nanoscaled inhomogeneous perovskite oxides accounts for the colossal magnetoresistance. Although the model of spin-polarized electron transport across antiphase boundaries has been commonly employed to account for large magnetoresistance (MR) in ferrites, the magnetic anomalies, the two magnetic phases and enhanced molecular moment, are still unresolved. We observed a sizable MR in epitaxial spinel films (NiCo2O4-δ) that is much larger than that commonly observed in spinel ferrites. Detailed analysis reveals that this MR can be attributed to phase separation, in which the perfect ferrimagnetic metallic phase and ferrimagnetic insulating phase coexist. The magnetic insulating phase plays an important role in spin filtering in these phase separated spinel oxides, leading to a sizable MR effect. A spin filtering model based on Zeeman effect and direct tunneling is developed to account for MR of the phase separated films.

  1. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  2. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  3. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  4. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  5. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  6. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  7. All-perovskite transparent high mobility field effect using epitaxial BaSnO{sub 3} and LaInO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Useong; Park, Chulkwon; Kim, Young Mo; Ju, Chanjong; Park, Jisung; Char, Kookrin, E-mail: kchar@phya.snu.ac.kr [Institute of Applied Physics, Department of Physics and Astronomy, Seoul National University, Seoul 151-747 (Korea, Republic of); Ha, Taewoo; Kim, Jae Hoon [Department of Physics, Yonsei University, Seoul 120-749 (Korea, Republic of); Kim, Namwook; Yu, Jaejun [Center for Theoretical Physics, Department of Physics and Astronomy, Seoul National University, Seoul 151-747 (Korea, Republic of)

    2015-03-01

    We demonstrate an all-perovskite transparent heterojunction field effect transistor made of two lattice-matched perovskite oxides: BaSnO{sub 3} and LaInO{sub 3}. We have developed epitaxial LaInO{sub 3} as the gate oxide on top of BaSnO{sub 3}, which were recently reported to possess high thermal stability and electron mobility when doped with La. We measured the dielectric properties of the epitaxial LaInO{sub 3} films, such as the band gap, dielectric constant, and the dielectric breakdown field. Using the LaInO{sub 3} as a gate dielectric and the La-doped BaSnO{sub 3} as a channel layer, we fabricated field effect device structure. The field effect mobility of such device was higher than 90 cm{sup 2} V{sup −1} s{sup −1}, the on/off ratio was larger than 10{sup 7}, and the subthreshold swing was 0.65 V dec{sup −1}. We discuss the possible origins for such device performance and the future directions for further improvement.

  8. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  9. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  10. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  11. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  12. Magnetic x-ray dichroism in ultrathin epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Tobin, J.G.; Goodman, K.W. [Lawrence Berkeley National Lab., CA (United States); Cummins, T.R. [Univ. of Missouri, Rolla, MO (United States)] [and others

    1997-04-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction.

  13. Magnetic x-ray dichroism in ultrathin epitaxial films

    International Nuclear Information System (INIS)

    Tobin, J.G.; Goodman, K.W.; Cummins, T.R.

    1997-01-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction

  14. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  15. Optimized electrode coverage of membrane actuators based on epitaxial PZT thin films

    International Nuclear Information System (INIS)

    Nguyen, M D; Dekkers, M; Blank, D H A; Rijnders, G; Nazeer, H

    2013-01-01

    This research presents an optimization of piezoelectric membrane actuators by maximizing the actuator displacement. Membrane actuators based on epitaxial Pb(Zr,Ti)O 3 thin films grown on all-oxide electrodes and buffer layers using silicon technology were fabricated. Electrode coverage was found to be an important factor in the actuation displacement of the piezoelectric membranes. The optimum electrode coverage for maximum displacement was theoretically determined to be 39%, which is in good agreement with the experimental results. Dependences of membrane displacement and optimum electrode coverage on membrane diameter and PZT-film/Si-device-layer thickness ratio have also been investigated. (paper)

  16. Electron transport properties of indium oxide - indium nitride metal-oxide-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Wang, C.Y.; Hauguth, S.; Polyakov, V.; Schwierz, F.; Cimalla, V.; Kups, T.; Himmerlich, M.; Schaefer, J.A.; Krischok, S.; Ambacher, O.; Morales, F.M.; Lozano, J.G.; Gonzalez, D.; Lebedev, V.

    2008-01-01

    The structural, chemical and electron transport properties of In 2 O 3 /InN heterostructures and oxidized InN epilayers are reported. It is shown that the accumulation of electrons at the InN surface can be manipulated by the formation of a thin surface oxide layer. The epitaxial In 2 O 3 /InN heterojunctions show an increase in the electron concentration due to the increasing band banding at the heterointerface. The oxidation of InN results in improved transport properties and in a reduction of the sheet carrier concentration of the InN epilayer very likely caused by a passivation of surface donors. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  18. LARGE-SCALE MECURY CONTROL TECHNOLOGY TESTING FOR LIGNITE-FIRED UTILITIES-OXIDATION SYSTEMS FOR WET FGD

    Energy Technology Data Exchange (ETDEWEB)

    Michael J. Holmes; Steven A. Benson; Jeffrey S. Thompson

    2004-03-01

    The Energy & Environmental Research Center (EERC) is conducting a consortium-based effort directed toward resolving the mercury (Hg) control issues facing the lignite industry. Specifically, the EERC team--the EERC, EPRI, URS, ADA-ES, Babcock & Wilcox, the North Dakota Industrial Commission, SaskPower, and the Mercury Task Force, which includes Basin Electric Power Cooperative, Otter Tail Power Company, Great River Energy, Texas Utilities (TXU), Montana-Dakota Utilities Co., Minnkota Power Cooperative, BNI Coal Ltd., Dakota Westmoreland Corporation, and the North American Coal Company--has undertaken a project to significantly and cost-effectively oxidize elemental mercury in lignite combustion gases, followed by capture in a wet scrubber. This approach will be applicable to virtually every lignite utility in the United States and Canada and potentially impact subbituminous utilities. The oxidation process is proven at the pilot-scale and in short-term full-scale tests. Additional optimization is continuing on oxidation technologies, and this project focuses on longer-term full-scale testing. The lignite industry has been proactive in advancing the understanding of and identifying control options for Hg in lignite combustion flue gases. Approximately 1 year ago, the EERC and EPRI began a series of Hg-related discussions with the Mercury Task Force as well as utilities firing Texas and Saskatchewan lignites. This project is one of three being undertaken by the consortium to perform large-scale Hg control technology testing to address the specific needs and challenges to be met in controlling Hg from lignite-fired power plants. This project involves Hg oxidation upstream of a system equipped with an electrostatic precipitator (ESP) followed by wet flue gas desulfurization (FGD). The team involved in conducting the technical aspects of the project includes the EERC, Babcock & Wilcox, URS, and ADA-ES. The host sites include Minnkota Power Cooperative Milton R. Young

  19. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    KAUST Repository

    Abutaha, Anas I.

    2012-04-18

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 〈100〉 substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies that influence the effective mass of carriers in SLTO films can be tuned by varying the laser energy. The highest power factor of 0.433 W K−1 m−1 has been achieved at 636 K for a filmdeposited using the highest laser fluence of 7 J cm−2 pulse−1.

  20. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  1. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  2. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  3. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  4. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  5. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  6. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  7. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  8. Nanostructured Thermoelectric Oxides for Energy Harvesting Applications

    KAUST Repository

    Abutaha, Anas I.

    2015-11-24

    As the world strives to adapt to the increasing demand for electrical power, sustainable energy sources are attracting significant interest. Around 60% of energy utilized in the world is wasted as heat. Different industrial processes, home heating, and exhausts in cars, all generate a huge amount of unused waste heat. With such a huge potential, there is also significant interest in discovering inexpensive technologies for power generation from waste heat. As a result, thermoelectric materials have become important for many renewable energy research programs. While significant advancements have been done in improving the thermoelectric properties of the conventional heavy-element based materials (such as Bi2Te3 and PbTe), high-temperature applications of thermoelectrics are still limited to one materials system, namely SiGe, since the traditional thermoelectric materials degrade and oxidize at high temperature. Therefore, oxide thermoelectrics emerge as a promising class of materials since they can operate athigher temperatures and in harsher environments compared to non-oxide thermoelectrics. Furthermore, oxides are abundant and friendly to the environment. Among oxides, crystalline SrTiO3 and ZnO are promising thermoelectric materials. The main objective of this work is therefore to pursue focused investigations of SrTiO3 and ZnO thin films and superlattices grown by pulsed laser deposition (PLD), with the goal of optimizing their thermoelectric properties by following different strategies. First, the effect of laser fluence on the thermoelectric properties of La doped epitaxial SrTiO3 films is discussed. Films grown at higher laser fluences exhibit better thermoelectric performance. Second, the role of crystal orientation in determining the thermoelectric properties of epitaxial Al doped ZnO (AZO) films is explained. Vertically aligned (c-axis) AZO films have superior thermoelectric properties compared to other films with different crystal orientations. Third

  9. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  10. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  11. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  12. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  13. Epitaxial growth and electronic structure of oxyhydride SrVO2H thin films

    Science.gov (United States)

    Katayama, Tsukasa; Chikamatsu, Akira; Yamada, Keisuke; Shigematsu, Kei; Onozuka, Tomoya; Minohara, Makoto; Kumigashira, Hiroshi; Ikenaga, Eiji; Hasegawa, Tetsuya

    2016-08-01

    Oxyhydride SrVO2H epitaxial thin films were fabricated on SrTiO3 substrates via topotactic hydridation of oxide SrVO3 films using CaH2. Structural and composition analyses suggested that the SrVO2H film possessed one-dimensionally ordered V-H--V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO2H film was reversible to SrVO3 by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V3+ valence state in the SrVO2H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  14. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  15. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  16. Perspective: Extremely fine tuning of doping enabled by combinatorial molecular-beam epitaxy

    Directory of Open Access Journals (Sweden)

    J. Wu

    2015-06-01

    Full Text Available Chemical doping provides an effective method to control the electric properties of complex oxides. However, the state-of-art accuracy in controlling doping is limited to about 1%. This hampers elucidation of the precise doping dependences of physical properties and phenomena of interest, such as quantum phase transitions. Using the combinatorial molecular beam epitaxy, we improve the accuracy in tuning the doping level by two orders of magnitude. We illustrate this novel method by two examples: a systematic investigation of the doping dependence of interface superconductivity, and a study of the competing ground states in the vicinity of the insulator-to-superconductor transition.

  17. Pulsed laser deposition of epitaxial Sr(RuxSn1-x)O3 thin film electrodes and KNbO3/Sr(RuxSn1-x)O3 bilayers

    International Nuclear Information System (INIS)

    Christen, H.M.; Boatner, L.A.; English, L.Q.; Geea, L.A.; Marrero, P.J.; Norton, D.P.

    1995-01-01

    Sr(Ru x Sn 1-x ) 3 is proposed as a new conducting oxide for use in epitaxial multilayer structures. The Sr(Ru o 48 Sn 0.52 )0 3 composition exhibits an excellent lattice match with (100)-oriented KTaO 3 , and films of this composition grown by pulsed laser deposition on KTaO 3 , SrTiO 3 , and LaAlO 3 substrates have been analyzed by X-ray diffraction, Rutherford backscattering/ion channeling, and resistivity measurements. Epitaxial KNbO 3 /Sr(Ru 0.48 Sn 0.52 )O 3 bilayers have been successfully grown

  18. Atomic Layer Epitaxy of Superconducting Oxides and Heterostructures

    National Research Council Canada - National Science Library

    Chang, R

    1998-01-01

    ...) materials and insulating metal oxides. Improving the nature of such interfaces is a crucial barrier which must be surmounted before HTS materials can be successfully incorporated on a large scale into a myriad of advanced active...

  19. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  1. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  2. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    Science.gov (United States)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  3. Low-field tunnel-type magnetoresistance properties of polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 thin films

    CERN Document Server

    Shim, I B; Choi, S Y

    2000-01-01

    The low-field tunnel-type magnetoresistance (TMB) properties of sol-gel derived polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 (LSMO) thin films were investigated. The polycrystalline thin films were fabricated on Si (100) with a thermally oxidized SiO sub 2 layer while the epitaxial thin films were grown on LaAlO sub 3 (001) single-crystal substrates. The epitaxial thin films displayed both typical intrinsic colossal magnetoresistance (CMR) and abnormal extrinsic tunnel-type magnetoresistance behaviors. Tunnel-type MR ratio as high as 0.4% were observed in the polycrystalline thin films at a field of 120 Oe at room temperature (300 K) whereas the ratios were less than 0.1% for the epitaxial films in the same field range. The low-field tunnel-type MR of polycrystalline LSMO/SiO sub 2 ?Si (100) thin films originated from the behaviors of the grain-boundary properties.

  4. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  5. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  6. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  7. Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2015-11-15

    Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.

  8. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  9. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  10. Direct Patterning of Oxides by Pulsed Laser Stencil Deposition

    NARCIS (Netherlands)

    te Riele, P.M.

    2008-01-01

    This thesis describes a detailed study of the application of stencil technology in the patterning of epitaxial oxide thin films by pulsed laser deposition (PLD). Stencil patterning has been applied in thin film sub-micron patterning of metals successfully for decades since it has several advantages

  11. Microwave dynamics of YBCO bi-epitaxial Josephson structures

    DEFF Research Database (Denmark)

    Constantinian, K. Y.; Ovsyannikov, G. A.; Mashtakov, A. D.

    1996-01-01

    The processes of interaction of microwaves (frequency View the MathML source) with a single high-Tc superconducting YBa2Cu3Ox (YBCO) bi-epitaxial grain-boundary junction and with an array of two junctions connected in series, have been investigated experimentally at temperatures T = 4.2− 77 K......, as well as the subharmonic detector response at weak magnetic fields φ microwave field induced frequency synchronization of two series connected bi-epitaxial YBCO junctions....

  12. Strain Induced Magnetism in SrRuO3 Epitaxial Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Grutter, A.; Wong, F.; Arenholz, E.; Liberati, M.; Suzuki, Y.

    2010-01-10

    Epitaxial SrRuO{sub 3} thin films were grown on SrTiO{sub 3}, (LaAlO{sub 3}){sub 0.3}(SrAlO{sub 3}){sub 0.7} and LaAlO{sub 3} substrates inducing different biaxial compressive strains. Coherently strained SrRuO{sub 3} films exhibit enhanced magnetization compared to previously reported bulk and thin film values of 1.1-1.6 {micro}{sub B} per formula unit. A comparison of (001) and (110) SrRuO{sub 3} films on each substrate indicates that films on (110) oriented have consistently higher saturated moments than corresponding (001) films. These observations indicate the importance of lattice distortions in controlling the magnetic ground state in this transitional metal oxide.

  13. Polycrystalline oxides formation during transient oxidation of (001) Cu-Ni binary alloys studied by in situ TEM and XRD

    International Nuclear Information System (INIS)

    Yang, J.C.; Li, Z.Q.; Sun, L.; Zhou, G.W.; Eastman, J.A.; Fong, D.D.; Fuoss, P.H.; Baldo, P.M.; Rehn, L.E.; Thompson, L.J.

    2009-01-01

    The nucleation and growth of Cu 2 O and NiO islands due to oxidation of Cu x Ni 1-x (001) films were monitored, at various temperatures, by in situ ultra-high vacuum (UHV) transmission electron microscopy (TEM) and in situ synchrotron X-ray diffraction (XRD). In remarkable contrast to our previous observations of Cu and Cu-Au oxidation, irregular-shaped polycrystalline oxide islands formed with respect to the Cu-Ni alloy film, and an unusual second oxide nucleation stage was noted. In situ XRD experiments revealed that NiO formed first epitaxially, then other orientations appeared, and finally polycrystalline Cu 2 O developed as the oxidation pressure was increased. The segregation of Ni and Cu towards or away, respectively, from the alloy surface during oxidation could disrupt the surface and cause polycrystalline oxide formation.

  14. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  15. Composition of MBE-grown iron oxide films

    NARCIS (Netherlands)

    Voogt, F.C; Hibma, T; Smulders, P.J M; Niesen, L

    A wide range of iron oxides have been grown epitaxially on MgO(100) substrates using a dual beam technique in which the deposited iron is oxidised by a beam of NO2 particles. At high fluxes magnetite (Fe3-deltaO4) phases with compositions between near-stoichiometric magnetite (Fe3O4, delta = 0) and

  16. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  17. Spin transport in epitaxial graphene

    Science.gov (United States)

    Tbd, -

    2014-03-01

    Spintronics is a paradigm focusing on spin as the information vector in fast and ultra-low-power non volatile devices such as the new STT-MRAM. Beyond its widely distributed application in data storage it aims at providing more complex architectures and a powerful beyond CMOS solution for information processing. The recent discovery of graphene has opened novel exciting opportunities in terms of functionalities and performances for spintronics devices. We will present experimental results allowing us to assess the potential of graphene for spintronics. We will show that unprecedented highly efficient spin information transport can occur in epitaxial graphene leading to large spin signals and macroscopic spin diffusion lengths (~ 100 microns), a key enabler for the advent of envisioned beyond-CMOS spin-based logic architectures. We will also show that how the device behavior is well explained within the framework of the Valet-Fert drift-diffusion equations. Furthermore, we will show that a thin graphene passivation layer can prevent the oxidation of a ferromagnet, enabling its use in novel humide/ambient low-cost processes for spintronics devices, while keeping its highly surface sensitive spin current polarizer/analyzer behavior and adding new enhanced spin filtering property. These different experiments unveil promising uses of graphene for spintronics.

  18. The initial growth of complex oxides : study and manipulation

    NARCIS (Netherlands)

    Rijnders, Augustinus J.H.M.

    2001-01-01

    In this thesis, the initial growth stage, i.e., nucleation and growth of the first few unit cell layers, of complex oxides was studied in real time during pulsed laser deposition (PLD). These studies were performed at their optimal epitaxial growth conditions, i.e., high temperature and high oxygen

  19. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  20. Epitaxial solution deposition of YBa2Cu3O7-6 coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Overmyer, Donald L.; Clem, Paul Gilbert; Siegal, Michael P.; Holesinger, Terry A. (Los Alamos National Laboratory, Los Alamos, NM); Voigt, James A.; Richardson, Jacob J.; Dawley, Jeffrey Todd

    2004-11-01

    A variety of solution deposition routes have been reported for processing complex perovskite-based materials such as ferroelectric oxides and conductive electrode oxides, due to ease of incorporating multiple elements, control of chemical stoichiometry, and feasibility for large area deposition. Here, we report an extension of these methods toward long length, epitaxial film solution deposition routes to enable biaxially oriented YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO)-coated conductors for superconducting transmission wires. Recent results are presented detailing an all-solution deposition approach to YBCO-coated conductors with critical current densities J{sub c} (77 K) > 1 MA/cm{sup 2} on rolling-assisted, biaxially textured, (200)-oriented Ni-W alloy tapes. Solution-deposition methods such as this approach and those of other research groups appear to have promise to compete with vapor phase methods for superconductor electrical properties, with potential advantages for large area deposition and low cost/kA {center_dot} m of wire.

  1. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  2. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  3. Correction: Large-scale electricity storage utilizing reversible solid oxide cells combined with underground storage of CO2 and CH4

    DEFF Research Database (Denmark)

    Jensen, Søren Højgaard; Graves, Christopher R.; Mogensen, Mogens Bjerg

    2017-01-01

    Correction for ‘Large-scale electricity storage utilizing reversible solid oxide cells combined with underground storage of CO2 and CH4’ by S. H. Jensen et al., Energy Environ. Sci., 2015, 8, 2471–2479.......Correction for ‘Large-scale electricity storage utilizing reversible solid oxide cells combined with underground storage of CO2 and CH4’ by S. H. Jensen et al., Energy Environ. Sci., 2015, 8, 2471–2479....

  4. Epitaxial growth and electronic structure of oxyhydride SrVO{sub 2}H thin films

    Energy Technology Data Exchange (ETDEWEB)

    Katayama, Tsukasa; Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Yamada, Keisuke; Onozuka, Tomoya [Department of Chemistry, The University of Tokyo, Bunkyo-ku, Tokyo 113-0033 (Japan); Shigematsu, Kei [Kanagawa Academy of Science and Technology, Kawasaki, Kanagawa 213-0012 (Japan); Minohara, Makoto; Kumigashira, Hiroshi [Institute of Materials Structure Science, High Energy Accelerator Research Organization (KEK), Tsukuba, Ibaraki 305-0801 (Japan); Ikenaga, Eiji [Japan Synchrotron Radiation Research Institute (JASRI)/SPring-8, Mikazuki-cho, Hyogo 679-5198 (Japan); Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Bunkyo-ku, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology, Kawasaki, Kanagawa 213-0012 (Japan)

    2016-08-28

    Oxyhydride SrVO{sub 2}H epitaxial thin films were fabricated on SrTiO{sub 3} substrates via topotactic hydridation of oxide SrVO{sub 3} films using CaH{sub 2}. Structural and composition analyses suggested that the SrVO{sub 2}H film possessed one-dimensionally ordered V-H{sup −}-V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO{sub 2}H film was reversible to SrVO{sub 3} by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V{sup 3+} valence state in the SrVO{sub 2}H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  5. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  6. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  7. Oriented growth of thin films of samarium oxide by MOCVD

    Indian Academy of Sciences (India)

    Unknown

    Very thin layers of rare earth oxides, such as Sm2O3 and epitaxial Gd2O3, grown by thermal ... As the inorganic salts of the lanthanides, such as their halides, are ... sodium hydroxide, followed by the addition of ethanolic. 1,10-phenanthroline ...

  8. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  9. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  10. From epitaxial growth of ferrite thin films to spin-polarized tunnelling

    International Nuclear Information System (INIS)

    Moussy, Jean-Baptiste

    2013-01-01

    This paper presents a review of the research which is focused on ferrite thin films for spintronics. First, I will describe the potential of ferrite layers for the generation of spin-polarized currents. In the second step, the structural and chemical properties of epitaxial thin films and ferrite-based tunnel junctions will be presented. Particular attention will be given to ferrite systems grown by oxygen-assisted molecular beam epitaxy. The analysis of the structure and chemistry close to the interfaces, a key-point for understanding the spin-polarized tunnelling measurements, will be detailed. In the third part, the magnetic and magneto-transport properties of magnetite (Fe 3 O 4 ) thin films as a function of structural defects such as the antiphase boundaries will be explained. The spin-polarization measurements (spin-resolved photoemission, tunnel magnetoresistance) on this oxide predicted to be half-metallic will be discussed. Fourth, the potential of magnetic tunnel barriers, such as CoFe 2 O 4 , NiFe 2 O 4 or MnFe 2 O 4 , whose insulating behaviour and the high Curie temperatures make it exciting candidates for spin filtering at room temperature will be described. Spin-polarized tunnelling experiments, involving either Meservey–Tedrow or tunnel magnetoresistance measurements, will reveal significant spin-polarizations of the tunnelling current at low temperatures but also at room temperatures. Finally, I will mention a few perspectives with ferrite-based heterostructures. (topical review)

  11. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  12. Characterization of Niobium Oxide Films Deposited by High Target Utilization Sputter Sources

    International Nuclear Information System (INIS)

    Chow, R; Ellis, A D; Loomis, G E; Rana, S I

    2007-01-01

    High quality, refractory metal, oxide coatings are required in a variety of applications such as laser optics, micro-electronic insulating layers, nano-device structures, electro-optic multilayers, sensors and corrosion barriers. A common oxide deposition technique is reactive sputtering because the kinetic mechanism vaporizes almost any solid material in vacuum. Also, the sputtered molecules have higher energies than those generated from thermal evaporation, and so the condensates are smoother and denser than those from thermally-evaporated films. In the typical sputtering system, target erosion is a factor that drives machine availability. In some situations such as nano-layered capacitors, where the device's performance characteristics depends on thick layers, target life becomes a limiting factor on the maximizing device functionality. The keen interest to increase target utilization in sputtering has been addressed in a variety of ways such as target geometry, rotating magnets, and/or shaped magnet arrays. Also, a recent sputtering system has been developed that generates a high density plasma, directs the plasma beam towards the target in a uniform fashion, and erodes the target in a uniform fashion. The purpose of this paper is to characterize and compare niobia films deposited by two types of high target utilization sputtering sources, a rotating magnetron and a high density plasma source. The oxide of interest in this study is niobia because of its high refractive index. The quality of the niobia films were characterized spectroscopically in optical transmission, ellipsometrically, and chemical stoichiometry with X-ray photo-electron spectroscopy. The refractive index, extinction coefficients, Cauchy constants were derived from the ellipsometric modeling. The mechanical properties of coating density and stress are also determined

  13. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  14. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  15. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  16. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  19. Highly strained InGaAs oxide confined VCSELs emitting in 1.25 μm

    International Nuclear Information System (INIS)

    Chang, S.J.; Yu, H.C.; Su, Y.K.; Chen, I.L.; Lee, T.D.; Lu, C.M.; Chiou, C.H.; Lee, Z.H.; Yang, H.P.; Sung, C.P.

    2005-01-01

    Highly strained GaAs-based all-epitaxial oxide confined vertical cavity surface emitting lasers (VCSELs) emitting in 1.25 μm were fabricated. Compared with the designed cavity resonance, it was found that lasing wavelength blue shifted by 29 nm when the driving current was small. The observation of such oxide mode is attributed to the effective optical thickness shrinkage of the oxide layer, and large detuning between the gain peak and cavity resonance

  20. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  1. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    Science.gov (United States)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  2. Introduction of Artificial Pinning Center into PLD-YBCO Coated Conductor on IBAD and Self-Epitaxial CeO2 Buffered Metal Substrate

    International Nuclear Information System (INIS)

    Kobayashi, H.; Yamada, Y.; Ishida, S.; Takahashi, K.; Konishi, M.; Ibi, A.; Miyata, S.; Kato, T.; Hirayama, T.; Shiohara, Y.

    2006-01-01

    In order to fabricate YBa2Cu3O7-x (YBCO) coated conductors with high critical current density Jc in magnetic fields, we fabricated YBCO coated conductors with artificial pinning centers by the pulsed laser deposition (PLD) method on a self epitaxial PLD-CeO2 layer and ion-beam assisted deposition (IBAD)-Gd2Zr2O7 (GZO) buffered Hastelloy tape. Artificial pinning centers were introduced by the PLD deposition using the yttria-stabilized zirconia (YSZ) oxide target (nano-dot method) and YBCO target including YSZ particles (mixed target method). In the experiments using YSZ oxide target, YSZ nano-dots were observed. They were approximately 15 nm in height and 10 nm to 70 nm in diameter. We found that the density of nano-dots was controlled by the number of laser pulses. These samples exhibited higher Jc than YBCO films in magnetic fields. Furthermore, a similar improvement of Jc was observed in the experiments using YBCO target including YSZ particles. TEM observation revealed that columnar nano-structure made of BaZrO3 was formed during YBCO deposition and it was effective for pinning. We call this new epitaxial nano-structure 'bamboo structure' from its anisotropic growth and morphology

  3. Growth and characterization of ultrathin epitaxial MnO film on Ag(001)

    Science.gov (United States)

    Kundu, Asish K.; Menon, Krishnakumar S. R.

    2016-07-01

    We present here a comprehensive growth procedure to obtain a well-ordered MnO(001) ultrathin film on Ag(001) substrate. Depending upon the oxygen partial pressure during the growth, different phases of manganese oxide have been detected by Low Energy Electron Diffraction (LEED) and X-ray Photoelectron Spectroscopic (XPS) studies. A modified growth scheme has been adopted to get well-ordered and stoichiometric MnO(001) ultrathin film. The detailed growth mechanism of epitaxial MnO film on Ag(001) has been studied step by step, using LEED and XPS techniques. Observation of sharp (1 × 1) LEED pattern with a low inelastic background, corresponds to a long-range atomic order with low defect densities indicating the high structural quality of the film. The Mn 2p and Mn 3s core-level spectra confirm the oxidation state as well as the stoichiometry of the grown MnO films. Apart from the growth optimization, the evolution of strain relaxation of the MnO(001) film with film thickness has been explored.

  4. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  5. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  6. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  7. Nitric oxide nanoparticles: Pre-clinical utility as a therapeutic for intramuscular abscesses

    OpenAIRE

    Schairer, David O.; Martinez, Luis R.; Blecher, Karin; Chouake, Jason S.; Nacharaju, Parimala; Gialanella, Philip; Friedman, Joel M.; Nosanchuk, Joshua D.; Friedman, Adam J.

    2012-01-01

    Nitric oxide (NO) is a critical component of host defense against invading pathogens; however, its therapeutic utility is limited due to a lack of practical delivery systems. Recently, a NO-releasing nanoparticulate platform (NO-np) was shown to have in vitro broad-spectrum antimicrobial activity and in vivo pre-clinical efficacy in a dermal abscess model. To extend these findings, both topical (TP) and intralesional (IL) NO-np administration was evaluated in a MRSA intramuscular murine absce...

  8. Proton and γ-ray Induced Radiation Effects on 1 Gbit LPDDR SDRAM Fabricated on Epitaxial Wafer for Space Applications

    Directory of Open Access Journals (Sweden)

    Mi Young Park

    2016-09-01

    Full Text Available We present proton-induced single event effects (SEEs and γ-ray-induced total ionizing dose (TID data for 1 Gbit lowpower double data rate synchronous dynamic random access memory (LPDDR SDRAM fabricated on a 5 μm epitaxial layer (54 nm complementary metal-oxide-semiconductor (CMOS technology. We compare our radiation tolerance data for LPDDR SDRAM with those of general DDR SDRAM. The data confirms that our devices under test (DUTs are potential candidates for space flight applications.

  9. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Tseng, Chien-Chih; Li, Jun; Shi, Yumeng; Wei, Nini; Zhang, Daliang; Consiglio, Giuseppe Bernardo; Prabaswara, Aditya; Alhamoud, Abdullah Ali; Albadri, Abdulrahman  M.; Alyamani, Ahmed Y.; Zhang, Xixiang; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  10. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao

    2017-05-18

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  11. Persistent semi-metal-like nature of epitaxial perovskite CaIrO3 thin films

    International Nuclear Information System (INIS)

    Biswas, Abhijit; Jeong, Yoon Hee

    2015-01-01

    Strong spin-orbit coupled 5d transition metal based ABO 3 oxides, especially iridates, allow tuning parameters in the phase diagram and may demonstrate important functionalities, for example, by means of strain effects and symmetry-breaking, because of the interplay between the Coulomb interactions and strong spin-orbit coupling. Here, we have epitaxially stabilized high quality thin films of perovskite (Pv) CaIrO 3 . Film on the best lattice-matched substrate shows semi-metal-like characteristics. Intriguingly, imposing tensile or compressive strain on the film by altering the underlying lattice-mismatched substrates still maintains semi-metallicity with minute modification of the effective correlation as tensile (compressive) strain results in tiny increases (decreases) of the electronic bandwidth. In addition, magnetoresistance remains positive with a quadratic field dependence. This persistent semi-metal-like nature of Pv-CaIrO 3 thin films with minute changes in the effective correlation by strain may provide new wisdom into strong spin-orbit coupled 5d based oxide physics

  12. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  13. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  14. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  15. Topotactic Metal-Insulator Transition in Epitaxial SrFeOx Thin Films.

    Science.gov (United States)

    Khare, Amit; Shin, Dongwon; Yoo, Tae Sup; Kim, Minu; Kang, Tae Dong; Lee, Jaekwang; Roh, Seulki; Jung, In-Ho; Hwang, Jungseek; Kim, Sung Wng; Noh, Tae Won; Ohta, Hiromichi; Choi, Woo Seok

    2017-10-01

    Topotactic phase transformation enables structural transition without losing the crystalline symmetry of the parental phase and provides an effective platform for elucidating the redox reaction and oxygen diffusion within transition metal oxides. In addition, it enables tuning of the emergent physical properties of complex oxides, through strong interaction between the lattice and electronic degrees of freedom. In this communication, the electronic structure evolution of SrFeO x epitaxial thin films is identified in real-time, during the progress of reversible topotactic phase transformation. Using real-time optical spectroscopy, the phase transition between the two structurally distinct phases (i.e., brownmillerite and perovskite) is quantitatively monitored, and a pressure-temperature phase diagram of the topotactic transformation is constructed for the first time. The transformation at relatively low temperatures is attributed to a markedly small difference in Gibbs free energy compared to the known similar class of materials to date. This study highlights the phase stability and reversibility of SrFeO x thin films, which is highly relevant for energy and environmental applications exploiting the redox reactions. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  17. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  18. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  19. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  20. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  1. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  2. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  3. Molecular Beam Epitaxy of lithium niobium oxide multifunctional materials

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Doolittle, W. Alan

    2017-04-01

    The role of stoichiometry and growth temperature in the preferential nucleation of material phases in the Li-Nb-O family are explored yielding an empirical growth phase diagram. It is shown that while single parameter variation often produces multi-phase films, combining substrate temperature control with the previously published lithium flux limited growth allows the repeatable growth of high quality single crystalline films of many different oxide phases. Higher temperatures (800-1050 °C) than normally used in MBE were necessary to achieve high quality materials. At these temperatures the desorption of surface species is shown to play an important role in film composition. Using this method single phase films of NbO, NbO2, LiNbO2, Li3NbO4, LiNbO3, and LiNb3O8 have been achieved in the same growth system, all on c-plane sapphire. Finally, the future of these films in functional oxide heterostructures is briefly discussed.

  4. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    Science.gov (United States)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  5. Growth of Ca2MnO4 Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    International Nuclear Information System (INIS)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W.; Grygiel, C.; Rohrer, G. S.; Salvador, P. A.; Velazquez, M.; Kloe, R. de

    2014-01-01

    The local epitaxial growth of pulsed laser deposited Ca 2 MnO 4 films on polycrystalline spark plasma sintered Sr 2 TiO 4 substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca 2 MnO 4 grain had a single OR with the Sr 2 TiO 4 grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001] film ||[100][001] sub . The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides

  6. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  7. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  8. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    Science.gov (United States)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  9. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  10. Persistent semi-metal-like nature of epitaxial perovskite CaIrO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Biswas, Abhijit; Jeong, Yoon Hee, E-mail: yhj@postech.ac.kr [Department of Physics, POSTECH, Pohang 790-784 (Korea, Republic of)

    2015-05-21

    Strong spin-orbit coupled 5d transition metal based ABO{sub 3} oxides, especially iridates, allow tuning parameters in the phase diagram and may demonstrate important functionalities, for example, by means of strain effects and symmetry-breaking, because of the interplay between the Coulomb interactions and strong spin-orbit coupling. Here, we have epitaxially stabilized high quality thin films of perovskite (Pv) CaIrO{sub 3}. Film on the best lattice-matched substrate shows semi-metal-like characteristics. Intriguingly, imposing tensile or compressive strain on the film by altering the underlying lattice-mismatched substrates still maintains semi-metallicity with minute modification of the effective correlation as tensile (compressive) strain results in tiny increases (decreases) of the electronic bandwidth. In addition, magnetoresistance remains positive with a quadratic field dependence. This persistent semi-metal-like nature of Pv-CaIrO{sub 3} thin films with minute changes in the effective correlation by strain may provide new wisdom into strong spin-orbit coupled 5d based oxide physics.

  11. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  12. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  13. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  14. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  15. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  16. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  17. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  18. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  19. Direct Demonstration of the Emergent Magnetism Resulting from the Multivalence Mn in a LaMnO3 Epitaxial Thin Film System

    DEFF Research Database (Denmark)

    Niu, Wei; Liu, Wenqing; Gu, Min

    2018-01-01

    that play a decisive role in the emergence of ferromagnetism in the otherwise antiferromagnetic LaMnO3 thin films are found. Combining spatially resolved electron energy‐loss spectroscopy, X‐ray absorption spectroscopy, and X‐ray magnetic circular dichroism techniques, it is determined unambiguously...... provide a hitherto‐unexplored multivalence state of Mn on the emergent magnetism in undoped manganite epitaxial thin films, such as LaMnO3 and BiMnO3, and shed new light on all‐oxide spintronic devices....

  20. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  1. Solid oxide fuel cell bi-layer anode with gadolinia-doped ceria for utilization of solid carbon fuel

    Energy Technology Data Exchange (ETDEWEB)

    Kellogg, Isaiah D. [Department of Mechanical and Aerospace Engineering, Missouri University of Science and Technology, 290A Toomey Hall, 400 West 13th Street, Rolla, MO 65409 (United States); Department of Materials Science and Engineering, Missouri University of Science and Technology, 223 McNutt Hall, 1400 N. Bishop, Rolla, MO 65409 (United States); Koylu, Umit O. [Department of Mechanical and Aerospace Engineering, Missouri University of Science and Technology, 290A Toomey Hall, 400 West 13th Street, Rolla, MO 65409 (United States); Dogan, Fatih [Department of Materials Science and Engineering, Missouri University of Science and Technology, 223 McNutt Hall, 1400 N. Bishop, Rolla, MO 65409 (United States)

    2010-11-01

    Pyrolytic carbon was used as fuel in a solid oxide fuel cell (SOFC) with a yttria-stabilized zirconia (YSZ) electrolyte and a bi-layer anode composed of nickel oxide gadolinia-doped ceria (NiO-GDC) and NiO-YSZ. The common problems of bulk shrinkage and emergent porosity in the YSZ layer adjacent to the GDC/YSZ interface were avoided by using an interlayer of porous NiO-YSZ as a buffer anode layer between the electrolyte and the NiO-GDC primary anode. Cells were fabricated from commercially available component powders so that unconventional production methods suggested in the literature were avoided, that is, the necessity of glycine-nitrate combustion synthesis, specialty multicomponent oxide powders, sputtering, or chemical vapor deposition. The easily-fabricated cell was successfully utilized with hydrogen and propane fuels as well as carbon deposited on the anode during the cyclic operation with the propane. A cell of similar construction could be used in the exhaust stream of a diesel engine to capture and utilize soot for secondary power generation and decreased particulate pollution without the need for filter regeneration. (author)

  2. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    InP cell as the active layer in a monolithically integrated module. Importantly, TF-VLS growth avoids the hobbles of traditional growth: the epitaxial wafer substrate, low utilization efficiency of expensive metalorganic precursors, and high capital depreciation costs due to low throughput. Production costs are projected to be 0.76/W(DC) for the benchmark case of 12% efficient modules and would decrease to 0.40/W(DC) for the long-term potential case of 24% efficient modules.

  3. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    Science.gov (United States)

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  4. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  5. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  6. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  7. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials.

    Science.gov (United States)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-08

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO 2 epitaxial growth and BaTiO 3 conversion. Through the TiO 2 epitaxial growth on FTO substrate, (001) oriented TiO 2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO 2 NBA was conducted to enlarge the surface area for effective Ba 2+ ion diffusion during the perovskite conversion process from TiO 2 to BaTiO 3 . The final structure of perovskite BaTiO 3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO 3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  8. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials

    Science.gov (United States)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-01

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO2 epitaxial growth and BaTiO3 conversion. Through the TiO2 epitaxial growth on FTO substrate, (001) oriented TiO2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO2 NBA was conducted to enlarge the surface area for effective Ba2+ ion diffusion during the perovskite conversion process from TiO2 to BaTiO3. The final structure of perovskite BaTiO3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  9. Aspects of 'low field' magnetotransport in epitaxial thin films of the ferromagnetic metallic oxide SrRuO3

    International Nuclear Information System (INIS)

    Moran, O.; Saldarriaga, W.; Baca, E.

    2007-01-01

    Epitaxial thin films of the conductive ferromagnetic oxide SrRuO 3 were grown on an (001) SrTiO 3 (STO) substrate by using DC sputtering technique. The magnetic and magnetoresistive properties of the films were measured by applying the magnetic field both perpendicular (out-of-plane) and parallel (in-plane) to the film plane and ever maintaining the direction of the applied field perpendicular to that of the transport current. The films grown on an (001) STO substrate showed identical magnetization properties in two orthogonal crystallographic directions of the substrate, [100] S and [001] S (in-plane and out-of-plane geometry), which suggests the presence of a multi domain structure within the plane of the film. For such samples, no anisotropic field (hard axis) along de [001]s direction, i.e., perpendicular to the film-plane could be detected. Nevertheless, a distinguishable temperature dependent out-of-plane anisotropic magnetoresistance (MR) along with strong temperature dependent low field hysteretic MR(H) behavior was detected for the studied films. A negative MR ratio MR(T)=[ρ(μ 0 H=9T; T)-ρ( μ 0 H=0T; T)]/ρ( μ 0 H=0T; T) on the order of a few percent, with maximums of ∼6% and ∼4% (right at the Curie temperature, T C ∼160K) was calculated for an in-plane and out-of plane measuring geometry, respectively. In addition there is an equally strong MR effect at low temperatures, which might be related to the temperature dependence of the magnetocrystalline anisotropy together with a magnetization rotation. Both the MR(T) behavior and the achieved values (except for T 3 films grown on 2 o miscut (001) STO substrates with the current parallel to the field and parallel to the [1-bar11] direction, which was identified as the easier axis for magnetization

  10. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  11. Epitaxial Pb(Zr,Ti)O3 thin films for a MEMS application

    International Nuclear Information System (INIS)

    Nguyen, Minh D; Vu, Hung N; Blank, Dave H A; Rijnders, Guus

    2011-01-01

    This research presents the deposition and device fabrication of epitaxial Pb(Zr,Ti)O 3 (PZT) thin films for applications in microelectromechanical systems (MEMS). A piezoelectric micro-membrane is described as an example. Using the pulsed laser deposition (PLD) technique and the MEMS microfabrication process, the piezo-membranes with diameters ranging from 200 to 500 μm were obtained. The displacement of piezo-membranes increased from 5.1 to 17.5 nm V −1 with a piezoelectric-membrane diameter in the range of 200–500 μm. Furthermore, the effect of PZT film-thickness on the mechanical properties has been investigated. By using the conductive-oxide SrRuO 3 (SRO) layers as the electrodes, the degradation of both ferroelectric and piezoelectric properties is prevented up to 10 10 switching cycles

  12. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  13. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  14. Direct formation of thin films and epitaxial overlayers at low temperatures using a low-energy (10-500 eV) ion beam deposition system

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Alton, G.D.; Appleton, B.R.; Herbots, N.; Noggle, T.S.; Pennycook, S.J.

    1987-01-01

    A low-energy ion beam deposition system has been developed at Oak Ridge National Laboratory and has been applied successfully to the growth of epitaxial films at low temperatures for a number of different elements. The deposition system utilizes the ion source and optics of a commercial ion implantation accelerator. The 35 keV mass- and energy-analyzed ion beam from the accelerator is decelerated in a four-element electrostatic lens assembly to energies between 10 and 500 eV for direct deposition onto a target under UHV conditions. Current densities on the order of 10 μA/cm 2 are achieved with good uniformity over a 1.4 cm diameter spot. The completed films are characterized by Rutherford backscattering, ion channeling, cross-section transmission electron microscopy, and x-ray diffraction. The effects of substrate temperature, ion energy, and substrate cleaning have been studied. Epitaxial overlayers which show good minimum yields by ion channeling (3 to 4%) have been produced at temperatures as low as 375 0 C for Si on Si(100) and 250 0 C for Ge on Ge(100) at growth rates that exceed the solid-phase epitaxy rates at these temperatures by more than an order of magnitude

  15. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  16. Evaluation of crystallographic strain, rotation and defects in functional oxides by the moiré effect in scanning transmission electron microscopy

    Science.gov (United States)

    Naden, A. B.; O'Shea, K. J.; MacLaren, D. A.

    2018-04-01

    Moiré patterns in scanning transmission electron microscopy (STEM) images of epitaxial perovskite oxides are used to assess strain and defect densities over fields of view extending over several hundred nanometers. The patterns arise from the geometric overlap of the rastered STEM electron beam and the samples’ crystal periodicities and we explore the emergence and application of these moiré fringes for rapid strain analysis. Using the epitaxial functional oxide perovskites BiFeO3 and Pr1-x Ca x MnO3, we discuss the impact of large degrees of strain on the quantification of STEM moiré patterns, identify defects in the fringe patterns and quantify strain and lattice rotation. Such a wide-area analysis of crystallographic strain and defects is crucial for developing structure-function relations of functional oxides and we find the STEM moiré technique to be an attractive means of structural assessment that can be readily applied to low dose studies of damage sensitive crystalline materials.

  17. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  18. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  19. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  20. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  1. Epitaxial Garnets and Hexagonal Ferrites.

    Science.gov (United States)

    1982-04-20

    guide growth of the epitaxial YIG films. Aluminum or gallium substitu- tions for iron were used in combination with lanthanum substitutions for yttrium... gallate spinel sub- strates. There was no difficulty with nucleation in the melt and film quality appeared to be similar to that observed previously...hexagonal ferrites. We succeeded in growing the M-type lead hexaferrite (magnetoplumbite) on gallate spinel substrates. We found that the PbO-based

  2. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  3. Molecular beam epitaxy of GaN(0001) utilizing NH3 and/or NH+x ions: Growth kinetics and defect structure

    International Nuclear Information System (INIS)

    Lee, N.; Powell, R.C.; Kim, Y.; Greene, J.E.

    1995-01-01

    Gas-source molecular beam epitaxy (GS-MBE), utilizing Ga and NH 3 , and reactive-ion MBE (RIMBE), incorporating both thermal NH 3 and low-energy NH + x ions, were used to grow single crystal GaN(0001) layers on Al 2 O 3 (0001) at temperatures T s between 700 and 850 degree C with deposition rates of 0.2--0.5 μm h -1 . The RIMBE experiments were carried out with incident NH + x /Ga flux ratios J NH + x /J Ga =1.9--3.2 and NH + x acceleration energies E NH + x =45--90 eV. Plan-view and cross-sectional transmission electron microscopy analyses showed that the primary defects in the GS-MBE films were threading dislocations having either pure edge or mixed edge/screw characteristics with Burgers vectors bar b=1/3 left-angle 2 bar 1 bar 10 right-angle, basal-plane stacking faults with displacement vectors bar R=1/6 left-angle 02 bar 23 right-angle, and prismatic stacking faults with bar R=1/2 left-angle bar 1101 right-angle. In the case of RIMBE films, no stacking faults or residual ion-induced defects were observed with E NH + x =45 eV and T s ≥800 degree C. However, increasing E NH + x to ≥60 eV at T s =800 degree C gave rise to the formation of residual ion-induced point-defect clusters observable by transmission electron microscopy (TEM). Increasing T s to 850 degree C with E NH + x ≥60 eV resulted in the ion-induced defects aggregating to form interstitial basal and prismatic dislocation loops, whose number densities depended upon the ion flux, with Burgers vectors 1/2 left-angle 0001 right-angle and 1/3 left-angle 2 bar 1 bar 10 right-angle, respectively. (Abstract Truncated)

  4. Interface state density evaluation of high quality hetero-epitaxial 3C–SiC(0 0 1) for high-power MOSFET applications

    Energy Technology Data Exchange (ETDEWEB)

    Anzalone, R., E-mail: ruggero.anzalone@imm.cnr.it; Privitera, S.; Camarda, M.; Alberti, A.; Mannino, G.; Fiorenza, P.; Di Franco, S.; La Via, F.

    2015-08-15

    Graphical abstract: Figure shows the normalized capacitance (C/C{sub OX}) versus voltage (V) for the MOS capacitors on 3 μm, 7 μm thick 3C–SiC films and silicon (as reference), respectively. The shift of the curve respect to the reference is due to the presence of fixed and/or trapped charge in the oxide and interface trapped charge, due to the presence of interface states of density D{sub it}, located at the semiconductor/oxide interface. - Highlights: • We analyzed the flat-band voltage shift for different semiconductor epi-thickness. • The interface state density as a function of epi-defects was evaluated. • We observed the relationship between XRD and C–V results. • Epitaxial thickness influence on interface state density was evaluated. - Abstract: The effects of the crystal quality and surface morphology on the electrical properties of MOS capacitors have been studied in devices manufactured on 3C–SiC epitaxial layers grown on silicon (1 0 0) substrate. The interface state density, which represents one of the most important parameters, has been determined through capacitance measurements. A cross-correlation between high resolution X-ray diffraction, AFM analysis and electrical conductance measurements has allowed to determine the relationship between the crystalline quality and the interface state density. A decrease of the interface state density down to about 10{sup 11} cm{sup −2} eV{sup −1} was observed with improving the crystalline quality.

  5. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  6. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  7. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  8. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O{sub 2} molecules

    Energy Technology Data Exchange (ETDEWEB)

    Friedlein, R.; Yamada-Takamura, Y. [Japan Advanced Institute of Science and Technology, School of Materials Science, Nomi, Ishikawa 923-1292 (Japan); Van Bui, H.; Wiggers, F. B.; Kovalgin, A. Y.; Jong, M. P. de, E-mail: M.P.deJong@utwente.nl [MESA Institute for Nanotechnology, University of Twente, 7500 AE Enschede (Netherlands)

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O{sub 2} molecules, as well as the combination of both, with epitaxial silicene on thin ZrB{sub 2}(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O{sub 2} gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O{sub 2} molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  9. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  10. The Ehrlich–Schwoebel barrier on an oxide surface: a combined Monte-Carlo and in situ scanning tunneling microscopy approach

    International Nuclear Information System (INIS)

    Gianfrancesco, Anthony G; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V; Vasudevan, Rama K

    2015-01-01

    The controlled growth of epitaxial films of complex oxides requires an atomistic understanding of key parameters determining final film morphology, such as termination dependence on adatom diffusion, and height of the Ehrlich–Schwoebel (ES) barrier. Here, through an in situ scanning tunneling microscopy study of mixed-terminated La_5_/_8Ca_3_/_8MnO_3 (LCMO) films, we image adatoms and observe pile-up at island edges. Image analysis allows determination of the population of adatoms at the edge of islands and fractions on A-site and B-site terminations. A simple Monte-Carlo model, simulating the random walk of adatoms on a sinusoidal potential landscape using Boltzmann statistics is used to reproduce the experimental data, and provides an estimate of the ES barrier as ∼0.18 ± 0.04 eV at T = 1023 K, similar to those of metal adatoms on metallic surfaces. These studies highlight the utility of in situ imaging, in combination with basic Monte-Carlo methods, in elucidating the factors which control the final film growth in complex oxides. (paper)

  11. The Ehrlich-Schwoebel barrier on an oxide surface: a combined Monte-Carlo and in situ scanning tunneling microscopy approach.

    Science.gov (United States)

    Gianfrancesco, Anthony G; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V; Vasudevan, Rama K

    2015-11-13

    The controlled growth of epitaxial films of complex oxides requires an atomistic understanding of key parameters determining final film morphology, such as termination dependence on adatom diffusion, and height of the Ehrlich-Schwoebel (ES) barrier. Here, through an in situ scanning tunneling microscopy study of mixed-terminated La5/8Ca3/8MnO3 (LCMO) films, we image adatoms and observe pile-up at island edges. Image analysis allows determination of the population of adatoms at the edge of islands and fractions on A-site and B-site terminations. A simple Monte-Carlo model, simulating the random walk of adatoms on a sinusoidal potential landscape using Boltzmann statistics is used to reproduce the experimental data, and provides an estimate of the ES barrier as ∼0.18 ± 0.04 eV at T = 1023 K, similar to those of metal adatoms on metallic surfaces. These studies highlight the utility of in situ imaging, in combination with basic Monte-Carlo methods, in elucidating the factors which control the final film growth in complex oxides.

  12. The Ehrlich-Schwoebel barrier on an oxide surface: a combined Monte-Carlo and in situ scanning tunneling microscopy approach

    Science.gov (United States)

    Gianfrancesco, Anthony G.; Tselev, Alexander; Baddorf, Arthur P.; Kalinin, Sergei V.; Vasudevan, Rama K.

    2015-11-01

    The controlled growth of epitaxial films of complex oxides requires an atomistic understanding of key parameters determining final film morphology, such as termination dependence on adatom diffusion, and height of the Ehrlich-Schwoebel (ES) barrier. Here, through an in situ scanning tunneling microscopy study of mixed-terminated La5/8Ca3/8MnO3 (LCMO) films, we image adatoms and observe pile-up at island edges. Image analysis allows determination of the population of adatoms at the edge of islands and fractions on A-site and B-site terminations. A simple Monte-Carlo model, simulating the random walk of adatoms on a sinusoidal potential landscape using Boltzmann statistics is used to reproduce the experimental data, and provides an estimate of the ES barrier as ˜0.18 ± 0.04 eV at T = 1023 K, similar to those of metal adatoms on metallic surfaces. These studies highlight the utility of in situ imaging, in combination with basic Monte-Carlo methods, in elucidating the factors which control the final film growth in complex oxides.

  13. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  14. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  15. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  16. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  17. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  18. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  19. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  20. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  1. Oxidation-assisted graphene heteroepitaxy on copper foil.

    Science.gov (United States)

    Reckinger, Nicolas; Tang, Xiaohui; Joucken, Frédéric; Lajaunie, Luc; Arenal, Raul; Dubois, Emmanuel; Hackens, Benoît; Henrard, Luc; Colomer, Jean-François

    2016-11-10

    We propose an innovative, easy-to-implement approach to synthesize aligned large-area single-crystalline graphene flakes by chemical vapor deposition on copper foil. This method doubly takes advantage of residual oxygen present in the gas phase. First, by slightly oxidizing the copper surface, we induce grain boundary pinning in copper and, in consequence, the freezing of the thermal recrystallization process. Subsequent reduction of copper under hydrogen suddenly unlocks the delayed reconstruction, favoring the growth of centimeter-sized copper (111) grains through the mechanism of abnormal grain growth. Second, the oxidation of the copper surface also drastically reduces the nucleation density of graphene. This oxidation/reduction sequence leads to the synthesis of aligned millimeter-sized monolayer graphene domains in epitaxial registry with copper (111). The as-grown graphene flakes are demonstrated to be both single-crystalline and of high quality.

  2. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  3. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  4. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  5. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  6. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  7. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  8. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  9. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  10. Atomic oxygen effect on the in situ growth of stoichiometric YBa2Cu3O7 - delta epitaxial films by facing targets 90° off-axis radiofrequency magnetron sputtering

    Science.gov (United States)

    Oya, Gin-ichiro; Diao, Chien Chen; Imai, Syozo; Uzawa, Takaaki; Sawada, Yasuji; Sugai, Tokuko; Nakajima, Kensuke; Yamashita, Tsutomu

    1995-06-01

    (110)- and (103)-oriented almost stoichiometric YBa2Cu3O7-δ (YBCO) films have been grown epitaxially on hot SrTiO3 (110) substrates using a 90° off-axis rf magnetron sputtering technique, for fabrication of vertical sandwich-type YBCO/insulator/YBCO or YBCO/normal metal/YBCO Josephson junctions utilizing the high-quality YBCO films. The YBCO epitaxial films with high transition temperatures Tc of ˜90 K have been deposited in situ only under the conditions of substrate temperatures Ts of ˜650-˜700 °C and oxygen partial pressure PO2 of ˜5×10-3-˜10×10-3 Torr, which are in close proximity to the critical stability/decomposition line for YBa2Cu3O6 in the ordinary Y-Ba-Cu-O phase diagram. Using a quadrupole mass spectrometer, a high density of atomic oxygen has directly been observed to be efficiently produced in the sputter glow discharge under the above optimum conditions of PO2. This atomic oxygen has played a key role in promoting the formation of the perovskite structure and the epitaxial growth of the YBCO films. Furthermore, Shapiro steps have successfully been observed for a Nb-YBCO point-contact junction, which is made by pressing a Nb needle on a surface-etched YBCO epitaxial film, under 525.4 GHz submillimeter-wave irradiation.

  11. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  12. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  13. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  14. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  15. The novel zinc cluster regulator Tog1 plays important roles in oleate utilization and oxidative stress response in Saccharomyces cerevisiae

    Energy Technology Data Exchange (ETDEWEB)

    Thepnok, Piyasuda; Ratanakhanokchai, Khanok; Soontorngun, Nitnipa, E-mail: nitnipa.soo@kmutt.ac.th

    2014-08-08

    Highlights: • TOG1 deletion results in defective growth on non-fermentable carbon sources. • Removal of TOG1 sensitizes cells to oxidative stress. • Tog1 directly binds and activates expression of oleate utilizing genes. • The Δtog1 cells display reduced peroxisomal content in oleate culture. • S. cerevisiae zinc cluster Tog1 is a novel activator of oleate utilization. - Abstract: Many zinc cluster proteins have been shown to play a role in the transcriptional regulation of glucose-repressible genes during glucose exhaustion and diauxic shift. Here, we studied an additional member of this family called Yer184c (herein called Tog1) for transcriptional regulator of oleate. Our results showed that a Δtog1 strain displays impaired growth with several non-fermentable carbons. Tog1 is also implicated in oxidative stress tolerance. Importantly, during the glucose–oleate shift, combined results from quantitative real time-PCR and chromatin immunoprecipitation (ChIP) experiments showed that Tog1 acts as a direct activator of oleate utilizing genes, encoded key enzymes in β-Oxidation and NADPH regeneration (POX1, FOX2, POT1 and IDP2), the glyoxylate shunt (MLS1 and ICL1), and gluconeogenesis (PCK1 and FBP1). A transmission electron microscopy (TEM) analysis of the Δtog1 strain assayed with oleate also revealed a substantial decrease in peroxisome abundance that is vital for fatty acid oxidation. Overall, our results clearly demonstrated that Tog1 is a newly characterized zinc cluster regulator that functions in the complex network of non-fermentable carbon metabolism in Saccharomycescerevisiae.

  16. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Comes, Ryan B. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA; Department of Physics, Auburn University, Auburn AL 36849 USA; Perea, Daniel E. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland WA 99352 USA; Spurgeon, Steven R. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Using complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.

  17. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  18. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    Energy Technology Data Exchange (ETDEWEB)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.; Yamada, S.; Hamaya, K. [Graduate School of Engineering Science, Osaka University, Machkaneyama 1-3, Toyonaka, Osaka 560-8531 (Japan); Nohira, H. [Tokyo City University, 1-28-1 Tamazutumi, Setagaya-ku, Tokyo 158-8557 (Japan)

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we find that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.

  19. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO3)n films by means of metalorganic aerosol deposition

    International Nuclear Information System (INIS)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.; Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G.

    2014-01-01

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO 3 ) n (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO 3 (001) substrates by means of a sequential deposition of Sr-O/Ti-O 2 atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO 3 ) 4 block at the level of 2.4%. This identifies the SrTiO 3 substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy

  20. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  1. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W., E-mail: wilfrid.prellier@ensicaen.fr [Laboratoire CRISMAT, CNRS UMR 6508, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Grygiel, C. [Laboratoire CIMAP, CEA, CNRS UMR 6252, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Rohrer, G. S.; Salvador, P. A. [Department of Materials Science and Engineering, Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, Pennsylvania 15213 (United States); Velazquez, M. [CNRS, Université de Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Kloe, R. de [AMETEK B.V, EDAX Application Laboratory, Tilburg (Netherlands)

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.

  2. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  3. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  4. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  5. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  6. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  7. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  8. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  9. Band gap and mobility of epitaxial perovskite BaSn1 -xHfxO3 thin films

    Science.gov (United States)

    Shin, Juyeon; Lim, Jinyoung; Ha, Taewoo; Kim, Young Mo; Park, Chulkwon; Yu, Jaejun; Kim, Jae Hoon; Char, Kookrin

    2018-02-01

    A wide band-gap perovskite oxide BaSn O3 is attracting much attention due to its high electron mobility and oxygen stability. On the other hand, BaHf O3 was recently reported to be an effective high-k gate oxide. Here, we investigate the band gap and mobility of solid solutions of BaS n1 -xH fxO3 (x =0 -1 ) (BSHO) as a basis to build advanced perovskite oxide heterostructures. All the films were epitaxially grown on MgO substrates using pulsed laser deposition. Density functional theory calculations confirmed that Hf substitution does not create midgap states while increasing the band gap. From x-ray diffraction and optical transmittance measurements, the lattice constants and the band-gap values are significantly modified by Hf substitution. We also measured the transport properties of n -type La-doped BSHO films [(Ba ,La ) (Sn ,Hf ) O3 ] , investigating the feasibility of modulation doping in the BaSn O3/BSHO heterostructures. The Hall measurement data revealed that, as the Hf content increases, the activation rate of the La dopant decreases and the scattering rate of the electrons sharply increases. These properties of BSHO films may be useful for applications in various heterostructures based on the BaSn O3 system.

  10. Heterogeneous Partial (ammOxidation and Oxidative Dehydrogenation Catalysis on Mixed Metal Oxides

    Directory of Open Access Journals (Sweden)

    Jacques C. Védrine

    2016-01-01

    Full Text Available This paper presents an overview of heterogeneous partial (ammoxidation and oxidative dehydrogenation (ODH of hydrocarbons. The review has been voluntarily restricted to metal oxide-type catalysts, as the partial oxidation field is very broad and the number of catalysts is quite high. The main factors of solid catalysts for such reactions, designated by Grasselli as the “seven pillars”, and playing a determining role in catalytic properties, are considered to be, namely: isolation of active sites (known to be composed of ensembles of atoms, Me–O bond strength, crystalline structure, redox features, phase cooperation, multi-functionality and the nature of the surface oxygen species. Other important features and physical and chemical properties of solid catalysts, more or less related to the seven pillars, are also emphasized, including reaction sensitivity to metal oxide structure, epitaxial contact between an active phase and a second phase or its support, synergy effect between several phases, acid-base aspects, electron transfer ability, catalyst preparation and activation and reaction atmospheres, etc. Some examples are presented to illustrate the importance of these key factors. They include light alkanes (C1–C4 oxidation, ethane oxidation to ethylene and acetic acid on MoVTe(SbNb-O and Nb doped NiO, propene oxidation to acrolein on BiMoCoFe-O systems, propane (ammoxidation to (acrylonitrile acrylic acid on MoVTe(SbNb-O mixed oxides, butane oxidation to maleic anhydride on VPO: (VO2P2O7-based catalyst, and isobutyric acid ODH to methacrylic acid on Fe hydroxyl phosphates. It is shown that active sites are composed of ensembles of atoms whose size and chemical composition depend on the reactants to be transformed (their chemical and size features and the reaction mechanism, often of Mars and van Krevelen type. An important aspect is the fact that surface composition and surface crystalline structure vary with reaction on stream until

  11. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  12. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  13. Anisotropic ferromagnetic behaviors in highly orientated epitaxial NiO-based thin films

    Directory of Open Access Journals (Sweden)

    Yu-Jun Zhang

    2015-07-01

    Full Text Available Antiferromagnetic materials attract a great amount of attention recently for promising antiferromagnet-based spintronics applications. NiO is a conventional antiferromagnetic semiconductor material and can show ferromagnetism by doping other magnetic elements. In this work, we synthesized epitaxial Fe-doped NiO thin films on SrTiO3 substrates with various crystal orientations by pulsed laser deposition. The room-temperature ferromagnetism of these films is anisotropic, including the saturated magnetization and the coercive field. The anisotropic magnetic behaviors of Fe-doped NiO diluted magnetic oxide system should be closely correlated to the magnetic structure of antiferromagnetic NiO base. Within the easy plane of NiO, the coercive field of the films becomes smaller, and larger coercive field while tested out of the easy plane of NiO. The saturated magnetization anisotropy is due to different strain applied by different substrates. These results lead us to more abundant knowledge of the exchange interactions in this conventional antiferromagnetic system.

  14. A critical discussion of the vacancy diffusion model of ion beam induced epitaxial crystallization

    International Nuclear Information System (INIS)

    Heera, V.

    1989-01-01

    A simple vacancy diffusion model of ion beam induced epitaxial crystallization of silicon including divacancy formation is developed. The model reproduces some of the experimental findings, as e.g. the dose rate dependence of the crystallization rate. However, the measured activation energy of the ion beam induced epitaxial crystallization cannot be accounted for by vacancy diffusion alone. (author)

  15. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  16. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  17. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  18. Strain induced ionic conductivity enhancement in epitaxial Ce0.9Gd0.1O22d

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Esposito, Vincenzo; Pryds, Nini

    2012-01-01

    -plane ionic conductivity in CGO epitaxial thin films. The ionic conductivity is found to increase with decrease in buffer layer thickness. The tailored ionic conductivity enhancement is explained in terms of close relationships among epitaxy, strain, and ionic conductivity....

  19. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  20. Atomically layer-by-layer diffusion of oxygen/hydrogen in highly epitaxial PrBaCo{sub 2}O{sub 5.5+δ} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin, E-mail: cl.chen@utsa.edu [Department of Physics and Astronomy, University of Texas, San Antonio, Texas 78249 (United States); Zhang, Yamei [Department of Physics, Jiangsu University of Science and Technology, Zhenjiang, Jiangsu 212003 (China); Whangbo, Myung-Hwan [North Carolina State University, Raleigh, North Carolina 27695-8204 (United States); Dong, Chuang; Zhang, Qinyu [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024 (China)

    2015-12-14

    Single-crystalline epitaxial thin films of PrBaCo{sub 2}O{sub 5.5+δ} (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200–800 °C. During the oxidation cycle under O{sub 2}, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co{sup 2+}/Co{sup 3+} → Co{sup 3+} and Co{sup 3+} → Co{sup 3+}/Co{sup 4+}, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO{sub 2})(PrO)(CoO{sub 2}) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.

  1. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  2. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  3. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  4. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  5. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  6. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  7. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  8. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  9. Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers

    International Nuclear Information System (INIS)

    Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng

    2015-01-01

    Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG

  10. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  11. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  12. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, S.; Sugimoto, K.; Shugyo, S.; Matsuda, S. [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan); Hirao, T. [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan)

    1998-12-01

    Single-Event Burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs, including small signal transistors, with thinner epitaxial layers were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified.

  13. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers

    International Nuclear Information System (INIS)

    Jana, Dipankar; Porwal, S.; Sharma, T. K.; Oak, S. M.; Kumar, Shailendra

    2014-01-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates

  14. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  15. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  16. Molecular beam epitaxy of InP single junction and InP/In0.53Ga0.47As monolithically integrated tandem solar cells using solid phosphorous source material

    International Nuclear Information System (INIS)

    Delaney, A.; Chin, K.; Street, S.; Newman, F.; Aguilar, L.; Ignatiev, A.; Monier, C.; Velela, M.; Freundlich, A.

    1998-01-01

    This work reports the first InP solar cells, InP/In 0.53 Ga 0.47 As tandem solar cells and InP tunnel junctions to be grown using a solid phosphorous source cracker cell in a molecular beam epitaxy system. High p-type doping achieved with this system allowed for the development of InP tunnel junctions. These junctions which allow for improved current matching in subsequent monolithically integrated tandem devices also do not absorb photons which can be utilized in the InGaAs structure. Photocurrent spectral responses compared favorably to devices previously grown in a chemical beam epitaxy system. High resolution x-ray scans demonstrated good lattice matching between constituent parts of the tandem cell. AM0 efficiencies of both InP and InP/InGaAs tandem cells are reported

  17. Epitaxy of advanced nanowire quantum devices

    Science.gov (United States)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  18. Microwave impedance of epitaxial high-temperature superconductor films

    International Nuclear Information System (INIS)

    Melkov, G.A.; Malyshev, V.Yu.; Bagada, A.V.

    1995-01-01

    In the 3 cm band dependences of the epitaxial HTS film surface resistance on the magnitude of ac and dc magnetic fields have been measured. YBa 2 Cu 3 O 7-σ films on sapphire were investigated. It was established that alternating magnetic field produces a stronger impact on the surface resistance than dc field. To explain experimental results the assumption is made that a HTS film is not an ideal superconductor and consists of series-connected sections of various types: sections of an ideal superconductor, sections of low and large resistance intragranular Josephson junctions, shunted by the ideal superconductor, and finally, sections of intergranular Josephson junctions few for epitaxial films. In these conditions the dependences of the surface resistance on dc magnetic field are caused by Abrikosov's vortices moving in ideal superconductive sections, and dependences on the amplitude of ac magnetic field are caused by switching of large resistance junctions to a low resistance state

  19. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  20. Method of preparing and utilizing a catalyst system for an oxidation process on a gaseous hydrocarbon stream

    Science.gov (United States)

    Berry, David A; Shekhawat, Dushyant; Smith, Mark; Haynes, Daniel

    2013-07-16

    The disclosure relates to a method of utilizing a catalyst system for an oxidation process on a gaseous hydrocarbon stream with a mitigation of carbon accumulation. The system is comprised of a catalytically active phase deposited onto an oxygen conducting phase, with or without supplemental support. The catalytically active phase has a specified crystal structure where at least one catalytically active metal is a cation within the crystal structure and coordinated with oxygen atoms within the crystal structure. The catalyst system employs an optimum coverage ratio for a given set of oxidation conditions, based on a specified hydrocarbon conversion and a carbon deposition limit. Specific embodiments of the catalyst system are disclosed.

  1. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  2. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  3. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  4. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping; Wang, Xinqiang; Wang, Tao; Tan, Chih Shan; Sheng, Bowen; Sun, Xiaoxiao; Li, Mo; Rong, Xin; Zheng, Xiantong; Chen, Zhaoying; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Zhang, Jian; Zhang, Xixiang; Shen, Bo

    2017-01-01

    -resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N

  5. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  6. The local environment of cobalt in amorphous, polycrystalline and epitaxial anatase TiO{sub 2}:Co films produced by cobalt ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Yildirim, O. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Technische Universität Dresden, D-01062 Dresden (Germany); Cornelius, S.; Hübner, R.; Potzger, K. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Smekhova, A.; Zykov, G.; Gan' shina, E. A.; Granovsky, A. B. [Lomonosov Moscow State University (MSU), Faculty of Physics, 119991 Moscow (Russian Federation); Bähtz, C. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Rossendorf Beamline, European Synchrotron Radiation Facility, F-38043 Grenoble (France)

    2015-05-14

    Amorphous, polycrystalline anatase and epitaxial anatase TiO{sub 2} films have been implanted with 5 at. % Co{sup +}. The magnetic and structural properties of different microstructures of TiO{sub 2}:Co, along with the local coordination of the implanted Co atoms within the host lattice are investigated. In amorphous TiO{sub 2}:Co film, Co atoms are in the (II) oxidation state with a complex coordination and exhibit a paramagnetic response. However, for the TiO{sub 2}:Co epitaxial and polycrystalline anatase films, Co atoms have a distorted octahedral (II) oxygen coordination assigned to a substitutional environment with traces of metallic Co clusters, which gives a rise to a superparamagnetic behavior. Despite the incorporation of the implanted atoms into the host lattice, high temperature ferromagnetism is absent in the films. On the other hand, it is found that the concentration and size of the implantation-induced nanoclusters and the magnetic properties of TiO{sub 2}:Co films have a strong dependency on the initial microstructure of TiO{sub 2}. Consequently, metallic nanocluster formation within ion implantation prepared transition metal doped TiO{sub 2} can be suppressed by tuning the film microstructure.

  7. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V. [Erstes Physikalisches Institut, Universität Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G. [EMAT, University of Antwerp, Groenenborgerlaan 171, 2020 Antwerp (Belgium)

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.

  8. Epitaxial lift-off for solid-state cavity quantum electrodynamics

    International Nuclear Information System (INIS)

    Greuter, Lukas; Najer, Daniel; Kuhlmann, Andreas V.; Starosielec, Sebastian; Warburton, Richard J.; Valentin, Sascha R.; Ludwig, Arne; Wieck, Andreas D.

    2015-01-01

    We demonstrate an approach to incorporate self-assembled quantum dots into a Fabry-Pérot-like microcavity. Thereby, a 3λ/4 GaAs layer containing quantum dots is epitaxially removed and attached by van der Waals bonding to one of the microcavity mirrors. We reach a finesse as high as 4100 with this configuration limited by the reflectivity of the dielectric mirrors and not by scattering at the semiconductor-mirror interface, demonstrating that the epitaxial lift-off procedure is a promising procedure for cavity quantum electrodynamics in the solid state. As a first step in this direction, we demonstrate a clear cavity-quantum dot interaction in the weak coupling regime with a Purcell factor in the order of 3. Estimations of the coupling strength via the Purcell factor suggest that we are close to the strong coupling regime

  9. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, Satoshi; Sugimoto, Kenji; Matsuda, Sumio [National Space Development Agency of Japan, Ysukuba, Ibaraki (Japan); Hirao, Toshio

    1998-10-01

    Single-event burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs including small signal transistors with thinner epitaxial layer were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified. (author)

  10. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  11. Angle-resolved photoemission spectroscopy of strontium lanthanum copper oxide thin films grown by molecular-beam epitaxy

    Science.gov (United States)

    Harter, John Wallace

    Among the multitude of known cuprate material families and associated structures, the archetype is "infinite-layer" ACuO2, where perfectly square and flat CuO2 planes are separated by layers of alkaline earth atoms. The infinite-layer structure is free of magnetic rare earth ions, oxygen chains, orthorhombic distortions, incommensurate superstructures, ordered vacancies, and other complications that abound among the other material families. Furthermore, it is the only cuprate that can be made superconducting by both electron and hole doping, making it a potential platform for decoding the complex many-body interactions responsible for high-temperature superconductivity. Research on the infinite-layer compound has been severely hindered by the inability to synthesize bulk single crystals, but recent progress has led to high-quality superconducting thin film samples. Here we report in situ angle-resolved photoemission spectroscopy measurements of epitaxially-stabilized Sr1-chiLa chiCuO2 thin films grown by molecular-beam epitaxy. At low doping, the material exhibits a dispersive lower Hubbard band typical of other cuprate parent compounds. As carriers are added to the system, a continuous evolution from Mott insulator to superconducting metal is observed as a coherent low-energy band develops on top of a concomitant remnant lower Hubbard band, gradually filling in the Mott gap. For chi = 0.10, our results reveal a strong coupling between electrons and (pi,pi) anti-ferromagnetism, inducing a Fermi surface reconstruction that pushes the nodal states below the Fermi level and realizing nodeless superconductivity. Electron diffraction measurements indicate the presence of a surface reconstruction that is consistent with the polar nature of Sr1-chiLachiCuO2. Most knowledge about the electron-doped side of the cuprate phase diagram has been deduced by generalizing from a single material family, Re2-chi CechiCuO4, where robust antiferromagnetism has been observed past chi

  12. X-ray magnetic circular dichroism study of epitaxial magnetite ultrathin film on MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Liu, W. Q.; Xu, Y. B., E-mail: yongbing.xu@york.ac.uk, E-mail: rzhang@nju.edu.cn [York-Nanjing International Center for Spintronics (YNICS), School of Electronics Science and Engineering, Nanjing University, Nanjing 210093 (China); Spintronics and Nanodevice Laboratory, Department of Electronics, University of York, York YO10 5DD (United Kingdom); Song, M. Y.; Lin, J. G. [Center for Condensed Matter Sciences, National Taiwan University, Taipei 106, Taiwan (China); Maltby, N. J.; Li, S. P. [Spintronics and Nanodevice Laboratory, Department of Electronics, University of York, York YO10 5DD (United Kingdom); Samant, M. G.; Parkin, S. S. P. [IBM Research Division, Almaden Research Center, San Jose, California 95120 (United States); Bencok, P.; Steadman, Paul; Dobrynin, Alexey [Diamond Light Source, Didcot OX11 0DE (United Kingdom); Zhang, R., E-mail: yongbing.xu@york.ac.uk, E-mail: rzhang@nju.edu.cn [York-Nanjing International Center for Spintronics (YNICS), School of Electronics Science and Engineering, Nanjing University, Nanjing 210093 (China)

    2015-05-07

    The spin and orbital magnetic moments of the Fe{sub 3}O{sub 4} epitaxial ultrathin film synthesized by plasma assisted simultaneous oxidization on MgO(100) have been studied with X-ray magnetic circular dichroism. The ultrathin film retains a rather large total magnetic moment, i.e., (2.73 ± 0.15) μ{sub B}/f.u., which is ∼70% of that for the bulk-like Fe{sub 3}O{sub 4}. A significant unquenched orbital moment up to 0.54 ± 0.05 μ{sub B}/f.u. was observed, which could come from the symmetry breaking at the Fe{sub 3}O{sub 4}/MgO interface. Such sizable orbital moment will add capacities to the Fe{sub 3}O{sub 4}-based spintronics devices in the magnetization reversal by the electric field.

  13. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  14. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  15. Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))

    2008-10-15

    Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)

  16. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  17. Thorium utilization as a Pu-burner: proposal of Plutonium-Thorium Mixed Oxide (PT-MOX) Project

    International Nuclear Information System (INIS)

    Aizawa, Otohiko

    2000-01-01

    In this paper, a Pu-Th mixed oxide (PT-MOX) project is proposed for a thorium utilization and a plutonium burning. None of plutonium can be newly produced from PT-MOX fuel, and the plutonium mass of about 1 ton can be consumed with one reactor (total heavy metal assumed: 100 tons) for 1 year. In order to consume plutonium produced from usual Light Water Reactor, it should be better to operate one PT-MOX reactor for three to five Light Water Reactors. (author)

  18. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  19. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  20. The Low Temperature Epitaxy of Strained GeSn Layers Using RTCVD System

    Science.gov (United States)

    Kil, Yeon-Ho; Yuk, Sim-Hoon; Jang, Han-Soo; Lee, Sang-Geul; Choi, Chel-Jong; Shim, Kyu-Hwan

    2018-03-01

    We have investigated the low temperature (LT) growth of GeSn-Ge-Si structures using rapid thermal chemical vapor deposition system utilizing Ge2H6 and SnCl4 as the reactive precursors. Due to inappropriate phenomena, such as, Ge etch and Sn segregation, it was hard to achieve high quality GeSn epitaxy at the temperature > 350 °C. On the contrary, we found that the SnCl4 promoted the reaction of Ge2H6 precursors in a certain process condition of LT, 240-360 °C. In return, we could perform the growth of GeSn epi layer with 7.7% of Sn and its remaining compressive strain of 71.7%. The surface propagated defects were increased with increasing the Sn content in the GeSn layer confirmed by TEM analysis. And we could calculate the activation energies at lower GeSn growth temperature regime using by Ge2H6 and SnCl4 precursors about 0.43 eV.

  1. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  2. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  3. Selenidation of epitaxial silicene on ZrB2

    NARCIS (Netherlands)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ±

  4. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  5. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  6. Concentric Multiple Rings by Droplet Epitaxy: Fabrication and Study of the Morphological Anisotropy

    Directory of Open Access Journals (Sweden)

    Somaschini C

    2010-01-01

    Full Text Available Abstract We present the Molecular Beam Epitaxy fabrication of complex GaAs/AlGaAs nanostructures by Droplet Epitaxy, characterized by the presence of concentric multiple rings. We propose an innovative experimental procedure that allows the fabrication of individual portions of the structure, controlling their diameter by only changing the substrate temperature. The obtained nanocrystals show a significant anisotropy between [110] and [1–10] crystallographic directions, which can be ascribed to different activation energies for the Ga atoms migration processes.

  7. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  8. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  9. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  10. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  11. Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO3 interface (invited)

    International Nuclear Information System (INIS)

    Radaelli, G.; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R.

    2014-01-01

    Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO 3 (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures

  12. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  13. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  14. Polarization-coupled tunable resistive behavior in oxide ferroelectric heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Gruverman, Alexei [Univ. of Nebraska, Lincoln, NE (United States); Tsymbal, Evgeny Y. [Univ. of Nebraska, Lincoln, NE (United States); Eom, Chang-Beom [Univ. of Wisconsin, Madison, WI (United States)

    2017-05-03

    This research focuses on investigation of the physical mechanism of the electrically and mechanically tunable resistive behavior in oxide ferroelectric heterostructures with engineered interfaces realized via a strong coupling of ferroelectric polarization with tunneling electroresistance and metal-insulator (M-I) transitions. This report describes observation of electrically conductive domain walls in semiconducting ferroelectrics, voltage-free control of resistive switching and demonstration of a new mechanism of electrical control of 2D electron gas (2DEG) at oxide interfaces. The research goals are achieved by creating strong synergy between cutting-edge fabrication of epitaxial single-crystalline complex oxides, nanoscale electrical characterization by scanning probe microscopy and theoretical modeling of the observed phenomena. The concept of the ferroelectric devices with electrically and mechanically tunable nonvolatile resistance represents a new paradigm shift in realization of the next-generation of non-volatile memory devices and low-power logic switches.

  15. A study on the utilization of chromic oxide wastes

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Seung Woong; Kim, Chi Kwon; Hwang, Seon Kook; Kim, Byung Gyu; Son, Jeong Soo; Nam, Chul Woo [Korea Inst. of Geology Mining and Materials, Taejon (Korea, Republic of)

    1995-12-01

    This study was carried out to develop the optimum process for recovering precious and valuable metals from chrome oxide wastes. The following subjects such as, (1) feasibility on the recovery of precious and valuable metals, (2) recovery rate of precious and valuable metals, (3) purification of extracted precious and valuable metals, and (4) environmental aspects of recovery process, were investigated and the main results are as follows. (1) With Sem analysis of chrome oxide wastes, it was found that combustion process for eliminating oil and water in wastes, was necessary. (2) After leaching chrome oxide wastes with nitric acid and aqua regia, silver and gold were effectively separated and recovered. But a lot of silver and gold were remaining in the leaching residue. It was considered that chrome oxide powder was coated with organic materials during polishing stage and their products were insoluble in acidic solution. (3) The optimum process for separation and recovery of precious metal and production of new chrome oxide is consist of several process such as perchloric acid leaching, recovery of silver chloride, cementation for gold, reduction of chrome ion, and production of pure chrome oxide. (4) For separating chrome compound from the chrome oxide waste occurred in stainless steel polishing process, alkali roasting process was suggested. (author). 18 refs., 29 figs., 11 tabs.

  16. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  17. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  18. Defect mediated reversible ferromagnetism in Co and Mn doped zinc oxide epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Mal, Siddhartha; Nori, Sudhakar; Narayan, J. [Department of Material Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Mula, Suhrit [Department of Material Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Department of Metallurgical and Materials Engineering, National Institute of Technology, Rourkela 769008 (India); Prater, J. T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States)

    2012-12-01

    We have introduced defects in ZnO (undoped and doped with Co and Mn) epitaxial thin films using laser irradiation from nanosecond laser pulses and thermal annealing in oxygen ambient. In contrast to the as grown samples, the laser irradiated films show a significant increase in conductivity, enhancement in UV emission, while maintaining the same wurtzite crystal structure. Room-temperature ferromagnetism (RTFM) is observed in laser-irradiated samples, which increased with the number of laser pulses up to a certain value where magnetic moment saturates. The induced ferromagnetism as well as the enhanced electrical conductivity can be reversed with thermal annealing in oxygen ambient. The magnetization in Co and Mn doped films was found to be strong function of growth conditions and defect concentration. X-ray diffraction and optical absorption experiments suggested a 2+ valance state and tetrahedral coordination for both Co and Mn ions. There is a simultaneous increase in n-type electrical conductivity with the number of laser pulses and continue to exhibit semiconducting behavior in both undoped and doped films. The saturation magnetization was found to be 0.08 {mu}{sub B}/Co and 0.05 {mu}{sub B}/Mn, much lower than 3.0 {mu}{sub B}/Co and 5.0 {mu}{sub B}/Mn, indicating the prominent role of intrinsic defects in RTFM with some contribution from Co{sup 2+}-oxygen vacancy complexes. We propose a unified mechanism based upon introduction of intrinsic defects to explain RTFM and n-type conductivity enhancements during pulsed laser and thermal annealing.

  19. Structural characterization of oxidized allotaxially grown CoSi2 layers by x-ray scattering

    International Nuclear Information System (INIS)

    Kaendler, I. D.; Seeck, O. H.; Schlomka, J.-P.; Tolan, M.; Press, W.; Stettner, J.; Kappius, L.; Dieker, C.; Mantl, S.

    2000-01-01

    A series of buried CoSi 2 layers prepared by a modified molecular beam epitaxy process (allotaxy) and a subsequent wet-oxidation process was investigated by x-ray scattering. The oxidation time which determines the depth in which the CoSi 2 layers are located within the Si substrates has been varied during the preparation. The electron density profiles and the structure of the interfaces were extracted from specular reflectivity and diffuse scattering measurements. Crystal truncation rod investigations yielded the structure on an atomic level (crystalline quality). It turns out that the roughness of the CoSi 2 layers increases drastically with increasing oxidation time, i.e., with increasing depth of the buried layers. Furthermore, the x-ray data reveal that the oxidation growth process is diffusion limited. (c) 2000 American Institute of Physics

  20. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  1. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  2. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  3. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  4. AASERT: Rare Earth Arsenides, Magnetic Semi-Metal Epitaxy for Opto-Electronics

    National Research Council Canada - National Science Library

    Palmstrom, Chris

    2000-01-01

    ...). An ultra-high vacuum sample transfer system and a variable temperature scanning tunneling microscope were attached to two already existing molecular beam epitaxy systems and surface science equipment...

  5. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  6. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  7. Dynamic Atomic Reconstruction: How Fe_{3}O_{4} Thin Films Evade Polar Catastrophe for Epitaxy

    Directory of Open Access Journals (Sweden)

    C. F. Chang

    2016-10-01

    Full Text Available Polar catastrophe at the interface of oxide materials with strongly correlated electrons has triggered a flurry of new research activities. The expectations are that the design of such advanced interfaces will become a powerful route to engineer devices with novel functionalities. Here, we investigate the initial stages of growth and the electronic structure of the spintronic Fe_{3}O_{4}/MgO(001 interface. Using soft x-ray absorption spectroscopy, we have discovered that the so-called A-sites are completely missing in the first Fe_{3}O_{4} monolayer. This discovery allows us to develop an unexpected but elegant growth principle in which, during deposition, the Fe atoms are constantly on the move to solve the divergent electrostatic potential problem, thereby ensuring epitaxy and stoichiometry at the same time. This growth principle provides a new perspective for the design of interfaces.

  8. Fabrication of GaAs concentric multiple quantum rings by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Sanguinetti, S; Koguchi, N; Fedorov, A; Abbarchi, M; Gurioli, M

    2009-01-01

    We present the fabrication of a novel quantum nanostructure, constituted by three concentric quantum rings by droplet epitaxy. Atomic Force Microscopy and photoluminescence characterization of these nanostructures is reported.

  9. Direct Coal Oxidation in Modified Solid Oxide Fuel Cells

    DEFF Research Database (Denmark)

    Deleebeeck, Lisa; Gil, Vanesa; Ippolito, Davide

    2017-01-01

    Hybrid direct carbon fuel cells employ a classical solid oxide fuel cell together with carbon dispersed in a carbonate melt on the anode side. In a European project, the utilization of various coals has been investigated with and without addition of an oxidation catalyst to the carbon-carbonate s......Hybrid direct carbon fuel cells employ a classical solid oxide fuel cell together with carbon dispersed in a carbonate melt on the anode side. In a European project, the utilization of various coals has been investigated with and without addition of an oxidation catalyst to the carbon......-carbonate slurry or anode layer. The nature of the coal affects both open circuit voltage and power output. Highest OCV and power densities were observed for bituminous coal and by adding manganese oxide or praseodymium-doped ceria to the carbon/carbonate mixture. Comparing the carbon black fueled performance...... bituminous coal (73 mW/cm2)....

  10. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  11. Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD

    Science.gov (United States)

    Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2017-10-01

    We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.

  12. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  13. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  14. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  15. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  16. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  17. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  18. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices

    NARCIS (Netherlands)

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-01-01

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to

  19. Conductivity of epitaxial and CVD graphene with correlated line defects

    DEFF Research Database (Denmark)

    Radchenko, T. M.; Shylau, Artsem; Zozoulenko, I. V.

    2014-01-01

    Transport properties of single-layer graphene with correlated one-dimensional defects are studied theoretically using the computational model within the time-dependent real-space Kubo-Greenwood formalism. Such defects are present in epitaxial graphene, comprising atomic terraces and steps due...

  20. Epitaxially grown strained pentacene thin film on graphene membrane.

    Science.gov (United States)

    Kim, Kwanpyo; Santos, Elton J G; Lee, Tae Hoon; Nishi, Yoshio; Bao, Zhenan

    2015-05-06

    Organic-graphene system has emerged as a new platform for various applications such as flexible organic photovoltaics and organic light emitting diodes. Due to its important implication in charge transport, the study and reliable control of molecular packing structures at the graphene-molecule interface are of great importance for successful incorporation of graphene in related organic devices. Here, an ideal membrane of suspended graphene as a molecular assembly template is utilized to investigate thin-film epitaxial behaviors. Using transmission electron microscopy, two distinct molecular packing structures of pentacene on graphene are found. One observed packing structure is similar to the well-known bulk-phase, which adapts a face-on molecular orientation on graphene substrate. On the other hand, a rare polymorph of pentacene crystal, which shows significant strain along the c-axis, is identified. In particular, the strained film exhibits a specific molecular orientation and a strong azimuthal correlation with underlying graphene. Through ab initio electronic structure calculations, including van der Waals interactions, the unusual polymorph is attributed to the strong graphene-pentacene interaction. The observed strained organic film growth on graphene demonstrates the possibility to tune molecular packing via graphene-molecule interactions. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  2. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  3. Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO{sub 3} interface (invited)

    Energy Technology Data Exchange (ETDEWEB)

    Radaelli, G., E-mail: greta.radaelli@gmail.com; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R. [LNESS Center - Dipartimento di Fisica del Politecnico di Milano, Como 22100 (Italy)

    2014-05-07

    Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO{sub 3} (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures.

  4. Direct Coal Oxidation in Modified Solid Oxide Fuel Cells

    DEFF Research Database (Denmark)

    Deleebeeck, Lisa; Gil, Vanesa; Ippolito, Davide

    2015-01-01

    Hybrid direct carbon fuel cells employ a classical solid oxide fuel cell together with carbon dispersed in a carbonate melt on the anode side. In a European project, the utilization of various coals has been investigated with and without addition of an oxidation catalyst to the carbon-carbonate s......Hybrid direct carbon fuel cells employ a classical solid oxide fuel cell together with carbon dispersed in a carbonate melt on the anode side. In a European project, the utilization of various coals has been investigated with and without addition of an oxidation catalyst to the carbon......-carbonate slurry or anode layer. The nature of the coal affects both open circuit voltage and power output. Highest OCV and power densities were observed for bituminous coal and by adding manganese oxide or praseodymium-doped ceria to the carbon/carbonate mixture. Comparing the carbon black fueled performance...... bituminous coal (73 mW/cm2). © 2015 ECS - The Electrochemical Society...

  5. Creation of High Mobility Two-Dimensional Electron Gases via Strain Induced Polarization at an Otherwise Nonpolar Complex Oxide Interface

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Trier, Felix; Kasama, Takeshi

    2015-01-01

    The discovery of two-dimensional electron gases (2DEGs) in SrTiO3-based heterostructures provides new opportunities for nanoelectronics. Herein, we create a new type of oxide 2DEG by the epitaxial-strain-induced polarization at an otherwise nonpolar perovskite-type interface of CaZrO3/SrTiO3. Rem...

  6. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  7. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  8. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  9. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  10. The Utilization of Graphene Oxide in Traditional Construction Materials: Asphalt

    Directory of Open Access Journals (Sweden)

    Wenbo Zeng

    2017-01-01

    Full Text Available In the advanced research fields of solar cell and energy storing materials, graphene and graphene oxide (GO are two of the most promising materials due to their high specific surface area, and excellent electrical and physical properties. However, they was seldom studied in the traditional materials because of their high cost. Nowadays, graphene and GO are much cheaper than before with the development of production technologies, which provides the possibility of using these extraordinary materials in the traditional construction industry. In this paper, GO was selected as a nano-material to modify two different asphalts. Then a thin film oven test and a pressure aging vessel test were applied to simulate the aging of GO-modified asphalts. After thermal aging, basic physical properties (softening point and penetration were tested for the samples which were introduced at different mass ratios of GO (1% and 3% to asphalt. In addition, rheological properties were tested to investigate how GO could influence the asphalts by dynamic shearing rheometer tests. Finally, some interesting findings and potential utilization (warm mixing and flame retardants of GO in asphalt pavement construction were explained.

  11. The Utilization of Graphene Oxide in Traditional Construction Materials: Asphalt.

    Science.gov (United States)

    Zeng, Wenbo; Wu, Shaopeng; Pang, Ling; Sun, Yihan; Chen, Zongwu

    2017-01-07

    In the advanced research fields of solar cell and energy storing materials, graphene and graphene oxide (GO) are two of the most promising materials due to their high specific surface area, and excellent electrical and physical properties. However, they was seldom studied in the traditional materials because of their high cost. Nowadays, graphene and GO are much cheaper than before with the development of production technologies, which provides the possibility of using these extraordinary materials in the traditional construction industry. In this paper, GO was selected as a nano-material to modify two different asphalts. Then a thin film oven test and a pressure aging vessel test were applied to simulate the aging of GO-modified asphalts. After thermal aging, basic physical properties (softening point and penetration) were tested for the samples which were introduced at different mass ratios of GO (1% and 3%) to asphalt. In addition, rheological properties were tested to investigate how GO could influence the asphalts by dynamic shearing rheometer tests. Finally, some interesting findings and potential utilization (warm mixing and flame retardants) of GO in asphalt pavement construction were explained.

  12. Complete suppression of boron transient-enhanced diffusion and oxidation-enhanced diffusion in silicon using localized substitutional carbon incorporation

    Science.gov (United States)

    Carroll, M. S.; Chang, C.-L.; Sturm, J. C.; Büyüklimanli, T.

    1998-12-01

    In this letter, we show the ability, through introduction of a thin Si1-x-yGexCy layer, to eliminate the enhancement of enhanced boron diffusion in silicon due to an oxidizing surface or ion implant damage. This reduction of diffusion is accomplished through a low-temperature-grown thin epitaxial Si1-x-yGexCy layer which completely filters out excess interstitials introduced by oxidation or ion implant damage. We also quantify the oxidation-enhanced diffusion (OED) and transient-enhanced diffusion (TED) dependence on substitutional carbon level, and further report both the observation of carbon TED and OED, and its dependence on carbon levels.

  13. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  14. Oriented epitaxial TiO2 nanowires for water splitting

    Science.gov (United States)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  15. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    Energy Technology Data Exchange (ETDEWEB)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); Vavassori, P. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); IKERBASQUE, The Basque Foundation for Science, E-48011 Bilbao (Spain); Obry, B.; Hillebrands, B. [Fachbereich Physik and Landesforschungzentrum OPTIMAS, Technische Universität Kaiserslautern, Erwin-Schrödinger-Straße 56, D-67663 Kaiserslautern (Germany); Landeros, P. [Departamento de Física, Universidad Técnica Federico Santa María, Avenida España 1680, 2390123 Valparaíso (Chile)

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field angle dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.

  16. Concurrent bandgap narrowing and polarization enhancement in epitaxial ferroelectric nanofilms

    Czech Academy of Sciences Publication Activity Database

    Tyunina, Marina; Yao, L.; Chvostová, Dagmar; Dejneka, Alexandr; Kocourek, Tomáš; Jelínek, Miroslav; Trepakov, Vladimír; van Dijken, S.

    2015-01-01

    Roč. 16, č. 2 (2015), 026002 ISSN 1468-6996 R&D Projects: GA ČR GAP108/12/1941 Institutional support: RVO:68378271 Keywords : epitaxial growth * ferroelectric nanofilms Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.433, year: 2015

  17. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  18. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  19. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  20. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  1. Synthesis of c-oriented YbBa2Cu3O7-δ films on single and polycrystalline substrates by oxidation of liquid alloys

    International Nuclear Information System (INIS)

    Luo, J.S.; Merchant, N.; Maroni, V.A.; Gruen, D.M.; Tani, B.S.; Sandhage, K.H.; Craven, C.A.

    1991-11-01

    Textured superconducting films of YbBa 2 Cu 3 O 7-δ supported on single and polycrystalline substrates were prepared by oxidation of a liquid precursor alloy. The substrates were coated by dipping them into a molten alloy (YbBa 2 Cu 3 , m.p. ∼870 degree C), withdrawing them from the melt, then oxidizing the adhering liquid alloy layer to the corresponding oxide phase, i.e., YbBa 2 Cu 3 O 7-δ . Samples prepared in this way exhibited a superconducting transition at ∼80 K following annealing in pure OP 2 at 500 degree C. With SrTiO 3 (100) and MgO (100) substrates, evidence was seen for the epitaxial growth of YbBa 2 Cu 3 O 7-δ crystals having their c-axis parallel to the [100] direction of the substrate. For polycrystalline MgO, x-ray diffraction and microstructural examination showed that the high-T c crystallites in the films were also oriented with their c-axis perpendicular to the substrate surface, but the a and b axes directions were randomly oriented rather than epitaxial

  2. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  3. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  4. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    Energy Technology Data Exchange (ETDEWEB)

    Kaushik, Priya Darshni, E-mail: kaushik.priyadarshni@gmail.com [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Ivanov, Ivan G.; Lin, Pin-Cheng [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Kaur, Gurpreet [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Eriksson, Jens [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Lakshmi, G.B.V.S. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Avasthi, D.K. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Amity Institute of Nanotechnology, Noida 201313 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Aziz, Anver; Siddiqui, Azher M. [Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Syväjärvi, Mikael [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Yazdi, G. Reza, E-mail: yazdi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden)

    2017-05-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO{sub 2} and NH{sub 3} gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10{sup 13} ions/cm{sup 2}). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and

  5. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    International Nuclear Information System (INIS)

    Kaushik, Priya Darshni; Ivanov, Ivan G.; Lin, Pin-Cheng; Kaur, Gurpreet; Eriksson, Jens; Lakshmi, G.B.V.S.; Avasthi, D.K.; Gupta, Vinay; Aziz, Anver; Siddiqui, Azher M.; Syväjärvi, Mikael; Yazdi, G. Reza

    2017-01-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO_2 and NH_3 gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10"1"3 ions/cm"2). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and spintronic

  6. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    Science.gov (United States)

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  8. Mobility-electron density relation probed via controlled oxygen vacancy doping in epitaxial BaSnO3

    Directory of Open Access Journals (Sweden)

    Koustav Ganguly

    2017-05-01

    Full Text Available The recently discovered high room temperature mobility in wide band gap semiconducting BaSnO3 is of exceptional interest for perovskite oxide heterostructures. Critical open issues with epitaxial films include determination of the optimal dopant and understanding the mobility-electron density (μ-n relation. These are addressed here through a transport study of BaSnO3(001 films with oxygen vacancy doping controlled via variable temperature vacuum annealing. Room temperature n can be tuned from 5 × 1019 cm−3 to as low as 2 × 1017 cm−3, which is shown to drive a weak- to strong-localization transition, a 104-fold increase in resistivity, and a factor of 28 change in μ. The data reveal μ ∝ n0.65 scaling over the entire n range probed, important information for understanding mobility-limiting scattering mechanisms.

  9. Magnetic properties of epitaxial MnAs thin films on GaAs (001)

    CERN Document Server

    Park, Y S

    2000-01-01

    The magnetic properties of two types of epitaxial MnAs films on GaAs (001) substrates in the thickness range of 20 approx 200 nm were studied. Using longitudinal a magneto-optical Kerr-effect(MOKE) apparatus at lambda=632.8 nm, we determined the Curie temperatures of the 100-nm thick films to be 54.0+-0.5 .deg. C and 63.7+-0.5 .deg. C for type A films and type B films, respectively. The observed Curie temperatures corresponded to increases of 36.8 .deg. C and 33.9 .deg. C per one percent increase in the unit cell volume for type A and B, respectively. The normalized maximum MOKE signal from the type A film exhibited a first-order-like magnetic transition while that of type B underwent a second-order-like transition. These different behaviors between types A and B stem from different residual stresses being exerted on the hexagonal phase. Utilizing a Foner-type vibrating sample magnetometer at room temperature, we examined the thickness dependence of the coercive force and the saturation magnetization of the f...

  10. Giant inelastic tunneling in epitaxial graphene mediated by localized states

    NARCIS (Netherlands)

    Cervenka, J.; Ruit, van de K.; Flipse, C.F.J.

    2010-01-01

    Local electronic structures of nanometer-sized patches of epitaxial graphene and its interface layer with SiC(0001) have been studied by atomically resolved scanning tunneling microscopy and spectroscopy. Localized states belonging to the interface layer of a graphene/SiC system show to have

  11. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  12. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  13. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  15. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  16. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  17. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  18. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  19. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  20. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates