WorldWideScience

Sample records for oxide semiconductor thin

  1. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  3. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  4. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  5. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  6. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  7. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  8. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  9. Standard-free electron-probe microanalysis of thin films of HTSC-oxide and semiconductors (h<1μm)

    International Nuclear Information System (INIS)

    Kvardakov, A.M.; Mikhajlova, A.Ya.; San'gin, V.P.; Lazarev, V.B.

    1993-01-01

    A simplified variant of the standard-free electron-probe microanalysis is elaborated to carry out rapid analysis of chemical composition of >1μm thickness thin films of high-temperature superconductor oxides and semiconductors on alien substrates. The suggested technique has increased the efficiency of search for optimal conditions of preparation YBa 2 Cu 3 O x thin films existing in magnetron and InSb ion-beam techniques of spraying on SrTiO 3 and α-Al 2 O 3 monocrystal base substrates

  10. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  11. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  12. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  13. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  14. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  15. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  16. Assessing the antimicrobial activity of zinc oxide thin films using disk diffusion and biofilm reactor

    International Nuclear Information System (INIS)

    Gittard, Shaun D.; Perfect, John R.; Monteiro-Riviere, Nancy A.; Wei Wei; Jin Chunming; Narayan, Roger J.

    2009-01-01

    The electronic and chemical properties of semiconductor materials may be useful in preventing growth of microorganisms. In this article, in vitro methods for assessing microbial growth on semiconductor materials will be presented. The structural and biological properties of silicon wafers coated with zinc oxide thin films were evaluated using atomic force microscopy, X-ray photoelectron spectroscopy, and MTT viability assay. The antimicrobial properties of zinc oxide thin films were established using disk diffusion and CDC Biofilm Reactor studies. Our results suggest that zinc oxide and other semiconductor materials may play a leading role in providing antimicrobial functionality to the next-generation medical devices

  17. General Observation of Photocatalytic Oxygen Reduction to Hydrogen Peroxide by Organic Semiconductor Thin Films and Colloidal Crystals.

    Science.gov (United States)

    Gryszel, Maciej; Sytnyk, Mykhailo; Jakešová, Marie; Romanazzi, Giuseppe; Gabrielsson, Roger; Heiss, Wolfgang; Głowacki, Eric Daniel

    2018-04-25

    Low-cost semiconductor photocatalysts offer unique possibilities for industrial chemical transformations and energy conversion applications. We report that a range of organic semiconductors are capable of efficient photocatalytic oxygen reduction to H 2 O 2 in aqueous conditions. These semiconductors, in the form of thin films, support a 2-electron/2-proton redox cycle involving photoreduction of dissolved O 2 to H 2 O 2 , with the concurrent photooxidation of organic substrates: formate, oxalate, and phenol. Photochemical oxygen reduction is observed in a pH range from 2 to 12. In cases where valence band energy of the semiconductor is energetically high, autoxidation competes with oxidation of the donors, and thus turnover numbers are low. Materials with deeper valence band energies afford higher stability and also oxidation of H 2 O to O 2 . We found increased H 2 O 2 evolution rate for surfactant-stabilized nanoparticles versus planar thin films. These results evidence that photochemical O 2 reduction may be a widespread feature of organic semiconductors, and open potential avenues for organic semiconductors for catalytic applications.

  18. Semiconductor-nanocrystal/conjugated polymer thin films

    Science.gov (United States)

    Alivisatos, A. Paul; Dittmer, Janke J.; Huynh, Wendy U.; Milliron, Delia

    2014-06-17

    The invention described herein provides for thin films and methods of making comprising inorganic semiconductor-nanocrystals dispersed in semiconducting-polymers in high loading amounts. The invention also describes photovoltaic devices incorporating the thin films.

  19. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  20. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  1. Binary copper oxide semiconductors: From materials towards devices

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; Heiliger, C.; Heinemann, M. [1. Physics Institute, Justus-Liebig University of Giessen (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics (IEP), Otto-von-Guericke University Magdeburg (Germany); Shokovets, S. [Institute of Physics, Ilmenau University of Technology (Germany); Mueller, C.; Ronning, C. [Institute of Solid State Physics, Friedrich Schiller University Jena (Germany)

    2012-08-15

    Copper-oxide compound semiconductors provide a unique possibility to tune the optical and electronic properties from insulating to metallic conduction, from bandgap energies of 2.1 eV to the infrared at 1.40 eV, i.e., right into the middle of the efficiency maximum for solar-cell applications. Three distinctly different phases, Cu{sub 2}O, Cu{sub 4}O{sub 3}, and CuO, of this binary semiconductor can be prepared by thin-film deposition techniques, which differ in the oxidation state of copper. Their material properties as far as they are known by experiment or predicted by theory are reviewed. They are supplemented by new experimental results from thin-film growth and characterization, both will be critically discussed and summarized. With respect to devices the focus is on solar-cell performances based on Cu{sub 2}O. It is demonstrated by photoelectron spectroscopy (XPS) that the heterojunction system p-Cu{sub 2}O/n-AlGaN is much more promising for the application as efficient solar cells than that of p-Cu{sub 2}O/n-ZnO heterojunction devices that have been favored up to now. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Comprehensive review on the development of high mobility in oxide thin film transistors

    Science.gov (United States)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  3. Where science fiction meets reality? With oxide semiconductors.

    Energy Technology Data Exchange (ETDEWEB)

    Fortunato, E.; Martins, R. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2011-09-15

    Transparent electronics is today one of the most advanced topics for a wide range of device applications, where the key components are wide band gap semiconductors, where oxides of different origin play an important role, not only as passive components but also as active components similar to what we observe in conventional semiconductors. As passive components they include the use of these materials as dielectrics for a wide range of electronic devices and also as transparent electrical conductors for use in several optoelectronic applications, such as liquid crystal displays, organic light emitting diodes, solar cells, optical sensors etc. As active materials, they exploit the use of truly electronic semiconductors where the main emphasis is being put on transparent thin film transistors, light emitting diodes, lasers, ultraviolet sensors and integrated circuits among others. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin, E-mail: junsin@skku.edu

    2017-02-28

    Highlights: • The characteristics of thin film transistors using double active layers are examined. • Electrical characteristics have been improved for the double active layers devices. • The total trap density can be decreased by insert-ion of ultrathin ITO film. - Abstract: This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm{sup 2}/V·s) compared with the ITZO-only TFTs (∼34 cm{sup 2}/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and −2.39 V compared with 6.10 and −6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of E{sub A} were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO{sub 2} reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  5. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  6. Preparation and characterization of vanadium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Monfort, O.; Plesch, G. [Comenius University of Bratislava, Faculty of Natural Sciences, Department of Inorganic Chemistry, 84215 Bratislava (Slovakia); Roch, T. [Comenius University of Bratislava, Faculty of Mathematics Physics and Informatics, Department of Experimental Physics, 84248 Bratislava (Slovakia)

    2013-04-16

    The thermotropic VO{sub 2} films have many applications, since they exhibit semiconductor-conductor switching properties at temperature around 70 grad C. Vanadium oxide thin films were prepared via sol-gel method. Spin coater was used to depose these films on Si/SiO{sub 2} and lime glass substrates. Thin films of V{sub 2}O{sub 5} can be reduced to metastable VO{sub 2} thin films at the temperature of 450 grad C under the pressure of 10{sup -2} Pa. These films are then converted to thermotropic VO{sub 2} at 700 grad C in argon under normal pressure. (authors)

  7. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    NARCIS (Netherlands)

    Jin, J.W.; Nathan, A.; Barquinha, P.; Pereira, L.; Fortunato, E.; Martins, R.; Cobb, B.

    2016-01-01

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We

  8. Cu2O-based solar cells using oxide semiconductors

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu 2 O heterojunction solar cells fabricated using p-type Cu 2 O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu 2 O sheets under various deposition conditions using a pulsed laser deposition method. In Cu 2 O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa 2 O 4 thin-film layer. In most of the Cu 2 O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga 2 O 3 -Al 2 O 3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (V oc ) were obtained by using a relatively small amount of MgO or Al 2 O 3 , e.g., (ZnO) 0.91 –(MgO) 0.09 and (Ga 2 O 3 ) 0.975 –(Al 2 O 3 ) 0.025 , respectively. When Cu 2 O-based heterojunction solar cells were fabricated using Al 2 O 3 –Ga 2 O 3 –MgO–ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high V oc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu 2 O heterojunction solar cells fabricated using Na-doped Cu 2 O (Cu 2 O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a V oc of 0.84 V were obtained in a MgF 2 /AZO/n-(Ga 2 O 3 –Al 2 O 3 )/p-Cu 2 O:Na heterojunction solar cell fabricated using

  9. Cu2O-based solar cells using oxide semiconductors

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu2O heterojunction solar cells fabricated using p-type Cu2O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu2O sheets under various deposition conditions using a pulsed laser deposition method. In Cu2O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa2O4 thin-film layer. In most of the Cu2O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga2O3-Al2O3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (Voc) were obtained by using a relatively small amount of MgO or Al2O3, e.g., (ZnO)0.91-(MgO)0.09 and (Ga2O3)0.975-(Al2O3)0.025, respectively. When Cu2O-based heterojunction solar cells were fabricated using Al2O3-Ga2O3-MgO-ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high Voc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu2O heterojunction solar cells fabricated using Na-doped Cu2O (Cu2O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a Voc of 0.84 V were obtained in a MgF2/AZO/n-(Ga2O3-Al2O3)/p-Cu2O:Na heterojunction solar cell fabricated using a Cu2O:Na sheet with a resistivity of approximately 10 Ω·cm and a (Ga0.975Al0

  10. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  11. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  12. Amorphous Hafnium-Indium-Zinc Oxide Semiconductor Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Sheng-Po Chang

    2012-01-01

    Full Text Available We reported on the performance and electrical properties of co-sputtering-processed amorphous hafnium-indium-zinc oxide (α-HfIZO thin film transistors (TFTs. Co-sputtering-processed α-HfIZO thin films have shown an amorphous phase in nature. We could modulate the In, Hf, and Zn components by changing the co-sputtering power. Additionally, the chemical composition of α-HfIZO had a significant effect on reliability, hysteresis, field-effect mobility (μFE, carrier concentration, and subthreshold swing (S of the device. Our results indicated that we could successfully and easily fabricate α-HfIZO TFTs with excellent performance by the co-sputtering process. Co-sputtering-processed α-HfIZO TFTs were fabricated with an on/off current ratio of ~106, higher mobility, and a subthreshold slope as steep as 0.55 V/dec.

  13. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  14. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  15. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  16. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  17. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  18. Properties of Spray Pyrolysied Copper Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2017-02-01

    Full Text Available Copper oxide (CuO thin films were deposited on well cleaned glass substrates by spray pyrolysis technique (SPT from cupric acetate (Cu(CH3COO2.H2O precursor solutions of 0.05 – 0.15 M molar concentrations (MC at a substrate temperature of 350 °C and at an air pressure of 1 bar. Effect of varying MC on the surface morphology, structural optical and electrical properties of CuO thin films were investigated. XRD patterns of the prepared films revealed the formation of CuO thin films having monoclinic structure with the main CuO (111 orientation and crystalline size ranging from 8.02 to 9.05 nm was observed. The optical transmission of the film was found to decrease with the increase of MC. The optical band gap of the thin films for 0.10 M was fond to be 1.60 eV. The room temperature electrical resistivity varies from 31 and 24 ohm.cm for the films grown with MC of 0.05 and 0.10 M respectively. The change in resistivity of the films was studied with respect to the change in temperature was shown that semiconductor nature is present. This information is expected to underlie the successful development of CuO films for solar windows and other semi-conductor applications including gas sensors.

  19. Bias-induced migration of ionized donors in amorphous oxide semiconductor thin-film transistors with full bottom-gate and partial top-gate structures

    Directory of Open Access Journals (Sweden)

    Mallory Mativenga

    2012-09-01

    Full Text Available Bias-induced charge migration in amorphous oxide semiconductor thin-film transistors (TFTs confirmed by overshoots of mobility after bias stressing dual gated TFTs is presented. The overshoots in mobility are reversible and only occur in TFTs with a full bottom-gate (covers the whole channel and partial top-gate (covers only a portion of the channel, indicating a bias-induced uneven distribution of ionized donors: Ionized donors migrate towards the region of the channel that is located underneath the partial top-gate and the decrease in the density of ionized donors in the uncovered portion results in the reversible increase in mobility.

  20. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  1. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  2. Structural, optical and electrical properties of tin oxide thin films for application as a wide band gap semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Riti; Ahmad, Shabir; Aziz, Anver; Siddiqui, Azher Majid, E-mail: amsiddiqui@jmi.ac.in [Department of Physics, Jamia Millia Islamia, New Delhi-110025 (India)

    2015-08-28

    Tin oxide (SnO) thin films were synthesized using thermal evaporation technique. Ultra pure metallic tin was deposited on glass substrates using thermal evaporator under high vacuum. The thickness of the tin deposited films was kept at 100nm. Subsequently, the as-deposited tin films were annealed under oxygen environment for a period of 3hrs to obtain tin oxide films. To analyse the suitability of the synthesized tin oxide films as a wide band gap semiconductor, various properties were studied. Structural parameters were studied using XRD and SEM-EDX. The optical properties were studied using UV-Vis Spectrophotometry and the electrical parameters were calculated using the Hall-setup. XRD and SEM confirmed the formation of SnO phase. Uniform texture of the film can be seen through the SEM images. Presence of traces of unoxidised Sn has also been confirmed through the XRD spectra. The band gap calculated was around 3.6eV and the optical transparency around 50%. The higher value of band gap and lower value of optical transparency can be attributed to the presence of unoxidised Sn. The values of resistivity and mobility as measured by the Hall setup were 78Ωcm and 2.92cm{sup 2}/Vs respectively. The reasonable optical and electrical parameters make SnO a suitable candidate for optoelectronic and electronic device applications.

  3. Electrical memory features of ferromagnetic CoFeAlSi nano-particles embedded in metal-oxide-semiconductor matrix

    International Nuclear Information System (INIS)

    Lee, Ja Bin; Kim, Ki Woong; Lee, Jun Seok; An, Gwang Guk; Hong, Jin Pyo

    2011-01-01

    Half-metallic Heusler material Co 2 FeAl 0.5 Si 0.5 (CFAS) nano-particles (NPs) embedded in metal-oxide-semiconductor (MOS) structures with thin HfO 2 tunneling and MgO control oxides were investigated. The CFAS NPs were prepared by rapid thermal annealing. The formation of well-controlled CFAS NPs on thin HfO 2 tunneling oxide was confirmed by atomic force microscopy (AFM). Memory characteristics of CFAS NPs in MOS devices exhibited a large memory window of 4.65 V, as well as good retention and endurance times of 10 5 cycles and 10 9 s, respectively, demonstrating the potential of CFAS NPs as promising candidates for use in charge storage.

  4. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  5. Magnetron sputtered transparent conductive zinc-oxide stabilized amorphous indium oxide thin films on polyethylene terephthalate substrates at ambient temperature

    International Nuclear Information System (INIS)

    Yan, Y.; Zhang, X.-F.; Ding, Y.-T.

    2013-01-01

    Amorphous transparent conducting zinc-oxide stabilized indium oxide thin films, named amorphous indium zinc oxide (a-IZO), were deposited by direct current magnetron sputtering at ambient temperature on flexible polyethylene terephthalate substrates. It has been demonstrated that the electrical resistivity could attain as low as ∼ 5 × 10 −4 Ω cm, which was noticeably lower than amorphous indium tin oxide films prepared at the same condition, while the visible transmittance exceeded 84% with the refractive index of 1.85–2.00. In our experiments, introduction of oxygen gas appeared to be beneficial to the improvement of the transparency and electrical conductivity. Both free carrier absorption and indirect transition were observed and Burstein–Moss effect proved a-IZO to be a degenerated amorphous semiconductor. However, the linear relation between the optical band gap and the band tail width which usually observed in covalent amorphous semiconductor such as a-Si:H was not conserved. Besides, porosity could greatly determine the resistivity and optical constants for the thickness variation at this deposition condition. Furthermore, a broad photoluminescence peak around 510 nm was identified when more than 1.5 sccm oxygen was introduced. - Highlights: ► Highly conducting amorphous zinc-oxide stabilized indium oxide thin films were prepared. ► The films were fabricated on polyethylene terephthalate at ambient temperature. ► Introduction of oxygen can improve the transparency and electrical conductivity. ► The linear relation between optical band gap and band tail width was not conserved

  6. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  7. Conductivity in transparent oxide semiconductors.

    Science.gov (United States)

    King, P D C; Veal, T D

    2011-08-24

    Despite an extensive research effort for over 60 years, an understanding of the origins of conductivity in wide band gap transparent conducting oxide (TCO) semiconductors remains elusive. While TCOs have already found widespread use in device applications requiring a transparent contact, there are currently enormous efforts to (i) increase the conductivity of existing materials, (ii) identify suitable alternatives, and (iii) attempt to gain semiconductor-engineering levels of control over their carrier density, essential for the incorporation of TCOs into a new generation of multifunctional transparent electronic devices. These efforts, however, are dependent on a microscopic identification of the defects and impurities leading to the high unintentional carrier densities present in these materials. Here, we review recent developments towards such an understanding. While oxygen vacancies are commonly assumed to be the source of the conductivity, there is increasing evidence that this is not a sufficient mechanism to explain the total measured carrier concentrations. In fact, many studies suggest that oxygen vacancies are deep, rather than shallow, donors, and their abundance in as-grown material is also debated. We discuss other potential contributions to the conductivity in TCOs, including other native defects, their complexes, and in particular hydrogen impurities. Convincing theoretical and experimental evidence is presented for the donor nature of hydrogen across a range of TCO materials, and while its stability and the role of interstitial versus substitutional species are still somewhat open questions, it is one of the leading contenders for yielding unintentional conductivity in TCOs. We also review recent work indicating that the surfaces of TCOs can support very high carrier densities, opposite to the case for conventional semiconductors. In thin-film materials/devices and, in particular, nanostructures, the surface can have a large impact on the total

  8. A Comprehensive Review of Semiconductor Ultraviolet Photodetectors: From Thin Film to One-Dimensional Nanostructures

    Directory of Open Access Journals (Sweden)

    Liwen Sang

    2013-08-01

    Full Text Available Ultraviolet (UV photodetectors have drawn extensive attention owing to their applications in industrial, environmental and even biological fields. Compared to UV-enhanced Si photodetectors, a new generation of wide bandgap semiconductors, such as (Al, In GaN, diamond, and SiC, have the advantages of high responsivity, high thermal stability, robust radiation hardness and high response speed. On the other hand, one-dimensional (1D nanostructure semiconductors with a wide bandgap, such as β-Ga2O3, GaN, ZnO, or other metal-oxide nanostructures, also show their potential for high-efficiency UV photodetection. In some cases such as flame detection, high-temperature thermally stable detectors with high performance are required. This article provides a comprehensive review on the state-of-the-art research activities in the UV photodetection field, including not only semiconductor thin films, but also 1D nanostructured materials, which are attracting more and more attention in the detection field. A special focus is given on the thermal stability of the developed devices, which is one of the key characteristics for the real applications.

  9. Preparation of Zinc Oxide (ZnO) Thin Film as Transparent Conductive Oxide (TCO) from Zinc Complex Compound on Thin Film Solar Cells: A Study of O2 Effect on Annealing Process

    Science.gov (United States)

    Muslih, E. Y.; Kim, K. H.

    2017-07-01

    Zinc oxide (ZnO) thin film as a transparent conductive oxide (TCO) for thin film solar cell application was successfully prepared through two step preparations which consisted of deposition by spin coating at 2000 rpm for 10 second and followed by annealing at 500 °C for 2 hours under O2 and ambient atmosphere. Zinc acetate dehydrate was used as a precursor which dissolved in ethanol and acetone (1:1 mol) mixture in order to make a zinc complex compound. In this work, we reported the O2 effect, reaction mechanism, structure, morphology, optical and electrical properties. ZnO thin film in this work shows a single phase of wurtzite, with n-type semiconductor and has band gap, carrier concentration, mobility, and resistivity as 3.18 eV, 1.21 × 10-19cm3, 11 cm2/Vs, 2.35 × 10-3 Ωcm respectively which is suitable for TCO at thin film solar cell.

  10. Amphoteric oxide semiconductors for energy conversion devices: a tutorial review.

    Science.gov (United States)

    Singh, Kalpana; Nowotny, Janusz; Thangadurai, Venkataraman

    2013-03-07

    In this tutorial review, we discuss the defect chemistry of selected amphoteric oxide semiconductors in conjunction with their significant impact on the development of renewable and sustainable solid state energy conversion devices. The effect of electronic defect disorders in semiconductors appears to control the overall performance of several solid-state ionic devices that include oxide ion conducting solid oxide fuel cells (O-SOFCs), proton conducting solid oxide fuel cells (H-SOFCs), batteries, solar cells, and chemical (gas) sensors. Thus, the present study aims to assess the advances made in typical n- and p-type metal oxide semiconductors with respect to their use in ionic devices. The present paper briefly outlines the key challenges in the development of n- and p-type materials for various applications and also tries to present the state-of-the-art of defect disorders in technologically related semiconductors such as TiO(2), and perovskite-like and fluorite-type structure metal oxides.

  11. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    Science.gov (United States)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  12. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  13. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  14. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  15. Determination of bulk and interface density of states in metal oxide semiconductor thin-film transistors by using capacitance-voltage characteristics

    Science.gov (United States)

    Wei, Xixiong; Deng, Wanling; Fang, Jielin; Ma, Xiaoyu; Huang, Junkai

    2017-10-01

    A physical-based straightforward extraction technique for interface and bulk density of states in metal oxide semiconductor thin film transistors (TFTs) is proposed by using the capacitance-voltage (C-V) characteristics. The interface trap density distribution with energy has been extracted from the analysis of capacitance-voltage characteristics. Using the obtained interface state distribution, the bulk trap density has been determined. With this method, for the interface trap density, it is found that deep state density nearing the mid-gap is approximately constant and tail states density increases exponentially with energy; for the bulk trap density, it is a superposition of exponential deep states and exponential tail states. The validity of the extraction is verified by comparisons with the measured current-voltage (I-V) characteristics and the simulation results by the technology computer-aided design (TCAD) model. This extraction method uses non-numerical iteration which is simple, fast and accurate. Therefore, it is very useful for TFT device characterization.

  16. Semiconductor thin films directly from minerals—study of structural, optical, and transport characteristics of Cu2O thin films from malachite mineral and synthetic CuO

    International Nuclear Information System (INIS)

    Balasubramaniam, K.R.; Kao, V.M.; Ravichandran, J.; Rossen, P.B.; Siemons, W.; Ager, J.W.

    2012-01-01

    We demonstrate the proof-of-concept of using an abundantly occurring natural ore, malachite (Cu 2 CO 3 (OH) 2 ) to directly yield the semiconductor Cu 2 O to be used as an active component of a functional thin film based device. Cu 2 O is an archetype hole-conducting semiconductor that possesses several interesting characteristics particularly useful for solar cell applications, including low cost, non-toxicity, good hole mobility, large minority carrier diffusion length, and a direct energy gap ideal for efficient absorption. In this article, we compare the structural, optical, and electrical transport characteristics of Cu 2 O thin films grown from the natural mineral malachite and synthetic CuO targets. Growth from either source material results in single-phase, fully epitaxial cuprous oxide thin films as determined by x-ray diffraction. The films grown from malachite have strong absorption coefficients ( 10 4 cm −1 ), a direct allowed optical bandgap ( 2.4 eV), and majority carrier hole mobilities ( 35 cm 2 V −1 s −1 at room temperature) that compare well with films grown from the synthetic target as well as with previously reported values. Our work demonstrates that minerals could be useful to directly yield the active components in functional devices and suggests a route for the exploration of low cost energy conversion and storage technologies. - Highlights: ► Semiconductor thin films directly from minerals ► Chemistry and structure evolution of the films obtained from mineral target is very similar to that films obtained from high-purity synthetic targets. ► Quite interestingly, transport and optical characteristics are also found to be similar.

  17. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  18. Transparent p-type SnO nanowires with unprecedented hole mobility among oxide semiconductors

    KAUST Repository

    Caraveo-Frescas, J. A.

    2013-11-25

    p-type tin monoxide (SnO) nanowire field-effect transistors with stable enhancement mode behavior and record performance are demonstrated at 160 °C. The nanowire transistors exhibit the highest field-effect hole mobility (10.83 cm2 V−1 s−1) of any p-type oxide semiconductor processed at similar temperature. Compared to thin film transistors, the SnO nanowire transistors exhibit five times higher mobility and one order of magnitude lower subthreshold swing. The SnO nanowire transistors show three times lower threshold voltages (−1 V) than the best reported SnO thin film transistors and fifteen times smaller than p-type Cu 2O nanowire transistors. Gate dielectric and process temperature are critical to achieving such performance.

  19. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  20. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  1. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio; Gao, Xu; Lin, Meng-Fang; Tsukagoshi, Kazuhito, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp [International Center for Materials Nanoarchitectronics (WPI-MANA), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Nabatame, Toshihide [MANA Foundry and MANA Advanced Device Materials Group, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizing controllable high-performance stable transistors.

  2. A divalent rare earth oxide semiconductor: Yttrium monoxide

    Energy Technology Data Exchange (ETDEWEB)

    Kaminaga, Kenichi; Sei, Ryosuke [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Department of Chemistry, Tohoku University, Sendai 980-8578 (Japan); Hayashi, Kouichi [Department of Environmental and Materials Engineering, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Happo, Naohisa [School of Information Sciences, Hiroshima City University, Hiroshima 731-3194 (Japan); Tajiri, Hiroo [Japan Synchrotron Radiation Research Institute (JASRI)/SPring-8, Sayo 679-5198 (Japan); Oka, Daichi; Fukumura, Tomoteru, E-mail: tomoteru.fukumura.e4@tohoku.ac.jp [Department of Chemistry, Tohoku University, Sendai 980-8578 (Japan); Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan)

    2016-03-21

    Rare earth oxides are usually widegap insulators like Y{sub 2}O{sub 3} with closed shell trivalent rare earth ions. In this study, solid phase rock salt structure yttrium monoxide, YO, with unusual valence of Y{sup 2+} (4d{sup 1}) was synthesized in a form of epitaxial thin film by pulsed laser deposition method. YO has been recognized as gaseous phase in previous studies. In contrast with Y{sub 2}O{sub 3}, YO was dark-brown colored and narrow gap semiconductor. The tunable electrical conductivity ranging from 10{sup −1} to 10{sup 3} Ω{sup −1 }cm{sup −1} was attributed to the presence of oxygen vacancies serving as electron donor. Weak antilocalization behavior observed in magnetoresistance indicated significant role of spin-orbit coupling as a manifestation of 4d electron carrier.

  3. Preparation and characterization of ZnO transparent semiconductor thin films by sol-gel method

    International Nuclear Information System (INIS)

    Tsay, Chien-Yie; Fan, Kai-Shiung; Chen, Sih-Han; Tsai, Chia-Hao

    2010-01-01

    Transparent semiconductor thin films of zinc oxide (ZnO) were deposited onto alkali-free glass substrates by the sol-gel method and spin-coating technique. In this study, authors investigate the influence of the heating rate of the preheating process (4 or 10 o C/min) on the crystallization, surface morphology, and optical properties of sol-gel derived ZnO thin films. The ZnO sol was synthesized by dissolving zinc acetate dehydrate in ethanol, and then adding monoethanolamine. The as-coated films were preheated at 300 o C for 10 min and annealed at 500 o C for 1 h in air ambiance. Experimental results indicate that the heating rate of the preheating process strongly affected the surface morphology and transparency of ZnO thin film. Specifically, a heating rate of 10 o C/min for the preheating process produces a preferred orientation along the (0 0 2) plane and a high transmittance of 92% at a wavelength of 550 nm. Furthermore, this study reports the fabrication of thin-film transistors (TFTs) with a transparent ZnO active channel layer and evaluates their electrical performance.

  4. Controlling the interface charge density in GaN-based metal-oxide-semiconductor heterostructures by plasma oxidation of metal layers

    International Nuclear Information System (INIS)

    Hahn, Herwig; Kalisch, Holger; Vescan, Andrei; Pécz, Béla; Kovács, András; Heuken, Michael

    2015-01-01

    In recent years, investigating and engineering the oxide-semiconductor interface in GaN-based devices has come into focus. This has been driven by a large effort to increase the gate robustness and to obtain enhancement mode transistors. Since it has been shown that deep interface states act as fixed interface charge in the typical transistor operating regime, it appears desirable to intentionally incorporate negative interface charge, and thus, to allow for a positive shift in threshold voltage of transistors to realise enhancement mode behaviour. A rather new approach to obtain such negative charge is the plasma-oxidation of thin metal layers. In this study, we present transmission electron microscopy and energy dispersive X-ray spectroscopy analysis as well as electrical data for Al-, Ti-, and Zr-based thin oxide films on a GaN-based heterostructure. It is shown that the plasma-oxidised layers have a polycrystalline morphology. An interfacial amorphous oxide layer is only detectable in the case of Zr. In addition, all films exhibit net negative charge with varying densities. The Zr layer is providing a negative interface charge density of more than 1 × 10 13  cm –2 allowing to considerably shift the threshold voltage to more positive values

  5. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  6. Applications of confocal laser scanning microscopy in research into organic semiconductor thin films

    DEFF Research Database (Denmark)

    Schiek, Manuela; Balzer, Frank

    2014-01-01

    At the center of opto-electronic devices are thin layers of organic semiconductors, which need to be sandwiched between planar electrodes. With the growing demand for opto-electronic devices now and in the future, new electrode materials are needed to meet the requirements of organic semiconductors...

  7. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  8. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won; Rondinone, Adam Justin; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2017-09-19

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  9. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Science.gov (United States)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  10. Optoelectronic properties of transparent p-type semiconductor Cu{sub x}S thin films

    Energy Technology Data Exchange (ETDEWEB)

    Parreira, P.; Valente, J. [ICEMS, IST-UTL, Lisboa (Portugal); Lavareda, G. [Departamento de Fisica, IST-UTL, Lisboa (Portugal); Nunes, F.T. [Departamento de Ciencia dos Materiais, FCT-UNL, Caparica (Portugal); Amaral, A. [Departamento de Fisica, IST-UTL, Lisboa (Portugal); ICEMS, IST-UTL, Lisboa (Portugal); Carvalho, C.N. de [Departamento de Ciencia dos Materiais, FCT-UNL, Caparica (Portugal); ICEMS, IST-UTL, Lisboa (Portugal)

    2010-07-15

    Nowadays, among the available transparent semiconductors for device use, the great majority (if not all) have n-type conductivity. The fabrication of a transparent p-type semiconductor with good optoelectronic properties (comparable to those of n-type: InO{sub x}, ITO, ZnO{sub x} or FTO) would significantly broaden the application field of thin films. However, until now no material has yet presented all the required properties. Cu{sub 2}S is a p-type narrow-band-gap material with an average optical transmittance of about 60% in the visible range for 50 nm thick films. However, due to its high conductivity at room temperature, 10 nm in thickness seems to be appropriate for device use. Cu{sub 2}S thin films with 10 nm in thickness have an optical visible transmittance of about 85% rendering them as very good candidates for transparent p-type semiconductors. In this work Cu{sub x}S thin films were deposited on alkali-free (AF) glass by thermal evaporation. The objective was not only the determination of its optoelectronic properties but also the feasibility of an active layer in a p-type thin film transistor. In our Cu{sub x}S thin films, p-type high conductivity with a total visible transmittance of about 50% have been achieved. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  11. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    Science.gov (United States)

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  12. Semiconductor photocatalysts for water oxidation: current status and challenges.

    Science.gov (United States)

    Yang, Lingling; Zhou, Han; Fan, Tongxiang; Zhang, Di

    2014-04-21

    Artificial photosynthesis is a highly-promising strategy to convert solar energy into hydrogen energy for the relief of the global energy crisis. Water oxidation is the bottleneck for its kinetic and energetic complexity in the further enhancement of the overall efficiency of the artificial photosystem. Developing efficient and cost-effective photocatalysts for water oxidation is a growing desire, and semiconductor photocatalysts have recently attracted more attention due to their stability and simplicity. This article reviews the recent advancement of semiconductor photocatalysts with a focus on the relationship between material optimization and water oxidation efficiency. A brief introduction to artificial photosynthesis and water oxidation is given first, followed by an explanation of the basic rules and mechanisms of semiconductor particulate photocatalysts for water oxidation as theoretical references for discussions of componential, surface structure, and crystal structure modification. O2-evolving photocatalysts in Z-scheme systems are also introduced to demonstrate practical applications of water oxidation photocatalysts in artificial photosystems. The final part proposes some challenges based on the dynamics and energetics of photoholes which are fundamental to the enhancement of water oxidation efficiency, as well as on the simulation of natural water oxidation that will be a trend in future research.

  13. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  14. Charge carrier mobility in thin films of organic semiconductors by the gated van der Pauw method

    Science.gov (United States)

    Rolin, Cedric; Kang, Enpu; Lee, Jeong-Hwan; Borghs, Gustaaf; Heremans, Paul; Genoe, Jan

    2017-01-01

    Thin film transistors based on high-mobility organic semiconductors are prone to contact problems that complicate the interpretation of their electrical characteristics and the extraction of important material parameters such as the charge carrier mobility. Here we report on the gated van der Pauw method for the simple and accurate determination of the electrical characteristics of thin semiconducting films, independently from contact effects. We test our method on thin films of seven high-mobility organic semiconductors of both polarities: device fabrication is fully compatible with common transistor process flows and device measurements deliver consistent and precise values for the charge carrier mobility and threshold voltage in the high-charge carrier density regime that is representative of transistor operation. The gated van der Pauw method is broadly applicable to thin films of semiconductors and enables a simple and clean parameter extraction independent from contact effects. PMID:28397852

  15. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  16. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    Science.gov (United States)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  17. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  18. Combinatorial study of zinc tin oxide thin-film transistors

    Science.gov (United States)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  19. Determination of the transport levels in thin films of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Krause, Stefan

    2009-07-27

    The approach of using the combination of Ultraviolet (UPS) and Inverse Photoemission (IPS) to determine the transport levels in thin films of organic semiconductors is the scope of this work. For this matter all influences on the peak position and width in Photoelectron Spectroscopy are discussed with a special focus on organic semiconductors. Many of these influences are shown with experimental results of the investigation of diindenoperylene on Ag(111). These findings are applied to inorganic semiconductors silicon in order to establish the use of UPS and IPS on a well-understood system. Finally, the method is used to determine the transport level of several organic semiconductors (PTCDA, Alq3, CuPc, DIP, PBI-H4) and the corresponding exciton binding energies are calculated by comparison to optical absorption data. (orig.)

  20. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  1. Relationships among surface processing at the nanometer scale, nanostructure and optical properties of thin oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria

    2004-05-01

    Spectroscopic ellipsometry is used to study the optical properties of nanostructured semiconductor oxide thin films. Various examples of models for the dielectric function, based on Lorentzian oscillators combined with the Drude model, are given based on the band structure of the analyzed oxide. With this approach, the optical properties of thin films are determined independent of the dielectric functions of the corresponding bulk materials, and correlation between the optical properties and nanostructure of thin films is investigated. In particular, in order to discuss the dependence of optical constants on grain size, CeO{sub 2} nanostructured films are considered and parameterized by two-Lorentzian oscillators or two-Tauc-Lorentz model depending on the nanostructure and oxygen deficiency. The correlation among anisotropy, crystalline fraction and optical properties parameterized by a four-Lorentz oscillator model is discussed for nanocrystalline V{sub 2}O{sub 5} thin films. Indium tin oxide thin films are discussed as an example of the presence of graded optical properties related to interfacial reactivity activated by processing conditions. Finally, the example of ZnO shows the potential of ellipsometry in discerning crystal and epitaxial film polarity through the analysis of spectra and the detection of surface reactivity of the two polar faces, i.e. Zn-polarity and O-polarity.

  2. Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A crystalline structure and a semiconductor device includes a substrate of a semiconductor-based material and a thin film of an anisotropic crystalline material epitaxially arranged upon the surface of the substrate so that the thin film couples to the underlying substrate and so that the geometries of substantially all of the unit cells of the thin film are arranged in a predisposed orientation relative to the substrate surface. The predisposition of the geometries of the unit cells of the thin film is responsible for a predisposed orientation of a directional-dependent quality, such as the dipole moment, of the unit cells. The predisposed orientation of the unit cell geometries are influenced by either a stressed or strained condition of the lattice at the interface between the thin film material and the substrate surface.

  3. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Directory of Open Access Journals (Sweden)

    Jong Woo Jin

    2016-08-01

    Full Text Available Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative VTH shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H2O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  4. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Jong Woo [LPICM, CNRS, Ecole Polytechnique, Université Paris Saclay, 91128, Palaiseau (France); Nathan, Arokia, E-mail: an299@cam.ac.uk [Engineering Department, University of Cambridge, Cambridge, CB3 0FA (United Kingdom); Barquinha, Pedro; Pereira, Luís; Fortunato, Elvira; Martins, Rodrigo [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Cobb, Brian [Holst Centre/TNO, Eindhoven, 5656 AE (Netherlands)

    2016-08-15

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (V{sub TH}) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative V{sub TH} shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H{sub 2}O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  5. Understanding polymorphism in organic semiconductor thin films through nanoconfinement.

    Science.gov (United States)

    Diao, Ying; Lenn, Kristina M; Lee, Wen-Ya; Blood-Forsythe, Martin A; Xu, Jie; Mao, Yisha; Kim, Yeongin; Reinspach, Julia A; Park, Steve; Aspuru-Guzik, Alán; Xue, Gi; Clancy, Paulette; Bao, Zhenan; Mannsfeld, Stefan C B

    2014-12-10

    Understanding crystal polymorphism is a long-standing challenge relevant to many fields, such as pharmaceuticals, organic semiconductors, pigments, food, and explosives. Controlling polymorphism of organic semiconductors (OSCs) in thin films is particularly important given that such films form the active layer in most organic electronics devices and that dramatic changes in the electronic properties can be induced even by small changes in the molecular packing. However, there are very few polymorphic OSCs for which the structure-property relationships have been elucidated so far. The major challenges lie in the transient nature of metastable forms and the preparation of phase-pure, highly crystalline thin films for resolving the crystal structures and evaluating the charge transport properties. Here we demonstrate that the nanoconfinement effect combined with the flow-enhanced crystal engineering technique is a powerful and likely material-agnostic method to identify existing polymorphs in OSC materials and to prepare the individual pure forms in thin films at ambient conditions. With this method we prepared high quality crystal polymorphs and resolved crystal structures of 6,13-bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene), including a new polymorph discovered via in situ grazing incidence X-ray diffraction and confirmed by molecular mechanic simulations. We further correlated molecular packing with charge transport properties using quantum chemical calculations and charge carrier mobility measurements. In addition, we applied our methodology to a [1]benzothieno[3,2-b][1]1benzothiophene (BTBT) derivative and successfully stabilized its metastable form.

  6. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    Science.gov (United States)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  7. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    Science.gov (United States)

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  8. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  9. Oxide Thin-Film Electronics using All-MXene Electrical Contacts

    KAUST Repository

    Wang, Zhenwei

    2018-02-23

    2D MXenes have shown great promise in electrochemical and electromagnetic shielding applications. However, their potential use in electronic devices is significantly less explored. The unique combination of metallic conductivity and hydrophilic surface suggests that MXenes can also be promising in electronics and sensing applications. Here, it is shown that metallic Ti3C2 MXene with work function of 4.60 eV can make good electrical contact with both zinc oxide (ZnO) and tin monoxide (SnO) semiconductors, with negligible band offsets. Consequently, both n-type ZnO and p-type SnO thin-film transistors (TFTs) have been fabricated entirely using large-area MXene (Ti3C2) electrical contacts, including gate, source, and drain. The n- and p-type TFTs show balanced performance, including field-effect mobilities of 2.61 and 2.01 cm2 V−1 s−1 and switching ratios of 3.6 × 106 and 1.1 × 103, respectively. Further, complementary metal oxide semiconductor (CMOS) inverters are demonstrated. The CMOS inverters show large voltage gain of 80 and excellent noise margin of 3.54 V, which is 70.8% of the ideal value. Moreover, the operation of CMOS inverters is shown to be very stable under a 100 Hz square waveform input. The current results suggest that MXene (Ti3C2) can play an important role as contact material in nanoelectronics.

  10. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  11. Temperature Dependence of the Seebeck Coefficient in Zinc Oxide Thin Films

    Science.gov (United States)

    Noori, Amirreza; Masoumi, Saeed; Hashemi, Najmeh

    2017-12-01

    Thermoelectric devices are reliable tools for converting waste heat into electricity as they last long, produce no noise or vibration, have no moving elements, and their light weight makes them suitable for the outer space usage. Materials with high thermoelectric figure of merit (zT) have the most important role in the fabrication of efficient thermoelectric devices. Metal oxide semiconductors, specially zinc oxide has recently received attention as a material suitable for sensor, optoelectronic and thermoelectric device applications because of their wide direct bandgap, chemical stability, high-energy radiation endurance, transparency and acceptable zT. Understanding the thermoelectric properties of the undoped ZnO thin films can help design better ZnO-based devices. Here, we report the results of our experimental work on the thermoelectric properties of the undoped polycrystalline ZnO thin films. These films are deposited on alumina substrates by thermal evaporation of zinc in vacuum followed by a controlled oxidation process in air carried out at the 350-500 °C temperature range. The experimental setup including gradient heaters, thermometry system and Seebeck voltage measurement equipment for high resistance samples is described. Seebeck voltage and electrical resistivity of the samples are measured at different conditions. The observed temperature dependence of the Seebeck coefficient is discussed.

  12. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  13. P-type Oxide Semiconductors for Transparent & Energy Efficient Electronics

    KAUST Repository

    Wang, Zhenwei

    2018-03-11

    Emerging transparent semiconducting oxide (TSO) materials have achieved their initial commercial success in the display industry. Due to the advanced electrical performance, TSOs have been adopted either to improve the performance of traditional displays or to demonstrate the novel transparent and flexible displays. However, due to the lack of feasible p-type TSOs, the applications of TSOs is limited to unipolar (n-type TSOs) based devices. Compared with the prosperous n-type TSOs, the performance of p-type counterparts is lag behind. However, after years of discovery, several p-type TSOs are confirmed with promising performance, for example, tin monoxide (SnO). By using p-type SnO, excellent transistor field-effect mobility of 6.7 cm2 V-1 s-1 has been achieved. Motivated by this encouraging performance, this dissertation is devoted to further evaluate the feasibility of integrating p-type SnO in p-n junctions and complementary metal oxide semiconductor (CMOS) devices. CMOS inverters are fabricated using p-type SnO and in-situ formed n-type tin dioxide (SnO2). The semiconductors are simultaneously sputtered, which simplifies the process of CMOS inverters. The in-situ formation of SnO2 phase is achieved by selectively sputtering additional capping layer, which serves as oxygen source and helps to balance the process temperature for both types of semiconductors. Oxides based p-n junctions are demonstrated between p-type SnO and n-type SnO2 by magnetron sputtering method. Diode operating ideality factor of 3.4 and rectification ratio of 103 are achieved. A large temperature induced knee voltage shift of 20 mV oC-1 is observed, and explained by the large band gap and shallow states in SnO, which allows minor adjustment of band structure in response to the temperature change. Finally, p-type SnO is used to demonstrating the hybrid van der Waals heterojunctions (vdWHs) with two-dimensional molybdenum disulfide (2D MoS2) by mechanical exfoliation. The hybrid vdWHs show

  14. Electrochemical Thinning for Anodic Aluminum Oxide and Anodic Titanium Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lee, In Hae; Jo, Yun Kyoung; Kim, Yong Tae; Tak, Yong Sug; Choi, Jin Sub [Inha University, Incheon (Korea, Republic of)

    2012-05-15

    For given electrolytes, different behaviors of anodic aluminum oxide (AAO) and anodic titanium oxide (ATO) during electrochemical thinning are explained by ionic and electronic current modes. Branched structures are unavoidably created in AAO since the switch of ionic to electronic current is slow, whereas the barrier oxide in ATO is thinned without formation of the branched structures. In addition, pore opening can be possible in ATO if chemical etching is performed after the thinning process. The thinning was optimized for complete pore opening in ATO and potential-current behavior is interpreted in terms of ionic current-electronic current switching.

  15. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  16. Optical approach to thermopower and conductivity measurements in thin-film semiconductors

    International Nuclear Information System (INIS)

    Dersch, H.; Amer, N.M.

    1984-01-01

    An optical beam deflection technique is applied to measure the Joule and Peltier heat generated by electric currents through thin-film semiconductors. The method yields a spatially resolved conductivity profile and allows the determination of Peltier coefficients. Results obtained on doped hydrogenated amorphous silicon films are presented

  17. Laser photo-reflectance characterization of resonant nonlinear electro-refraction in thin semiconductor films

    International Nuclear Information System (INIS)

    Chism, Will; Cartwright, Jason

    2012-01-01

    Photo-reflectance (PR) measurements provide a non-contact means for the precise characterization of semiconductor electronic properties. In this paper, we investigate the use of a laser beam as the probe beam in the PR setup. In this case it is seen that the nonlinear refraction is responsible for the amplitude change of the reflected probe field, whereas the phase change is due to nonlinear absorption. The open aperture condition may then be used to eliminate the spatial phase at the detector, thereby isolating the electro-refractive contribution to the PR signal. This greatly simplifies the PR analysis and allows absolute measurements of electro-refraction in thin semiconductor films. We report the application of the laser PR technique to characterize physical strain in thin silicon on silicon-germanium films. - Highlights: ► We describe the theory of laser photoreflectance. ► Laser photoreflectance is used to independently characterize nonlinear refraction. ► We report the characterization of strain in thin strained silicon films.

  18. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-01-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high

  19. Organic semiconductor growth and morphology considerations for organic thin-film transistors.

    Science.gov (United States)

    Virkar, Ajay A; Mannsfeld, Stefan; Bao, Zhenan; Stingelin, Natalie

    2010-09-08

    Analogous to conventional inorganic semiconductors, the performance of organic semiconductors is directly related to their molecular packing, crystallinity, growth mode, and purity. In order to achieve the best possible performance, it is critical to understand how organic semiconductors nucleate and grow. Clever use of surface and dielectric modification chemistry can allow one to control the growth and morphology, which greatly influence the electrical properties of the organic transistor. In this Review, the nucleation and growth of organic semiconductors on dielectric surfaces is addressed. The first part of the Review concentrates on small-molecule organic semiconductors. The role of deposition conditions on film formation is described. The modification of the dielectric interface using polymers or self-assembled mono-layers and their effect on organic-semiconductor growth and performance is also discussed. The goal of this Review is primarily to discuss the thin-film formation of organic semiconducting species. The patterning of single crystals is discussed, while their nucleation and growth has been described elsewhere (see the Review by Liu et. al).([¹]) The second part of the Review focuses on polymeric semiconductors. The dependence of physico-chemical properties, such as chain length (i.e., molecular weight) of the constituting macromolecule, and the influence of small molecular species on, e.g., melting temperature, as well as routes to induce order in such macromolecules, are described.

  20. Semiconductor/metal nanocomposites formed by in situ reduction method in multilayer thin films

    International Nuclear Information System (INIS)

    Song Yanli; Wang Enbo; Tian Chungui; Mao Baodong; Wang Chunlei

    2009-01-01

    A layer-by-layer adsorption and in situ reduction method was adopted for synthesizing semiconductor/metal nanocomposites in multilayer ultra-thin films. Alternate adsorption of ZnO nanoparticles modified with poly(ethyleneimine), hydrogentetrachloroaurate and poly(styrenesulfonate) sodium results in the formation of ZnO/AuCl 4 - -loaded multilayer films. In situ reduction of the incorporated metal ions by heating yields ZnO/Au nanocomposites in the films. UV-vis absorption spectroscopy and X-ray photoelectron spectroscopy were used to characterize the components of the composite films. UV-vis spectra indicate regular growth of the films. The electrochemistry behavior of the multilayer films was studied in detail on indium tin oxide electrode. The combined results suggest that the layer-by-layer adsorption and subsequent reduction method used here provides an effective way to synthesize ZnO/Au nanocomposites in the polymer matrix

  1. Two-dimensional transition metal dichalcogenides as atomically thin semiconductors: opportunities and challenges.

    Science.gov (United States)

    Duan, Xidong; Wang, Chen; Pan, Anlian; Yu, Ruqin; Duan, Xiangfeng

    2015-12-21

    The discovery of graphene has ignited intensive interest in two-dimensional layered materials (2DLMs). These 2DLMs represent a new class of nearly ideal 2D material systems for exploring fundamental chemistry and physics at the limit of single-atom thickness, and have the potential to open up totally new technological opportunities beyond the reach of existing materials. In general, there are a wide range of 2DLMs in which the atomic layers are weakly bonded together by van der Waals interactions and can be isolated into single or few-layer nanosheets. The van der Waals interactions between neighboring atomic layers could allow much more flexible integration of distinct materials to nearly arbitrarily combine and control different properties at the atomic scale. The transition metal dichalcogenides (TMDs) (e.g., MoS2, WSe2) represent a large family of layered materials, many of which exhibit tunable band gaps that can undergo a transition from an indirect band gap in bulk crystals to a direct band gap in monolayer nanosheets. These 2D-TMDs have thus emerged as an exciting class of atomically thin semiconductors for a new generation of electronic and optoelectronic devices. Recent studies have shown exciting potential of these atomically thin semiconductors, including the demonstration of atomically thin transistors, a new design of vertical transistors, as well as new types of optoelectronic devices such as tunable photovoltaic devices and light emitting devices. In parallel, there have also been considerable efforts in developing diverse synthetic approaches for the rational growth of various forms of 2D materials with precisely controlled chemical composition, physical dimension, and heterostructure interface. Here we review the recent efforts, progress, opportunities and challenges in exploring the layered TMDs as a new class of atomically thin semiconductors.

  2. High Photoluminescence Quantum Yields in Organic Semiconductor-Perovskite Composite Thin Films.

    Science.gov (United States)

    Longo, Giulia; La-Placa, Maria-Grazia; Sessolo, Michele; Bolink, Henk J

    2017-10-09

    One of the obstacles towards efficient radiative recombination in hybrid perovskites is a low exciton binding energy, typically in the orders of tens of meV. It has been shown that the use of electron-donor additives can lead to a substantial reduction of the non-radiative recombination in perovskite films. Herein, the approach using small molecules with semiconducting properties, which are candidates to be implemented in future optoelectronic devices, is presented. In particular, highly luminescent perovskite-organic semiconductor composite thin films have been developed, which can be processed from solution in a simple coating step. By tuning the relative concentration of methylammonium lead bromide (MAPbBr 3 ) and 9,9spirobifluoren-2-yl-diphenyl-phosphine oxide (SPPO1), it is possible to achieve photoluminescent quantum yields (PLQYs) as high as 85 %. This is attributed to the dual functions of SPPO1 that limit the grain growth while passivating the perovskite surface. The electroluminescence of these materials was investigated by fabricating multilayer LEDs, where charge injection and transport was found to be severely hindered for the perovskite/SPPO1 material. This was alleviated by partially substituting SPPO1 with a hole-transporting material, 1,3-bis(N-carbazolyl)benzene (mCP), leading to bright electroluminescence. The potential of combining perovskite and organic semiconductors to prepare materials with improved properties opens new avenues for the preparation of simple lightemitting devices using perovskites as the emitter. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Positron Studies of Defects in Thin Films and Semiconductors

    OpenAIRE

    Edwardson, C J

    2013-01-01

    Positron studies of defects in thin films and semiconductors are reviewed. The resultsobtained from experimental studies of Doppler broadening of annihilation radiation (DBAR)from variable energies are presented. Normalisation methods for the DBAR parameters S andW have been developed, allowing for direct comparisons of the results for different samplestaken over long periods of time. The evaluation of the P:V parameter, the peak-to-valley ratioin a full annihilation spectrum, has been improv...

  4. Thin Solid Oxide Cell

    DEFF Research Database (Denmark)

    2010-01-01

    The present invention relates to a thin and in principle unsupported solid oxide cell, comprising at least a porous anode layer, an electrolyte layer and a porous cathode layer, wherein the anode layer and the cathode layer comprise an electrolyte material, at least one metal and a catalyst...... material, and wherein the overall thickness of the thin reversible cell is about 150 [mu]m or less, and to a method for producing same. The present invention also relates to a thin and in principle unsupported solid oxide cell, comprising at least a porous anode layer, an electrolyte layer and a porous...... cathode layer, wherein the anode layer and the cathode layer comprise an electrolyte material and a catalyst material, wherein the electrolyte material is doper zirconia, and wherein the overall thickness of the thin reversible cell is about 150 [mu]m or less, and to a method for producing same...

  5. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  6. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    Science.gov (United States)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  7. Investigations on MGy ionizing dose effects in thin oxides of micro-electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Gaillardin, M.; Paillet, P.; Raine, M.; Martinez, M.; Marcandella, C.; Duhamel, O.; Richard, N.; Leray, J.L. [CEA, DAM, DIF, F-91297 Arpajon (France); Goiffon, V.; Corbiere, F.; Rolando, S.; Molina, R.; Magnan, P. [ISAE, Universite de Toulouse, 10 avenue Edouard Belin, BP 54032, 31055 Toulouse Cedex 4 (France); Girard, S.; Ouerdane, Y.; Boukenter, A. [Universite de Saint-Etienne, Laboratoire H. Curien, UMR-5516, 42000, Saint-Etienne (France)

    2015-07-01

    Total ionizing dose (TID) effects have been studied for a long time in micro-electronic components designed to operate in natural and artificial environments. In most cases, TID induces both charge trapping in the bulk of irradiated oxides and the buildup of interface traps located at semiconductor/dielectric interfaces. Such effects result from basic mechanisms driven by both the shape of the electric field which stands into the oxide and by fabrication process parameters inducing pre-existing traps in the oxide's bulk. From the pioneering studies based on 'thick' oxide technologies to the most recent ones dedicated to innovative technologies, most studies concluded that the impact of total ionizing dose effects reduces with the oxide thinning. This is specifically the case for the gate-oxide of Metal-Oxide-Semiconductor Field Effect Transistors (MOSFET) for which it is generally considered that TID is not a major issue anymore at kGy dose ranges. TID effects are now mainly due to charge trapping in the field oxides such as Shallow Trench Isolation. This creates either parasitic conduction paths or Radiation-Induced Narrow Channel Effects (RINCE). Static current-voltage (I-V) electrical characteristics are then modified through a significant increase of the off-current of NMOS transistors or by shifting the whole I-V curves (of both NMOS and PMOS transistors). Based on these assumptions, no significant shift of I-V curves should be observed in modern bulk CMOS technologies. However, such phenomenon may not be directly extrapolated to higher TID ranges, typically of several MGy for which only few data are available in the literature. This paper presents evidences of large threshold voltage shifts measured at MGy dose levels despite the fact that transistors are designed in a submicron bulk technology which features a 7-nm thin gate-oxide on GO2 transistors dedicated to mixed analog/digital integrated circuits. Such electrical shifts are encountered

  8. Investigations on MGy ionizing dose effects in thin oxides of micro-electronic devices

    International Nuclear Information System (INIS)

    Gaillardin, M.; Paillet, P.; Raine, M.; Martinez, M.; Marcandella, C.; Duhamel, O.; Richard, N.; Leray, J.L.; Goiffon, V.; Corbiere, F.; Rolando, S.; Molina, R.; Magnan, P.; Girard, S.; Ouerdane, Y.; Boukenter, A.

    2015-01-01

    Total ionizing dose (TID) effects have been studied for a long time in micro-electronic components designed to operate in natural and artificial environments. In most cases, TID induces both charge trapping in the bulk of irradiated oxides and the buildup of interface traps located at semiconductor/dielectric interfaces. Such effects result from basic mechanisms driven by both the shape of the electric field which stands into the oxide and by fabrication process parameters inducing pre-existing traps in the oxide's bulk. From the pioneering studies based on 'thick' oxide technologies to the most recent ones dedicated to innovative technologies, most studies concluded that the impact of total ionizing dose effects reduces with the oxide thinning. This is specifically the case for the gate-oxide of Metal-Oxide-Semiconductor Field Effect Transistors (MOSFET) for which it is generally considered that TID is not a major issue anymore at kGy dose ranges. TID effects are now mainly due to charge trapping in the field oxides such as Shallow Trench Isolation. This creates either parasitic conduction paths or Radiation-Induced Narrow Channel Effects (RINCE). Static current-voltage (I-V) electrical characteristics are then modified through a significant increase of the off-current of NMOS transistors or by shifting the whole I-V curves (of both NMOS and PMOS transistors). Based on these assumptions, no significant shift of I-V curves should be observed in modern bulk CMOS technologies. However, such phenomenon may not be directly extrapolated to higher TID ranges, typically of several MGy for which only few data are available in the literature. This paper presents evidences of large threshold voltage shifts measured at MGy dose levels despite the fact that transistors are designed in a submicron bulk technology which features a 7-nm thin gate-oxide on GO2 transistors dedicated to mixed analog/digital integrated circuits. Such electrical shifts are encountered

  9. (ZnO) m pellets as cause of variability in thin film transistor

    Indian Academy of Sciences (India)

    Indium–gallium–zinc oxide (IGZO) is a novel amorphous oxide semiconductor, which recently has received much attention for thin film transistors (TFTs) in flat panel displays. Published literature reports significant variations in the properties of thin films and TFTs prepared from IGZO even though the reported process ...

  10. Solid Surfaces, Interfaces and Thin Films

    CERN Document Server

    Lüth, Hans

    2010-01-01

    This book emphasises both experimental and theoretical aspects of surface, interface and thin film physics. As in previous editions the preparation of surfaces and thin films, their atomic and morphological, their vibronic and electronic properties as well as fundamentals of adsorption are treated. Because of their importance in modern information technology and nanostructure physics particular emphasis is paid to electronic surface and interface states, semiconductor space charge layers and heterostructures as well as to superconductor/semiconductor interfaces and magnetic thin films. The latter topic was significantly extended in this new edition by more details about the giant magnetoresistance and a section about the spin-transfer torque mechanism including one new problem as exercise. Two new panels about Kerr-effect and spin-polarized scanning tunnelling microscopy were added, too. Furthermore, the meanwhile important group III-nitride surfaces and high-k oxide/semiconductor interfaces are shortly discu...

  11. Electron Band Alignment at Interfaces of Semiconductors with Insulating Oxides: An Internal Photoemission Study

    Directory of Open Access Journals (Sweden)

    Valeri V. Afanas'ev

    2014-01-01

    Full Text Available Evolution of the electron energy band alignment at interfaces between different semiconductors and wide-gap oxide insulators is examined using the internal photoemission spectroscopy, which is based on observations of optically-induced electron (or hole transitions across the semiconductor/insulator barrier. Interfaces of various semiconductors ranging from the conventional silicon to the high-mobility Ge-based (Ge, Si1-xGex, Ge1-xSnx and AIIIBV group (GaAs, InxGa1-xAs, InAs, GaP, InP, GaSb, InSb materials were studied revealing several general trends in the evolution of band offsets. It is found that in the oxides of metals with cation radii larger than ≈0.7 Å, the oxide valence band top remains nearly at the same energy (±0.2 eV irrespective of the cation sort. Using this result, it becomes possible to predict the interface band alignment between oxides and semiconductors as well as between dissimilar insulating oxides on the basis of the oxide bandgap width which are also affected by crystallization. By contrast, oxides of light elements, for example, Be, Mg, Al, Si, and Sc exhibit significant shifts of the valence band top. General trends in band lineup variations caused by a change in the composition of semiconductor photoemission material are also revealed.

  12. In situ X-ray synchrotron study of organic semiconductor ultra-thin films growth

    International Nuclear Information System (INIS)

    Moulin, J.-F.; Dinelli, F.; Massi, M.; Albonetti, C.; Kshirsagar, R.; Biscarini, F.

    2006-01-01

    In this work we present an X-ray diffraction study of the early stages of growth of an organic semiconductor (sexithiophene, T 6 ) thin film prepared by high vacuum sublimation. Specular reflectometry and grazing incidence X-ray diffraction were used to monitor the formation of T 6 films on silicon oxide. Our results show that T 6 grows as a crystalline layer from the beginning of the evaporation. The reflectometry analysis suggests that, in the range of rates and temperatures studied, the growth is never layer by layer but rather 3D in nature. In-plane GIXD has allowed us to observe for the first time a thin film phase of T 6 formed of molecules standing normal to the substrate and arranged in a compressed unit cell with respect to the bulk, i.e. the unit cell parameters b and c are relatively smaller. We have followed the dynamics of formation of this new phase and identified the threshold of appearance of the bulk phase, which occurs above ∼5-6 monolayers. These results are relevant to the problem of organic thin film transistors, for which we have previously demonstrated experimentally that only the first two monolayers of T 6 films are involved in the electrical transport. The layers above the second one do not effectively contribute to charge mobility, either because they are more 'disordered' or because of a screening of the gate field

  13. Preparation of n-type semiconductor SnO2 thin films

    International Nuclear Information System (INIS)

    Rahal, Achour; Benramache, Said; Benhaoua, Boubaker

    2013-01-01

    We studied fluorine-doped tin oxide on a glass substrate at 350°C using an ultrasonic spray technique. Tin (II) chloride dehydrate, ammonium fluoride dehydrate, ethanol and NaOH were used as the starting material, dopant source, solvent and stabilizer, respectively. The SnO 2 : F thin films were deposited at 350°C and a pending time of 60 and 90 s. The as-grown films exhibit a hexagonal wurtzite structure and have (101) orientation. The G = 31.82 nm value of the grain size is attained from SnO 2 : F film grown at 90 s, and the transmittance is greater than 80% in the visible region. The optical gap energy is found to measure 4.05 eV for the film prepared at 90 s, and the increase in the electrical conductivity of the film with the temperature of the sample is up to a maximum value of 265.58 (Ω·cm) −1 , with the maximum activation energy value of the films being found to measure 22.85 meV, indicating that the films exhibit an n-type semiconducting nature. (semiconductor materials)

  14. Synthesis, Characterization, and Ultrafast Dynamics of Metal, Metal Oxide, and Semiconductor Nanomaterials

    OpenAIRE

    Wheeler, Damon Andreas

    2013-01-01

    SYNTHESIS, CHARACTERIZATION, AND ULTRAFAST DYNAMICS OF METAL, METAL OXIDE, AND SEMICONDUCTOR NANOMATERIALSABSTRACTThe optical properties of each of the three main classes of inorganic nanomaterials, metals, metal oxides, and semiconductors differ greatly due to the intrinsically different nature of the materials. These optical properties are among the most fascinating and useful aspects of nanomaterials with applications spanning cancer treatment, sensors, lasers, and solar cells. One techn...

  15. Transparent megahertz circuits from solution-processed composite thin films.

    Science.gov (United States)

    Liu, Xingqiang; Wan, Da; Wu, Yun; Xiao, Xiangheng; Guo, Shishang; Jiang, Changzhong; Li, Jinchai; Chen, Tangsheng; Duan, Xiangfeng; Fan, Zhiyong; Liao, Lei

    2016-04-21

    Solution-processed amorphous oxide semiconductors have attracted considerable interest in large-area transparent electronics. However, due to its relative low carrier mobility (∼10 cm(2) V(-1) s(-1)), the demonstrated circuit performance has been limited to 800 kHz or less. Herein, we report solution-processed high-speed thin-film transistors (TFTs) and integrated circuits with an operation frequency beyond the megahertz region on 4 inch glass. The TFTs can be fabricated from an amorphous indium gallium zinc oxide/single-walled carbon nanotube (a-IGZO/SWNT) composite thin film with high yield and high carrier mobility of >70 cm(2) V(-1) s(-1). On-chip microwave measurements demonstrate that these TFTs can deliver an unprecedented operation frequency in solution-processed semiconductors, including an extrinsic cut-off frequency (f(T) = 102 MHz) and a maximum oscillation frequency (f(max) = 122 MHz). Ring oscillators further demonstrated an oscillation frequency of 4.13 MHz, for the first time, realizing megahertz circuit operation from solution-processed semiconductors. Our studies represent an important step toward high-speed solution-processed thin film electronics.

  16. Hydrogen ion sensors based on indium tin oxide thin film using radio frequency sputtering system

    International Nuclear Information System (INIS)

    Chiang, Jung-Lung; Jhan, Syun-Sheng; Hsieh, Shu-Chen; Huang, An-Li

    2009-01-01

    Indium tin oxide (ITO) thin films were deposited onto Si and SiO 2 /Si substrates using a radio frequency sputtering system with a grain size of 30-50 nm and thickness of 270-280 nm. ITO/Si and ITO/SiO 2 /Si sensing structures were achieved and connected to a standard metal-oxide-semiconductor field-effect transistor (MOSFET) as an ITO pH extended-gate field-effect transistor (ITO pH-EGFET). The semiconductor parameter analysis measurement (Keithley 4200) was utilized to measure the current-voltage (I-V) characteristics curves and study the sensing properties of the ITO pH-EGFET. The linear pH voltage sensitivities were about 41.43 and 43.04 mV/pH for the ITO/Si and ITO/SiO 2 /Si sensing structures, respectively. At the same time, both pH current sensitivities were about 49.86 and 51.73 μA/pH, respectively. Consequently, both sensing structures can be applied as extended-gate sensing heads. The separative structure is suitable for application as a disposable pH sensor.

  17. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    Science.gov (United States)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  18. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  19. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices.

    Science.gov (United States)

    Bi, Lei; Hu, Juejun; Jiang, Peng; Kim, Hyun Suk; Kim, Dong Hun; Onbasli, Mehmet Cengiz; Dionne, Gerald F; Ross, Caroline A

    2013-11-08

    Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO₂ -δ , Co- or Fe-substituted SrTiO 3- δ , as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti 0.2 Ga 0.4 Fe 0.4 )O 3- δ and polycrystalline (CeY₂)Fe₅O 12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY₂)Fe₅O 12 /silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates.

  20. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin films • Oxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  1. Blending crystalline/liquid crystalline small molecule semiconductors: A strategy towards high performance organic thin film transistors

    Science.gov (United States)

    He, Chao; He, Yaowu; Li, Aiyuan; Zhang, Dongwei; Meng, Hong

    2016-10-01

    Solution processed small molecule polycrystalline thin films often suffer from the problems of inhomogeneity and discontinuity. Here, we describe a strategy to solve these problems through deposition of the active layer from a blended solution of crystalline (2-phenyl[1]benzothieno[3,2-b][1]benzothiophene, Ph-BTBT) and liquid crystalline (2-(4-dodecylphenyl) [1]benzothieno[3,2-b]benzothiophene, C12-Ph-BTBT) small molecule semiconductors with the hot spin-coating method. Organic thin film transistors with average hole mobility approaching 1 cm2/V s, much higher than that of single component devices, have been demonstrated, mainly due to the improved uniformity, continuity, crystallinity, and stronger intermolecular π-π stacking in blend thin films. Our results indicate that the crystalline/liquid crystalline semiconductor blend method is an effective way to enhance the performance of organic transistors.

  2. Electrical characterization of reduced graphene oxide (rGO) on organic thin film transistor (OTFT)

    Science.gov (United States)

    Musa, Nurhazwani; Halim, Nurul Farhanah Ab.; Ahmad, Mohd Noor; Zakaria, Zulkhairi; Hashim, Uda

    2017-03-01

    A green method and eco-friendly solution were used to chemically reduce graphene oxide (GO) to graphene using green reductant. In this study, graphene oxide (GO) were prepared by using Tours method. Then, reduced graphene oxides (rGO) were prepared by using three typical reduction agents: L-ascorbic acid (L-AA), formamidinesulfinic acid (FAS) and sodium sulfite (Na2SO3). The reduced materials were characterized by Fourier transform infrared spectroscopy (FTIR), Thermo gravimetric analysis (TGA) and X-ray diffraction (XRD). Graphene based organic thin film transistor (G-OTFT) was prepared by a spin coating and thermal evaporation technique. The electrical characterization of G-OTFT was analyzed by using semiconductor parameter analyzer (SPA). The G-OTFT devices show p-type semiconducting behaviour. This article focuses on the synthesis and reduction of graphene oxide using three different reductants in order to maximise its electrical conductivity. The rGO product demonstrated a good electrical conductivity performance with highly sensitivity sensor.

  3. New approach to local anodic oxidation of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Martaus, Jozef; Gregusova, Dagmar; Cambel, Vladimir; Kudela, Robert; Soltys, Jan

    2008-01-01

    We have experimentally explored a new approach to local anodic oxidation (LAO) of a semiconductor heterostructures by means of atomic force microscopy (AFM). We have applied LAO to an InGaP/AlGaAs/GaAs heterostructure. Although LAO is usually applied to oxidize GaAs/AlGaAs/GaAs-based heterostructures, the use of the InGaP/AlGaAs/GaAs system is more advantageous. The difference lies in the use of different cap layer materials: Unlike GaAs, InGaP acts like a barrier material with respect to the underlying AlGaAs layer and has almost one order of magnitude lower density of surface states than GaAs. Consequently, the InGaP/AlGaAs/GaAs heterostructure had the remote Si-δ doping layer only 6.5 nm beneath the surface and the two-dimensional electron gas (2DEG) was confined only 23.5 nm beneath the surface. Moreover, InGaP unaffected by LAO is a very durable material in various etchants and allows us to repeatedly remove thin portions of the underlying AlGaAs layer via wet etching. This approach influences LAO technology fundamentally: LAO was used only to oxidize InGaP cap layer to define very narrow (∼50 nm) patterns. Subsequent wet etching was used to form very narrow and high-energy barriers in the 2DEG patterns. This new approach is promising for the development of future nano-devices operated both at low and high temperatures

  4. Unidirectional oxide hetero-interface thin-film diode

    International Nuclear Information System (INIS)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee; Kim, Youn Sang

    2015-01-01

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10 5 at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10 2  Hz < f < 10 6  Hz, providing a high feasibility for practical applications

  5. Unidirectional oxide hetero-interface thin-film diode

    Energy Technology Data Exchange (ETDEWEB)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Youn Sang, E-mail: younskim@snu.ac.kr [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Advanced Institute of Convergence Technology, Gyeonggi-do 443-270 (Korea, Republic of)

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing a high feasibility for practical applications.

  6. Positron studies of metal-oxide-semiconductor structures

    Science.gov (United States)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  7. Water-Mediated Photochemical Treatments for Low-Temperature Passivation of Metal-Oxide Thin-Film Transistors.

    Science.gov (United States)

    Heo, Jae Sang; Jo, Jeong-Wan; Kang, Jingu; Jeong, Chan-Yong; Jeong, Hu Young; Kim, Sung Kyu; Kim, Kwanpyo; Kwon, Hyuck-In; Kim, Jaekyun; Kim, Yong-Hoon; Kim, Myung-Gil; Park, Sung Kyu

    2016-04-27

    The low-temperature electrical passivation of an amorphous oxide semiconductor (AOS) thin-film transistor (TFT) is achieved by a deep ultraviolet (DUV) light irradiation-water treatment-DUV irradiation (DWD) method. The water treatment of the first DUV-annealed amorphous indium-gallium-zinc-oxide (a-IGZO) thin film is likely to induce the preferred adsorption of water molecules at the oxygen vacancies and leads to subsequent hydroxide formation in the bulk a-IGZO films. Although the water treatment initially degraded the electrical performance of the a-IGZO TFTs, the second DUV irradiation on the water-treated devices may enable a more complete metal-oxygen-metal lattice formation while maintaining low oxygen vacancies in the oxide films. Overall, the stable and dense metal-oxygen-metal (M-O-M) network formation could be easily achieved at low temperatures (below 150 °C). The successful passivation of structural imperfections in the a-IGZO TFTs, such as hydroxyl group (OH-) and oxygen vacancies, mainly results in the enhanced electrical performances of the DWD-processed a-IGZO TFTs (on/off current ratio of 8.65 × 10(9), subthreshold slope of 0.16 V/decade, an average mobility of >6.94 cm(2) V(-1) s(-1), and a bias stability of ΔVTH IGZO TFTs.

  8. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  9. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide-Semiconductor Image Sensors.

    Science.gov (United States)

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-05-02

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components.

  10. 2012 Gordon Research Conference on Defects in Semiconductors - Formal Schedule and Speaker/Poster Program

    Energy Technology Data Exchange (ETDEWEB)

    Glaser, Evan [Naval Research Lab. (NRL), Washington, DC (United States)

    2012-08-17

    The meeting shall strive to develop and further the fundamental understanding of defects and their roles in the structural, electronic, optical, and magnetic properties of bulk, thin film, and nanoscale semiconductors and device structures. Point and extended defects will be addressed in a broad range of electronic materials of particular current interest, including wide bandgap semiconductors, metal-oxides, carbon-based semiconductors (e.g., diamond, graphene, etc.), organic semiconductors, photovoltaic/solar cell materials, and others of similar interest. This interest includes novel defect detection/imaging techniques and advanced defect computational methods.

  11. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices

    Directory of Open Access Journals (Sweden)

    Mehmet Cengiz Onbasli

    2013-11-01

    Full Text Available Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO2−δ, Co- or Fe-substituted SrTiO3−δ, as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti0.2Ga0.4Fe0.4O3−δ and polycrystalline (CeY2Fe5O12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY2Fe5O12/silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates.

  12. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  13. Synthesis and characterization of three-dimensional transition metal ions doped zinc oxide based dilute magnetic semiconductor thin films

    Science.gov (United States)

    Samanta, Kousik

    Dilute magnetic semiconductors (DMS), especially 3d-transition metal (TM) doped ZnO based DMS materials are the most promising candidates for optoelectronics and spintronics applications; e.g. in spin light emitting diode (SLED), spin transistors, and spin field effect transistors (SFET), etc. In the present dissertation, thin films of Zn1-xTMxO (TM = Co2+, Cu2+, and Mn2+) were grown on (0001) oriented Al2O3 substrates by pulsed laser deposition (PLD) technique. The films were highly c-axis oriented, nearly single crystalline, and defects free for a limited concentration of the dilution of transition metal ions. In particular, we have obtained single crystalline phases of Zn1-xTMxO thin films for up to 10, 3, and 5 stoichiometric percentages of Co2+, Cu2+, and Mn2+ respectively. Raman micro-probe system was used to understand the structural and lattice dynamical properties at different physical conditions. The confinement of optical phonons in the disorder lattice was explained by alloy potential fluctuation (APF) using a spatial correlation (SC) model. The detailed analysis of the optical phonon behavior in disorder lattice confirmed the substitution of the transition metal ions in Zn 2+ site of the ZnO host lattice. The secondary phases of ZnCo 2O4, CuO, and ZnMn2O4 were detected in higher Co, Cu, and Mn doped ZnO thin films respectively; where as, XRD did not detect these secondary phases in the same samples. Room temperature ferromagnetism was observed in Co2+ and Cu2+ ions doped ZnO thin films with maximum saturation magnetization (Ms) of 1.0 and 0.76 muB respectively. The origin of the observed ferromagnetism in Zn1-xCoxO thin films was tested by the controlled introduction of shallow donors (Al) in Zn0.9-x Co0.1O:Alx (x = 0.005 and 0.01) thin films. The saturation magnetization for the 10% Co-doped ZnO (1.0 muB /Co) at 300K reduced (˜0.25 muB/Co) due to Al doping. The observed ferromagnetism and the reduction due to Al doping can be explained by the Bound

  14. Properties of InGaAs/GaAs metal-oxide-semiconductor heterostructure field-effect transistors modified by surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Gregušová, D., E-mail: Dagmar.Gregusova@savba.sk [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Gucmann, F.; Kúdela, R. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Mičušík, M. [Polymer Institute of Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84541 (Slovakia); Stoklas, R.; Válik, L. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Greguš, J. [Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina, Bratislava SK-84248 (Slovakia); Blaho, M. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Kordoš, P. [Institute of Electronics and Photonics, Faculty of Electrical Engineering and Information Technology STU, Ilkovičova 3, Bratislava SK-81219 (Slovakia)

    2017-02-15

    Highlights: • AlGaAs/InGaAs/GaAs-based metal oxide semiconductor transistors-MOSHFET. • Thin Al-layer deposited in-situ and oxidize in air – gate insulator. • MOSHFET vs HFET transistor properties, density of traps evaluated. - Abstract: GaAs-based heterostructures exhibit excellent carrier transport properties, mainly the high carrier velocity. An AlGaAs-GaAs heterostructure field-effect transistor (HFET) with an InGaAs channel was prepared using metal-organic chemical vapor deposition (MOVPE). An AlOx layer was formed on the AlGaAs barrier layer by the air-assisted oxidation of a thin Al layer deposited in-situ in an MOVPE reactor immediately after AlGaAs/InGaAs growth. The HFETs and MOSHFETs exhibited a very low trap state density in the order of 10{sup 11} cm{sup −2} eV{sup −1}. Capacitance measurement yielded no significant difference between the HFET and MOSHFET structures. The formation of an AlOx layer modified the surface by partially eliminating surface states that arise from Ga-and As-based native oxides. The presence of an AlOx layer reflected in a reduced gate leakage current, which was evidenced by the two-terminal transistor measurement. Presented preparation procedure and device properties show great potential of AlGaAs/InGaAs-based MOSHFETs.

  15. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  16. Electrochemically assisted photocatalysis using nanocrystalline semiconductor thin films

    Energy Technology Data Exchange (ETDEWEB)

    Vinodgopal, K [Department of Chemistry, Indiana University Northwest, Gary, Indiana (United States); Kamat, Prashant V [Notre Dame Radiation Laboratory, Notre Dame, Indiana (United States)

    1995-08-01

    The principle and usefulness of electrochemically assisted photocatalysis has been illustrated with the examples of 4-chlorophenol and Acid Orange 7 degradation in aqueous solutions. Thin nanocrystalline semiconductor films coated on a conducting glass surface when employed as a photoelectrode in an electrochemical cell are effective for degradation of organic contaminants. The degradation rate can be greatly improved even in the absence of oxygen by applying an anodic bias to the TiO{sub 2} film electrodes. A ten-fold enhancement in the degradation rate was observed when TiO{sub 2} particles were coupled with SnO{sub 2} nanocrystallites at an applied bias potential of 0.83 V versus SCE

  17. Growth Of Organic Semiconductor Thin Films with Multi-Micron Domain Size and Fabrication of Organic Transistors Using a Stencil Nanosieve.

    Science.gov (United States)

    Fesenko, Pavlo; Flauraud, Valentin; Xie, Shenqi; Kang, Enpu; Uemura, Takafumi; Brugger, Jürgen; Genoe, Jan; Heremans, Paul; Rolin, Cédric

    2017-07-19

    To grow small molecule semiconductor thin films with domain size larger than modern-day device sizes, we evaporate the material through a dense array of small apertures, called a stencil nanosieve. The aperture size of 0.5 μm results in low nucleation density, whereas the aperture-to-aperture distance of 0.5 μm provides sufficient crosstalk between neighboring apertures through the diffusion of adsorbed molecules. By integrating the nanosieve in the channel area of a thin-film transistor mask, we show a route for patterning both the organic semiconductor and the metal contacts of thin-film transistors using one mask only and without mask realignment.

  18. A divalent rare earth oxide semiconductor: Yttrium monoxide

    Science.gov (United States)

    Kaminaga, Kenichi; Sei, Ryosuke; Hayashi, Kouichi; Happo, Naohisa; Tajiri, Hiroo; Oka, Daichi; Fukumura, Tomoteru; Hasegawa, Tetsuya

    Rare earth sesquioxides like Y2O3 are known as widegap insulators with the highly stable closed shell trivalent rare earth ions. On the other hand, rare earth monoxides such as YO have been recognized as gaseous phase, and only EuO and YbO were thermodynamically stable solid-phase rock salt monoxides. In this study, solid-phase rock salt yttrium monoxide, YO, was synthesized in a form of epitaxial thin film by pulsed laser deposition method. YO possesses unusual valence of Y2+ ([Kr] 4d1) . In contrast with Y2O3, YO was narrow gap semiconductor with dark-brown color. The electrical conductivity was tunable from 10-1 to 103 Ω-1 cm-1 by introducing oxygen vacancies as electron donor. Weak antilocalization behavior was observed indicating significant spin-orbit coupling owing to 4 d electron carrier. The absorption spectral shape implies the Mott-Hubbard insulator character of YO. Rare earth monoixdes will be new platform of functional oxides. This work was supported by JST-CREST, the Japan Society for the Promotion of Science (JSPS) with Grant-in-Aid for Scientific Research on Innovative Areas (Nos. 26105002 and 26105006), and Nanotechnology Platform (Project No.12024046) of MEXT, Japan.

  19. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    Science.gov (United States)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  20. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    Science.gov (United States)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  1. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  2. Organic semiconductor rubrene thin films deposited by pulsed laser evaporation of solidified solutions

    Science.gov (United States)

    Majewska, N.; Gazda, M.; Jendrzejewski, R.; Majumdar, S.; Sawczak, M.; Śliwiński, G.

    2017-08-01

    Organic semiconductor rubrene (C42H28) belongs to most preferred spintronic materials because of the high charge carrier mobility up to 40 cm2(V·s)-1. However, the fabrication of a defect-free, polycrystalline rubrene for spintronic applications represents a difficult task. We report preparation and properties of rubrene thin films deposited by pulsed laser evaporation of solidified solutions. Samples of rubrene dissolved in aromatic solvents toluene, xylene, dichloromethane and 1,1-dichloroethane (0.23-1% wt) were cooled to temperatures in the range of 16.5-163 K and served as targets. The target ablation was provided by a pulsed 1064 nm or 266 nm laser. For films of thickness up to 100 nm deposited on Si, glass and ITO glass substrates, the Raman and AFM data show presence of the mixed crystalline and amorphous rubrene phases. Agglomerates of rubrene crystals are revealed by SEM observation too, and presence of oxide/peroxide (C42H28O2) in the films is concluded from matrix-assisted laser desorption/ionization time-of-flight spectroscopic analysis.

  3. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  4. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  5. Low-voltage organic electronics based on a gate-tunable injection barrier in vertical graphene-organic semiconductor heterostructures.

    Science.gov (United States)

    Hlaing, Htay; Kim, Chang-Hyun; Carta, Fabio; Nam, Chang-Yong; Barton, Rob A; Petrone, Nicholas; Hone, James; Kymissis, Ioannis

    2015-01-14

    The vertical integration of graphene with inorganic semiconductors, oxide semiconductors, and newly emerging layered materials has recently been demonstrated as a promising route toward novel electronic and optoelectronic devices. Here, we report organic thin film transistors based on vertical heterojunctions of graphene and organic semiconductors. In these thin heterostructure devices, current modulation is accomplished by tuning of the injection barriers at the semiconductor/graphene interface with the application of a gate voltage. N-channel devices fabricated with a thin layer of C60 show a room temperature on/off ratio >10(4) and current density of up to 44 mAcm(-2). Because of the ultrashort channel intrinsic to the vertical structure, the device is fully operational at a driving voltage of 200 mV. A complementary p-channel device is also investigated, and a logic inverter based on two complementary transistors is demonstrated. The vertical integration of graphene with organic semiconductors via simple, scalable, and low-temperature fabrication processes opens up new opportunities to realize flexible, transparent organic electronic, and optoelectronic devices.

  6. A 680 V LDMOS on a thin SOI with an improved field oxide structure and dual field plate

    International Nuclear Information System (INIS)

    Wang Zhongjian; Cheng Xinhong; Xia Chao; Xu Dawei; Cao Duo; Song Zhaorui; Yu Yuehui; Shen Dashen

    2012-01-01

    A 680 V LDMOS on a thin SOI with an improved field oxide (FOX) and dual field plate was studied experimentally. The FOX structure was formed by an 'oxidation-etch-oxidation' process, which took much less time to form, and had a low protrusion profile. A polysilicon field plate extended to the FOX and a long metal field plate was used to improve the specific on-resistance. An optimized drift region implant for linear-gradient doping was adopted to achieve a uniform lateral electric field. Using a SimBond SOI wafer with a 1.5 μm top silicon and a 3 μm buried oxide layer, CMOS compatible SOI LDMOS processes are designed and implemented successfully. The off-state breakdown voltage reached 680 V, and the specific on-resistance was 8.2 Ω·mm 2 . (semiconductor devices)

  7. Semiconductor electrolyte photovoltaic energy converter

    Science.gov (United States)

    Anderson, W. W.; Anderson, L. B.

    1975-01-01

    Feasibility and practicality of a solar cell consisting of a semiconductor surface in contact with an electrolyte are evaluated. Basic components and processes are detailed for photovoltaic energy conversion at the surface of an n-type semiconductor in contact with an electrolyte which is oxidizing to conduction band electrons. Characteristics of single crystal CdS, GaAs, CdSe, CdTe and thin film CdS in contact with aqueous and methanol based electrolytes are studied and open circuit voltages are measured from Mott-Schottky plots and open circuit photo voltages. Quantum efficiencies for short circuit photo currents of a CdS crystal and a 20 micrometer film are shown together with electrical and photovoltaic properties. Highest photon irradiances are observed with the GaAs cell.

  8. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  9. Demonstration of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with silicon-oxy-nitride as the gate insulator

    International Nuclear Information System (INIS)

    Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.

    2005-01-01

    AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators

  10. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  11. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  12. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  13. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  14. The physics of semiconductors an introduction including nanophysics and applications

    CERN Document Server

    Grundmann, Marius

    2016-01-01

    The 3rd edition of this successful textbook contains ample material for a comprehensive upper-level undergraduate or beginning graduate course, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. Coverage also includes additional advanced topics, such as Bragg mirrors, resonators, polarized and magnetic semiconductors, nanowires, quantum dots, multi-junction solar cells, thin film transistors, carbon-based nanostructures and transparent conductive oxides. The text derives explicit formulas for many results to support better under...

  15. LaF3 thin films as chemically sensitive material for semiconductor sensors

    International Nuclear Information System (INIS)

    Szeponik, J.; Moritz, W.; Sellam, F.

    1991-01-01

    A new kind of semiconductor based fluoride sensor was prepared by growing thin polycrystalline LaF 3 films directly on silicon substrates using vacuum vapour deposition technique. The EICS (Electrolyte Ion Conductor Semiconductor) structure was investigated by means of impedance spectroscopy, C-V measurements and exchange measurements with labeled ions ( 18 F). Whereas charge and potential conditions at the LaF 3 /electrolyte interface are governed by the fast fluoride exchange the LaF 3 bulk and the blocked Si/LaF 3 interface determine the electrical behavior. Although the Si/LaF 3 contact is not reversible the potential stability of the EICS structure is surprisingly high. Additional results at epitaxial LaF 3 layers, prepared by MBE, were taken into account for comparision with those at polycrystalline layers. (orig.)

  16. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  17. Metal-insulator transition in tin doped indium oxide (ITO thin films: Quantum correction to the electrical conductivity

    Directory of Open Access Journals (Sweden)

    Deepak Kumar Kaushik

    2017-01-01

    Full Text Available Tin doped indium oxide (ITO thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes in low temperatures (25-300 K. The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l is the electron mean free path and degenerate semiconductors. The transport of charge carriers (electrons in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known ‘metal-insulator transition’ (MIT which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC; this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann’s expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  18. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    Science.gov (United States)

    Kaushik, Deepak Kumar; Kumar, K. Uday; Subrahmanyam, A.

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl˜1; kF is the Fermi wave vector and l is the electron mean free path) and degenerate semiconductors. The transport of charge carriers (electrons) in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known `metal-insulator transition' (MIT) which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC); this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann's expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  19. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  20. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  1. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  2. Charge transport in amorphous InGaZnO thin-film transistors

    NARCIS (Netherlands)

    Germs, W.C.; Adriaans, W.H.; Tripathi, A.K.; Roelofs, W.S.C.; Cobb, B.; Janssen, R.A.J.; Gelinck, G.H.; Kemerink, M.

    2012-01-01

    We investigate the mechanism of charge transport in indium gallium zinc oxide (a-IGZO), an amorphous metal-oxide semiconductor. We measured the field-effect mobility and the Seebeck coefficient (S=ΔV/ΔT) of a-IGZO in thin-film transistors as a function of charge-carrier density for different

  3. Charge transport in amorphous InGaZnO thin film transistors

    NARCIS (Netherlands)

    Germs, W.C.; Adriaans, W.H.; Tripathi, A.K.; Roelofs, W.S.C.; Cobb, B.; Janssen, R.A.J.; Gelinck, G.H.; Kemerink, M.

    2012-01-01

    We investigate the mechanism of charge transport in indium gallium zinc oxide (a-IGZO), an amorphous metal-oxide semiconductor. We measured the field-effect mobility and the Seebeck coefficient (S=¿V/¿T) of a-IGZO in thin-film transistors as a function of charge-carrier density for different

  4. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  5. Anion-induced N-doping of naphthalenediimide polymer semiconductor in organic thin-film transistors

    KAUST Repository

    Han, Yang

    2018-03-13

    Molecular doping is an important strategy to improve the charge transport properties of organic semiconductors in various electronic devices. Compared to p-type dopants, the development of n-type dopants is especially challenging due to poor dopant stability against atmospheric conditions. In this article, we report the n-doping of the milestone naphthalenediimide-based conjugated polymer P(NDI2OD-T2) in organic thin film transistor devices by soluble anion dopants. The addition of the dopants resulted in the formation of stable radical anions in thin films, as confirmed by EPR spectroscopy. By tuning the dopant concentration via simple solution mixing, the transistor parameters could be readily controlled. Hence the contact resistance between the electrodes and the semiconducting polymer could be significantly reduced, which resulted in the transistor behaviour approaching the desirable gate voltage-independent model. Reduced hysteresis was also observed, thanks to the trap filling by the dopant. Under optimal doping concentrations the channel on-current was increased several fold whilst the on/off ratio was simultaneously increased by around one order of magnitude. Hence doping with soluble organic salts appears to be a promising route to improve the charge transport properties of n-type organic semiconductors.

  6. Anion-induced N-doping of naphthalenediimide polymer semiconductor in organic thin-film transistors

    KAUST Repository

    Han, Yang; Fei, Zhuping; Lin, Yen-Hung; Martin, Jaime; Tuna, Floriana; Anthopoulos, Thomas D.; Heeney, Martin

    2018-01-01

    Molecular doping is an important strategy to improve the charge transport properties of organic semiconductors in various electronic devices. Compared to p-type dopants, the development of n-type dopants is especially challenging due to poor dopant stability against atmospheric conditions. In this article, we report the n-doping of the milestone naphthalenediimide-based conjugated polymer P(NDI2OD-T2) in organic thin film transistor devices by soluble anion dopants. The addition of the dopants resulted in the formation of stable radical anions in thin films, as confirmed by EPR spectroscopy. By tuning the dopant concentration via simple solution mixing, the transistor parameters could be readily controlled. Hence the contact resistance between the electrodes and the semiconducting polymer could be significantly reduced, which resulted in the transistor behaviour approaching the desirable gate voltage-independent model. Reduced hysteresis was also observed, thanks to the trap filling by the dopant. Under optimal doping concentrations the channel on-current was increased several fold whilst the on/off ratio was simultaneously increased by around one order of magnitude. Hence doping with soluble organic salts appears to be a promising route to improve the charge transport properties of n-type organic semiconductors.

  7. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    International Nuclear Information System (INIS)

    Likhachev, D.V.

    2015-01-01

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  8. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Likhachev, D.V., E-mail: dmitriy.likhachev@globalfoundries.com

    2015-08-31

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  9. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  10. Effect of Al2O3 insulator thickness on the structural integrity of amorphous indium-gallium-zinc-oxide based thin film transistors.

    Science.gov (United States)

    Kim, Hak-Jun; Hwang, In-Ju; Kim, Youn-Jea

    2014-12-01

    The current transparent oxide semiconductors (TOSs) technology provides flexibility and high performance. In this study, multi-stack nano-layers of TOSs were designed for three-dimensional analysis of amorphous indium-gallium-zinc-oxide (a-IGZO) based thin film transistors (TFTs). In particular, the effects of torsional and compressive stresses on the nano-sized active layers such as the a-IGZO layer were investigated. Numerical simulations were carried out to investigate the structural integrity of a-IGZO based TFTs with three different thicknesses of the aluminum oxide (Al2O3) insulator (δ = 10, 20, and 30 nm), respectively, using a commercial code, COMSOL Multiphysics. The results are graphically depicted for operating conditions.

  11. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  12. Cuprous oxide thin films prepared by thermal oxidation of copper layer. Morphological and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Karapetyan, Artak, E-mail: karapetyan@cinam.univ-mrs.fr [Aix Marseille Université, CINaM, 13288, Marseille (France); Institute for Physical Research of NAS of Armenia, Ashtarak-2 0203 (Armenia); Reymers, Anna [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Giorgio, Suzanne; Fauquet, Carole [Aix Marseille Université, CINaM, 13288, Marseille (France); Sajti, Laszlo [Laser Zentrum Hannover e.V. Hollerithallee 8, 30419 Hannover (Germany); Nitsche, Serge [Aix Marseille Université, CINaM, 13288, Marseille (France); Nersesyan, Manuk; Gevorgyan, Vladimir [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Marine, Wladimir [Aix Marseille Université, CINaM, 13288, Marseille (France)

    2015-03-15

    Structural and optical characterization of crystalline Cu{sub 2}O thin films obtained by thermal oxidation of Cu films at two different temperatures 800 °C and 900 °C are investigated in this work. X-ray diffraction measurements indicate that synthesized films consist of single Cu{sub 2}O phase without any interstitial phase and show a nano-grain structure. Scanning Electron Microscopy observations indicate that the Cu{sub 2}O films have a micro-scale roughness whereas High Resolution Transmission Electron Microscopy highlights that the nanocrystalline structure is formed by superposition of nearly spherical nanocrystals smaller than 30 nm. Photoluminescence spectra of these films exhibit at room temperature two well-resolved emission peaks at 1.34 eV due to defects energy levels and at 1.97 eV due to phonon-assisted recombination of the 1s orthoexciton in both film series. Emission characteristics depending on the laser power is deeply investigated to determine the origin of recorded emissions. Time-integrated spectra of the 1s orthoexciton emission reveals the presence of oxygen defects below the conduction band edge under non-resonant two-photon excitation using a wide range of excitations wavelengths. Optical absorption coefficients at room temperature are obtained from an accurate analysis of their transmission and reflection spectra, whereas the optical band gap energy is estimated at about 2.11 eV. Results obtained are of high relevance especially for potential applications in semiconductor devices such as solar cells, optical sources and detectors. - Highlights: • Nanostructured Cu{sub 2}O thin films were synthesized by thermal oxidation of Cu films. • The PL spectra of nanostructured thin films revealed two well-resolved emission peaks. • The PL properties were investigated under a broad range of experimental conditions. • Inter-band transition in the infrared range has been associated to V{sub Cu} and V{sub O} vacancies. • Absorption

  13. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  14. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  15. Investigation of defects in In–Ga–Zn oxide thin film using electron spin resonance signals

    International Nuclear Information System (INIS)

    Nonaka, Yusuke; Kurosawa, Yoichi; Komatsu, Yoshihiro; Ishihara, Noritaka; Oota, Masashi; Nakashima, Motoki; Hirohashi, Takuya; Takahashi, Masahiro; Yamazaki, Shunpei; Obonai, Toshimitsu; Hosaka, Yasuharu; Koezuka, Junichi; Yamauchi, Jun

    2014-01-01

    In–Ga–Zn oxide (IGZO) is a next-generation semiconductor material seen as an alternative to silicon. Despite the importance of the controllability of characteristics and the reliability of devices, defects in IGZO have not been fully understood. We investigated defects in IGZO thin films using electron spin resonance (ESR) spectroscopy. In as-sputtered IGZO thin films, we observed an ESR signal which had a g-value of g = 2.010, and the signal was found to disappear under thermal treatment. Annealing in a reductive atmosphere, such as N 2 atmosphere, generated an ESR signal with g = 1.932 in IGZO thin films. The temperature dependence of the latter signal suggests that the signal is induced by delocalized unpaired electrons (i.e., conduction electrons). In fact, a comparison between the conductivity and ESR signal intensity revealed that the signal's intensity is related to the number of conduction electrons in the IGZO thin film. The signal's intensity did not increase with oxygen vacancy alone but also with increases in both oxygen vacancy and hydrogen concentration. In addition, first-principle calculation suggests that the conduction electrons in IGZO may be generated by defects that occur when hydrogen atoms are inserted into oxygen vacancies

  16. Investigation of defects in In–Ga–Zn oxide thin film using electron spin resonance signals

    Energy Technology Data Exchange (ETDEWEB)

    Nonaka, Yusuke; Kurosawa, Yoichi; Komatsu, Yoshihiro; Ishihara, Noritaka; Oota, Masashi; Nakashima, Motoki; Hirohashi, Takuya; Takahashi, Masahiro; Yamazaki, Shunpei [Semiconductor Energy Laboratory Co., Ltd., 398 Hase, Atsugi, Kanagawa 243-0036 (Japan); Obonai, Toshimitsu; Hosaka, Yasuharu; Koezuka, Junichi [Advanced Film Device, Inc., 161-2 Masuzuka, Tsuga-machi, Tochigi, Tochigi 328-0114 (Japan); Yamauchi, Jun [Semiconductor Energy Laboratory Co., Ltd., 398 Hase, Atsugi, Kanagawa 243-0036 (Japan); Emeritus Professor of Kyoto University, Oiwake-cho, Kitashirakawa, Kyoto 606-8502 (Japan)

    2014-04-28

    In–Ga–Zn oxide (IGZO) is a next-generation semiconductor material seen as an alternative to silicon. Despite the importance of the controllability of characteristics and the reliability of devices, defects in IGZO have not been fully understood. We investigated defects in IGZO thin films using electron spin resonance (ESR) spectroscopy. In as-sputtered IGZO thin films, we observed an ESR signal which had a g-value of g = 2.010, and the signal was found to disappear under thermal treatment. Annealing in a reductive atmosphere, such as N{sub 2} atmosphere, generated an ESR signal with g = 1.932 in IGZO thin films. The temperature dependence of the latter signal suggests that the signal is induced by delocalized unpaired electrons (i.e., conduction electrons). In fact, a comparison between the conductivity and ESR signal intensity revealed that the signal's intensity is related to the number of conduction electrons in the IGZO thin film. The signal's intensity did not increase with oxygen vacancy alone but also with increases in both oxygen vacancy and hydrogen concentration. In addition, first-principle calculation suggests that the conduction electrons in IGZO may be generated by defects that occur when hydrogen atoms are inserted into oxygen vacancies.

  17. Low-temperature metal-oxide thin-film transistors formed by directly photopatternable and combustible solution synthesis.

    Science.gov (United States)

    Rim, You Seung; Lim, Hyun Soo; Kim, Hyun Jae

    2013-05-01

    We investigated the formation of ultraviolet (UV)-assisted directly patternable solution-processed oxide semiconductor films and successfully fabricated thin-film transistors (TFTs) based on these films. An InGaZnO (IGZO) solution that was modified chemically with benzoylacetone (BzAc), whose chelate rings decomposed via a π-π* transition as result of UV irradiation, was used for the direct patterning. A TFT was fabricated using the directly patterned IGZO film, and it had better electrical characteristics than those of conventional photoresist (PR)-patterned TFTs. In addition, the nitric acid (HNO3) and acetylacetone (AcAc) modified In2O3 (NAc-In2O3) solution exhibited both strong UV absorption and high exothermic reaction. This method not only resulted in the formation of a low-energy path because of the combustion of the chemically modified metal-oxide solution but also allowed for photoreaction-induced direct patterning at low temperatures.

  18. Wide-gap layered oxychalcogenide semiconductors: Materials, electronic structures and optoelectronic properties

    International Nuclear Information System (INIS)

    Ueda, Kazushige; Hiramatsu, Hidenori; Hirano, Masahiro; Kamiya, Toshio; Hosono, Hideo

    2006-01-01

    Applying the concept of materials design for transparent conductive oxides to layered oxychalcogenides, several p-type and n-type layered oxychalcogenides were proposed as wide-gap semiconductors and their basic optical and electrical properties were examined. The layered oxychalcogenides are composed of ionic oxide layers and covalent chalcogenide layers, which bring wide-gap and conductive properties to these materials, respectively. The electronic structures of the materials were examined by normal/inverse photoemission spectroscopy and energy band calculations. The results of the examinations suggested that these materials possess unique features more than simple wide-gap semiconductors. Namely, the layered oxychalcogenides are considered to be extremely thin quantum wells composed of the oxide and chalcogenide layers or 2D chalcogenide crystals/molecules embedded in an oxide matrix. Observation of step-like absorption edges, large band gap energy and large exciton binding energy demonstrated these features originating from 2D density of states and quantum size effects in these layered materials

  19. Solution processable semiconductor thin films: Correlation between morphological, structural, optical and charge transport properties

    Science.gov (United States)

    Isik, Dilek

    This Ph.D. thesis is a result of multidisciplinary research bringing together fundamental concepts in thin film engineering, materials science, materials processing and characterization, electrochemistry, microfabrication, and device physics. Experiments were conducted by tackling scientific problems in the field of thin films and interfaces, with the aim to correlate the morphology, crystalline structure, electronic structure of thin films with the functional properties of the films and the performances of electronic devices based thereon. Furthermore, novel strategies based on interfacial phenomena at electrolyte/thin film interfaces were explored and exploited to control the electrical conductivity of the thin films. Three main chemical systems were the object of the studies performed during this Ph.D., two types of organic semiconductors (azomethine-based oligomers and polymers and soluble pentacene derivatives) and one metal oxide semiconductor (tungsten trioxide, WO3). To explore the morphological properties of the thin films, atomic force microscopy was employed. The morphological properties were further investigated by hyperspectral fluorescence microscopy and tentatively correlated to the charge transport properties of the films. X-ray diffraction (Grazing incidence XRD, GIXRD) was used to investigate the crystallinity of the film and the effect of the heat treatment on such crystallinity, as well as to understand the molecular arrangement of the organic molecules in the thin film. The charge transport properties of the films were evaluated in thin film transistor configuration. For electrolyte gated thin film transistors, time dependent transient measurements were conducted, in parallel to more conventional transistor characterizations, to explore the specific effects played on the gating by the anion and cation constituting the electrolyte. The capacitances of the electrical double layers at the electrolyte/WO3 interface were obtained from

  20. Carrier transport and electronic structure in amorphous oxide semiconductor, a-InGaZnO4

    International Nuclear Information System (INIS)

    Takagi, Akihiro; Nomura, Kenji; Ohta, Hiromichi; Yanagi, Hiroshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2005-01-01

    Carrier transport properties in amorphous oxide semiconductor InGaZnO 4 (a-IGZO) thin films were investigated in detail using temperature dependence of Hall measurements. It was found that Hall mobility increased distinctly as carrier concentration increased. Unlikely conventional amorphous semiconductors such as a-Si/H, definite normal Hall voltage signals were observed on the films with carrier concentrations (N e )>10 16 cm -3 , and Hall mobilities as large as 15 cm 2 (Vs) -1 were attained in the films with N e >10 20 cm -3 . When N e was less than 10 19 cm -3 , the temperature dependence of Hall mobility showed thermally-activated behavior in spite that carrier concentration was independent of temperature. While, it changed to almost degenerate conduction at N e >10 18 cm -3 . These behaviors are similar to those observed in single-crystalline IGZO, and are explained by percolation conduction through distributed potential barriers which are formed in the vicinity of the conduction band bottom due to the randomness of the amorphous structure. The effective mass of a-IGZO was estimated to be ∼0.34 m e (m e is the mass of free electron) from optical data, which is almost the same as that of crystalline IGZO (∼0.32 m e )

  1. Epitaxy of Polar Oxides and Semiconductors

    Science.gov (United States)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  2. All solution-processed high-resolution bottom-contact transparent metal-oxide thin film transistors

    International Nuclear Information System (INIS)

    Park, Sung Kyu; Kim, Yong-Hoon; Han, Jeong-In

    2009-01-01

    We report all solution-processed high-resolution bottom-contact indium-gallium-zinc-oxide (IGZO) thin film transistors (TFTs) using a simple surface patterning and dip-casting process. High-resolution nanoparticulate Ag source/drain electrodes and a sol-gel processed IGZO semiconductor were deposited by a simple dip-casting along with a photoresist-free, non-relief-pattern lithographic process. The deposited Ag and IGZO solution can be steered into the desired hydrophilic areas by a low surface energy self-assembled monolayer, resulting in source/drain electrodes and semiconducting layer, respectively. The all solution-processed bottom-contact IGZO TFTs including a channel length of 10 μm typically showed a mobility range 0.05-0.2 cm 2 V -1 s -1 with an on/off ratio of more than 10 6 .

  3. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Moghadam, Reza M. [Department; Xiao, Zhiyong [Department; Ahmadi-Majlan, Kamyar [Department; Grimley, Everett D. [Department; Bowden, Mark [Environmental; amp, Biological Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ong, Phuong-Vu [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Chambers, Scott A. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Lebeau, James M. [Department; Hong, Xia [Department; Sushko, Peter V. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ngai, Joseph H. [Department

    2017-09-13

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, ferroelectric materials integrated on semiconductors could lead to low-power field-effect devices that can be used for logic or memory. Essential to realizing such field-effect devices is the development of ferroelectric metal-oxide-semiconductor (MOS) capacitors, in which the polarization of a ferroelectric gate is coupled to the surface potential of a semiconducting channel. Here we demonstrate that ferroelectric MOS capacitors can be realized using single crystalline SrZrxTi1-xO3 (x= 0.7) that has been epitaxially grown on Ge. We find that the ferroelectric properties of SrZrxTi1-xO3 are exceptionally robust, as gate layers as thin as 5 nm give rise to hysteretic capacitance-voltage characteristics that are 2 V in width. The development of ferroelectric MOS capacitors with gate thicknesses that are technologically relevant opens a pathway to realize scalable ferroelectric field-effect devices.

  4. Oxide Semiconductor-Based Flexible Organic/Inorganic Hybrid Thin-Film Transistors Fabricated on Polydimethylsiloxane Elastomer.

    Science.gov (United States)

    Jung, Soon-Won; Choi, Jeong-Seon; Park, Jung Ho; Koo, Jae Bon; Park, Chan Woo; Na, Bock Soon; Oh, Ji-Young; Lim, Sang Chul; Lee, Sang Seok; Chu, Hye Yong

    2016-03-01

    We demonstrate flexible organic/inorganic hybrid thin-film transistors (TFTs) on a polydimethysilox- ane (PDMS) elastomer substrate. The active channel and gate insulator of the hybrid TFT are composed of In-Ga-Zn-O (IGZO) and blends of poly(vinylidene fluoride-trifluoroethylene) [P(VDF- TrFE)] with poly(methyl methacrylate) (PMMA), respectively. It has been confirmed that the fabri- cated TFT display excellent characteristics: the recorded field-effect mobility, sub-threshold voltage swing, and I(on)/I(off) ratio were approximately 0.35 cm2 V(-1) s(-1), 1.5 V/decade, and 10(4), respectively. These characteristics did not experience any degradation at a bending radius of 15 mm. These results correspond to the first demonstration of a hybrid-type TFT using an organic gate insulator/oxide semiconducting active channel structure fabricated on PDMS elastomer, and demonstrate the feasibility of a promising device in a flexible electronic system.

  5. Surface morphology modelling for the resistivity analysis of low temperature sputtered indium tin oxide thin films on polymer substrates

    International Nuclear Information System (INIS)

    Yin Xuesong; Tang Wu; Weng Xiaolong; Deng Longjiang

    2009-01-01

    Amorphous or weakly crystalline indium tin oxide (ITO) thin film samples have been prepared on polymethylmethacrylate and polyethylene terephthalate substrates by RF-magnetron sputtering at a low substrate temperature. The surface morphological and electrical properties of the ITO layers were measured by atomic force microscopy (AFM) and a standard four-point probe measurement. The effect of surface morphology on the resistivity of ITO thin films was studied, which presented some different variations from crystalline films. Then, a simplified film system model, including the substrate, continuous ITO layer and ITO surface grain, was proposed to deal with these correlations. Based on this thin film model and the AFM images, a quadratic potential was introduced to simulate the characteristics of the ITO surface morphology, and the classical Kronig-Penney model, the semiconductor electrical theory and the modified Neugebauer-Webb model were used to expound the detailed experimental results. The modelling equation was highly in accord with the experimental variations of the resistivity on the characteristics of the surface morphology.

  6. Achieving superior band gap, refractive index and morphology in composite oxide thin film systems violating the Moss rule

    International Nuclear Information System (INIS)

    Sahoo, N K; Thakur, S; Tokas, R B

    2006-01-01

    The interrelation between energy gap and high frequency refractive index in semiconductors and dielectrics is manifested by an inverse law which is popularly known as the Moss rule. This semi-empirical relationship is based on the fundamental principle that in a dielectric medium all energy levels are scaled down by a factor of the square of the dielectric constant. Such a rule is obeyed by most pure semiconductors and dielectrics with a few rare violations in composite materials which display several interesting parametric and microstructural evolutions. The present results are based on some specific oxide composite thin films involving Gd 2 O 3 /SiO 2 and ZrO 2 /SiO 2 codeposited systems that have displayed a superior refractive index and energy gaps violating the semi-empirical Moss rule. Also, morphological supremacy is also distinctly noticed in these composites. The novel microstructural and polarizability properties of such composite systems were probed through multi-mode atomic force microscopy and phase modulated spectroscopic ellipsometry using refractive index modelling, autocorrelation and height-height correlation functional analyses. These binary composite thin films have shown their potential as well as the possibility of meeting expectations in satisfying the challenging optical coating requirements of the deep ultraviolet spectral region

  7. Suppression of persistent photo-conductance in solution-processed amorphous oxide thin-film transistors

    Science.gov (United States)

    Lee, Minkyung; Kim, Minho; Jo, Jeong-Wan; Park, Sung Kyu; Kim, Yong-Hoon

    2018-01-01

    This study offers a combinatorial approach for suppressing the persistent photo-conductance (PPC) characteristic in solution-processed amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) in order to achieve rapid photo-recovery. Various analyses were used to examine the photo-instability of indium-gallium-zinc-oxide (IGZO) TFTs including negative-bias-illumination-stress (NBIS) and transient photo-response behaviors. It was found that the indium ratio in metallic components had a significant impact on their PPC and photo-recovery characteristics. In particular, when the indium ratio was low (51.5%), the PPC characteristic was significantly suppressed and achieving rapid photo-recovery was possible without significantly affecting the electrical performance of AOSs. These results imply that the optimization of the indium composition ratio may allow achieving highly photo-stable and near PPC-free characteristics while maintaining high electrical performance of AOSs. It is considered that the negligible PPC behavior and rapid photo-recovery observed in IGZO TFTs with a lower indium composition are attributed to the less activation energy required for the neutralization of ionized oxygen vacancies.

  8. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    International Nuclear Information System (INIS)

    Li Chensha; Loutfy, Rafik O; Li Yuning; Wu Yiliang; Ong, Beng S

    2008-01-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process

  9. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Li Chensha; Loutfy, Rafik O [Department of Chemical Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario L8S 4L7 (Canada); Li Yuning; Wu Yiliang; Ong, Beng S [Materials Design and Integration Laboratory, Xerox Research Centre of Canada, 2660 Speakman Drive, Mississauga, Ontario L5K 2L1 (Canada)], E-mail: lichnsa@163.com

    2008-06-21

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  10. Field emission mechanism from a single-layer ultra-thin semiconductor film cathode

    International Nuclear Information System (INIS)

    Duan Zhiqiang; Wang Ruzhi; Yuan Ruiyang; Yang Wei; Wang Bo; Yan Hui

    2007-01-01

    Field emission (FE) from a single-layer ultra-thin semiconductor film cathode (SUSC) on a metal substrate has been investigated theoretically. The self-consistent quantum FE model is developed by synthetically considering the energy band bending and electron scattering. As a typical example, we calculate the FE properties of ultra-thin AlN film with an adjustable film thickness from 1 to 10 nm. The calculated results show that the FE characteristic is evidently modulated by varying the film thickness, and there is an optimum thickness of about 3 nm. Furthermore, a four-step FE mechanism is suggested such that the distinct FE current of a SUSC is rooted in the thickness sensitivity of its quantum structure, and the optimum FE properties of the SUSC should be attributed to the change in the effective potential combined with the attenuation of electron scattering

  11. Ultrawide band gap amorphous oxide semiconductor, Ga–Zn–O

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Junghwan, E-mail: JH.KIM@lucid.msl.titech.ac.jp [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Miyokawa, Norihiko; Sekiya, Takumi; Ide, Keisuke [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Toda, Yoshitake [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan)

    2016-09-01

    We fabricated amorphous oxide semiconductor films, a-(Ga{sub 1–x}Zn{sub x})O{sub y}, at room temperature on glass, which have widely tunable band gaps (E{sub g}) ranging from 3.47–4.12 eV. The highest electron Hall mobility ~ 7 cm{sup 2} V{sup −1} s{sup −1} was obtained for E{sub g} = ~ 3.8 eV. Ultraviolet photoemission spectroscopy revealed that the increase in E{sub g} with increasing the Ga content comes mostly from the deepening of the valence band maximum level while the conduction band minimum level remains almost unchanged. These characteristics are explained by their electronic structures. As these films can be fabricated at room temperature on plastic, this achievement extends the applications of flexible electronics to opto-electronic integrated circuits associated with deep ultraviolet region. - Highlights: • Incorporation of H/H{sub 2}O stabilizes the amorphous phase. • Ultrawide band gap (~ 3.8 eV) amorphous oxide semiconductor was fabricated. • The increase in band gap comes mostly from the deepening of the valence band maximum level. • Donor level is more likely aligned to the valence band maximum level.

  12. Dithienocoronenediimide-based copolymers as novel ambipolar semiconductors for organic thin-film transistors.

    Science.gov (United States)

    Usta, Hakan; Newman, Christopher; Chen, Zhihua; Facchetti, Antonio

    2012-07-17

    A new class of ambipolar donor-acceptor π-conjugated polymers based on a dithienocoronenediimide core is presented. Solution-processed top-gate/bottom-contact thin film transistors (TFTs) exhibit electron and hole mobilities of up to 0.30 cm(2)/V·s and 0.04 cm(2)/V·s, respectively, which are the highest reported to date for an ambipolar polymer in ambient conditions. The polymers presented here are the first examples of coronenediimide-based semiconductors showing high organic TFT performances. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Electrical instability of InGaZnO thin-film transistors with and without titanium sub-oxide layer under light illumination

    Science.gov (United States)

    Chiu, Y. C.; Zheng, Z. W.; Cheng, C. H.; Chen, P. C.; Yen, S. S.; Fan, C. C.; Hsu, H. H.; Kao, H. L.; Chang, C. Y.

    2017-03-01

    The electrical instability behaviors of amorphous indium-gallium-zinc oxide thin-film transistors with and without titanium sub-oxide passivation layer were investigated under light illumination in this study. For the unpassivated IGZO TFT device, in contrast with the dark case, a noticeable increase of the sub-threshold swing was observed when under the illumination environment, which can be attributed to the generation of ionized oxygen vacancies within the α-IGZO active layer by high energy photons. For the passivated TFT device, the much smaller SS of 70 mV/dec and high device mobility of >100 cm2/Vs at a drive voltage of 3 V with negligible degradation under light illumination are achieved due to the passivation effect of n-type titanium sub-oxide semiconductor, which may create potential application for high-performance display.

  14. Production of CdTe Semiconductor Thin Films by Electrodeposition Technique for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Ahmet PEKSÖZ

    2016-08-01

    Full Text Available Electro-deposited cadmium tellurite (CuTe thin film was grown onto ITO-coated glass substrate for 120 seconds at the room temperature and a constant cathodic potential of -0.85 V. Deposition solution was prepared from cadmium chloride (CdCl2, sodium tellurite (Na2TeO3 and pure water. The pH value of the deposition solution was adjusted to 2.0 by adding HCl. The EDX analysis shows that the film has 52% Cd and 48% Te elemental compositions. Film thickness was found to be 140 nm. The CdTe thin film exhibits p-type semiconductor character, and has an energy bandgap of 1.47 eV. 

  15. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  16. Review of flexible and transparent thin-film transistors based on zinc oxide and related materials

    International Nuclear Information System (INIS)

    Zhang Yong-Hui; Mei Zeng-Xia; Liang Hui-Li; Du Xiao-Long

    2017-01-01

    Flexible and transparent electronics enters into a new era of electronic technologies. Ubiquitous applications involve wearable electronics, biosensors, flexible transparent displays, radio-frequency identifications (RFIDs), etc. Zinc oxide (ZnO) and relevant materials are the most commonly used inorganic semiconductors in flexible and transparent devices, owing to their high electrical performances, together with low processing temperatures and good optical transparencies. In this paper, we review recent advances in flexible and transparent thin-film transistors (TFTs) based on ZnO and relevant materials. After a brief introduction, the main progress of the preparation of each component (substrate, electrodes, channel and dielectrics) is summarized and discussed. Then, the effect of mechanical bending on electrical performance is highlighted. Finally, we suggest the challenges and opportunities in future investigations. (paper)

  17. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    Science.gov (United States)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  18. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    International Nuclear Information System (INIS)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin

    2014-01-01

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  19. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  20. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Zahran, H.Y. [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Yahia, I.S., E-mail: dr_isyahia@yahoo.com [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Alamri, F.H. [Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia)

    2017-05-15

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV–vis–NIR spectrophotometer in the wavelength range 350–2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300–2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV–vis regions and it is suitable for nonlinear optical applications. - Highlights: • Pyronin Y (PY) nanostructured thin films were deposited by using spin coating technique. • XRD/AFM were used to study the structure of PY films. • The optical band gap was calculated on the basis of Tauc's model. • Linear/nonlinear optical parameters are calculated and interpreted via the applied optical theories. • PY thin films is a new organic semiconductor for its application in optoelectronic devices.

  1. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  2. Structural and optical properties of Ag-doped copper oxide thin films on polyethylene napthalate substrate prepared by low temperature microwave annealing

    Energy Technology Data Exchange (ETDEWEB)

    Das, Sayantan; Alford, T. L. [Department of Chemistry and Biochemistry, Arizona State University, Tempe, Arizona 85287, USA and School for Engineering of Matter, Transport and Energy, Arizona State University, Tempe, Arizona 85287 (United States)

    2013-06-28

    Silver doped cupric oxide thin films are prepared on polyethylene naphthalate (flexible polymer) substrates. Thin films Ag-doped CuO are deposited on the substrate by co-sputtering followed by microwave assisted oxidation of the metal films. The low temperature tolerance of the polymer substrates led to the search for innovative low temperature processing techniques. Cupric oxide is a p-type semiconductor with an indirect band gap and is used as selective absorption layer solar cells. X-ray diffraction identifies the CuO phases. Rutherford backscattering spectrometry measurements confirm the stoichiometry of each copper oxide formed. The surface morphology is determined by atomic force microscopy. The microstructural properties such as crystallite size and the microstrain for (-111) and (111) planes are calculated and discussed. Incorporation of Ag led to the lowering of band gap in CuO. Consequently, it is determined that Ag addition has a strong effect on the structural, morphological, surface, and optical properties of CuO grown on flexible substrates by microwave annealing. Tauc's plot is used to determine the optical band gap of CuO and Ag doped CuO films. The values of the indirect and direct band gap for CuO are found to be 2.02 eV and 3.19 eV, respectively.

  3. Semiconductor interfaces of polycrystalline CdTe thin-film solar cells. Characterization and modification of electronic properties

    International Nuclear Information System (INIS)

    Fritsche, J.

    2003-01-01

    In this thesis for the first time the electronic properties of the semiconductor interfaces in polycrystalline CdTe thin-film solar cells, as well as the morphological and electronic properties of the single semiconductor surfaces were systematically characterized by surface-sensitive measuring methods. The morphological surface properties were analyzed by scanning force microscopy. As substrate materials with SnO 2 /ITO covered glass was applied, where the CdS and CdTe layers were deposited. Furthermore the electronic and morphological material properties of differently treated SnO 2 surfaces were characterized. Beside the studies with scanning force microscopy sputtering depth profiles and X-ray photoelectron spectroscopy were measured

  4. Electrochromics for smart windows: Oxide-based thin films and devices

    Energy Technology Data Exchange (ETDEWEB)

    Granqvist, Claes G.

    2014-08-01

    possibilities to accomplish further porosity by having suitable thin-film deposition parameters. A number of examples on the importance of the detailed deposition conditions are presented, and Section 4 ends with a presentation of the EC properties of films with compositions across the full tungsten–nickel oxide system. Section 5 is devoted to transparent electrical conductors and electrolytes, both of which are necessary in EC devices. Detailed surveys are given of transparent conductors comprising doped-oxide semiconductors, coinage metals, nanowire meshes and other alternatives, and also of electrolytes based on thin films and on polymers. Particular attention is devoted to electrolyte functionalization by nanoparticles. Section 6 considers one particular device construction: A foil that is suitable for glass lamination and which, in the author's view, holds particular promise for low-cost large-area implementation of EC smart windows. Device data are presented, and a discussion is given of quality assessment by use of 1/f noise. The “battery-type” EC device covered in the major part of this critical review is not the only alternative, and Section 7 consists of brief discussions of a number of more or less advanced alternatives such as metal hydrides, suspended particle devices, polymer-dispersed liquid crystals, reversible electroplating, and plasmonic electrochromism based on transparent conducting oxide nanoparticles. Finally, Section 8 provides a brief summary and outlook. The aim of this critical review is not only to paint a picture of the state-of-the-art for electrochromics and its applications in smart windows, but also to provide ample references to current literature of particular relevance and thereby, hopefully, an easy entrance to the research field. - Highlights: • Critical review of electrochromic oxide thin films and devices. • Variable transmittance of visible light and solar energy. • Fenestration in energy efficient buildings.

  5. Electrochromics for smart windows: Oxide-based thin films and devices

    International Nuclear Information System (INIS)

    Granqvist, Claes G.

    2014-01-01

    possibilities to accomplish further porosity by having suitable thin-film deposition parameters. A number of examples on the importance of the detailed deposition conditions are presented, and Section 4 ends with a presentation of the EC properties of films with compositions across the full tungsten–nickel oxide system. Section 5 is devoted to transparent electrical conductors and electrolytes, both of which are necessary in EC devices. Detailed surveys are given of transparent conductors comprising doped-oxide semiconductors, coinage metals, nanowire meshes and other alternatives, and also of electrolytes based on thin films and on polymers. Particular attention is devoted to electrolyte functionalization by nanoparticles. Section 6 considers one particular device construction: A foil that is suitable for glass lamination and which, in the author's view, holds particular promise for low-cost large-area implementation of EC smart windows. Device data are presented, and a discussion is given of quality assessment by use of 1/f noise. The “battery-type” EC device covered in the major part of this critical review is not the only alternative, and Section 7 consists of brief discussions of a number of more or less advanced alternatives such as metal hydrides, suspended particle devices, polymer-dispersed liquid crystals, reversible electroplating, and plasmonic electrochromism based on transparent conducting oxide nanoparticles. Finally, Section 8 provides a brief summary and outlook. The aim of this critical review is not only to paint a picture of the state-of-the-art for electrochromics and its applications in smart windows, but also to provide ample references to current literature of particular relevance and thereby, hopefully, an easy entrance to the research field. - Highlights: • Critical review of electrochromic oxide thin films and devices. • Variable transmittance of visible light and solar energy. • Fenestration in energy efficient buildings

  6. Characterization of low-temperature microwave loss of thin aluminum oxide formed by plasma oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Chunqing, E-mail: cdeng@uwaterloo.ca; Otto, M.; Lupascu, A., E-mail: alupascu@uwaterloo.ca [Institute for Quantum Computing, Department of Physics and Astronomy, and Waterloo Institute for Nanotechnology, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada)

    2014-01-27

    We report on the characterization of microwave loss of thin aluminum oxide films at low temperatures using superconducting lumped resonators. The oxide films are fabricated using plasma oxidation of aluminum and have a thickness of 5 nm. We measure the dielectric loss versus microwave power for resonators with frequencies in the GHz range at temperatures from 54 to 303 mK. The power and temperature dependence of the loss are consistent with the tunneling two-level system theory. These results are relevant to understanding decoherence in superconducting quantum devices. The obtained oxide films are thin and robust, making them suitable for capacitors in compact microwave resonators.

  7. Color-selective photodetection from intermediate colloidal quantum dots buried in amorphous-oxide semiconductors.

    Science.gov (United States)

    Cho, Kyung-Sang; Heo, Keun; Baik, Chan-Wook; Choi, Jun Young; Jeong, Heejeong; Hwang, Sungwoo; Lee, Sang Yeol

    2017-10-10

    We report color-selective photodetection from intermediate, monolayered, quantum dots buried in between amorphous-oxide semiconductors. The proposed active channel in phototransistors is a hybrid configuration of oxide-quantum dot-oxide layers, where the gate-tunable electrical property of silicon-doped, indium-zinc-oxide layers is incorporated with the color-selective properties of quantum dots. A remarkably high detectivity (8.1 × 10 13 Jones) is obtained, along with three major findings: fast charge separation in monolayered quantum dots; efficient charge transport through high-mobility oxide layers (20 cm 2  V -1  s -1 ); and gate-tunable drain-current modulation. Particularly, the fast charge separation rate of 3.3 ns -1 measured with time-resolved photoluminescence is attributed to the intermediate quantum dots buried in oxide layers. These results facilitate the realization of efficient color-selective detection exhibiting a photoconductive gain of 10 7 , obtained using a room-temperature deposition of oxide layers and a solution process of quantum dots. This work offers promising opportunities in emerging applications for color detection with sensitivity, transparency, and flexibility.The development of highly sensitive photodetectors is important for image sensing and optical communication applications. Cho et al., report ultra-sensitive photodetectors based on monolayered quantum dots buried in between amorphous-oxide semiconductors and demonstrate color-detecting logic gates.

  8. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  9. Dry lithography of large-area, thin-film organic semiconductors using frozen CO(2) resists.

    Science.gov (United States)

    Bahlke, Matthias E; Mendoza, Hiroshi A; Ashall, Daniel T; Yin, Allen S; Baldo, Marc A

    2012-12-04

    To address the incompatibility of organic semiconductors with traditional photolithography, an inert, frozen CO(2) resist is demonstrated that forms an in situ shadow mask. Contact with a room-temperature micro-featured stamp is used to pattern the resist. After thin film deposition, the remaining CO(2) is sublimed to lift off unwanted material. Pixel densities of 325 pixels-per-inch are shown. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Group IIB-VIA semiconductor oxide cluster ions

    Science.gov (United States)

    Jayasekharan, Thankan

    2018-05-01

    Metal oxide cluster ions, MnOm± (M = Zn, Cd) and HgnOm- of various stoichiometry have been generated from solid IIB-VIA semiconductor oxides targets, (ZnO(s), CdO(s), and HgO(s)) by using pulse laser desorption ionization time of flight mass spectrometry with a laser of λ = 355 nm. Analysis of mass spectral data indicates the formation of stoichiometric cluster ions viz., (ZnO)n=1-30+ and (CdO)n=1-40+ along with -O bound anions, (ZnO)n=1-30O-, (CdO)n=1-40O- and (HgO)n=1-36O- from their respective solids. Further, metal oxoanions such as ZnOn=2,3-, CdOn=2,3,6-, and HgOn=2,3,6,7- have also been noted signifying the higher coordination ability of both Cd and Hg with O/O2/O3 species.

  11. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  12. STRUCTURAL, PHOTO-FUNCTIONAL AND SEMICONDUCTOR PROPERTIES OF COPPER OXIDE THIN FILMS PREPARED BY DC REACTIVE METHOD UNDER VARIOUS THICKNESSES Anmar H. Shukur

    Directory of Open Access Journals (Sweden)

    Anmar H. Shukur

    2018-01-01

    Full Text Available Cuprous oxide (Cu2O has been formed on glass substrates by dc reactive magnetron sputtering method, whereas pure target of the solid copper was sputtered with a mixture of plasma for argon gas and oxygen gas was used to form these films. Under vacuum chamber pressure of 1.2×10-5 Pa, thin film thickness was changed from 100 nm to 300 nm while other deposition parameters were fixed. The influence of changing the thickness of thin films on the electrical and the optical properties was investigated in this study. X-ray photoelectron spectroscopy (XPS, X-ray Diffractions system XRD, Atomic Force Microscopy (AFM, hall effect measurement system, UV–VIS spectrophotometer were employed to determine the characteristic of the deposited thin films. Thin film of 200 nm has observed low resistivity of 60.63 Ω cm and direct band gap of 2.5eV. This study has demonstrated that the thickness has direct influence on electrical and optical properties.

  13. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    Science.gov (United States)

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  14. Bi-component semiconductor oxide photoanodes for the photoelectrocatalytic oxidation of organic solutes and vapours: a short review with emphasis to TiO2-WO3 photoanodes.

    Science.gov (United States)

    Georgieva, J; Valova, E; Armyanov, S; Philippidis, N; Poulios, I; Sotiropoulos, S

    2012-04-15

    The use of binary semiconductor oxide anodes for the photoelectrocatalytic oxidation of organic species (both in solution and gas phase) is reviewed. In the first part of the review, the principle of electrically assisted photocatalysis is presented, the preparation methods for the most common semiconductor oxide catalysts are briefly mentioned, while the advantages of appropriately chosen semiconductor combinations for efficient UV and visible (vis) light utilization are highlighted. The second part of the review focuses on the discussion of TiO(2)-WO(3) photoanodes (among the most studied bi-component semiconductor oxide systems) and in particular on coatings prepared by electrodeposition/electrosynthesis or powder mixtures (the focus of the authors' research during recent years). Studies concerning the microscopic, spectroscopic and photoelectrochemical characterization of the catalysts are presented and examples of photoanode activity towards typical dissolved organic contaminants as well as organic vapours are given. Particular emphasis is paid to: (a) The dependence of photoactivity on catalyst morphology and composition and (b) the possibility of carrying out photoelectrochemistry in all-solid cells, thus opening up the opportunity for photoelectrocatalytic air treatment. Copyright © 2011 Elsevier B.V. All rights reserved.

  15. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  16. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  17. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  18. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  19. Physics and Chemistry on Well-Defined Semiconductor and Oxide Surfaces

    Science.gov (United States)

    Chen, Peijun

    High resolution electron energy loss spectroscopy (HREELS) and other surface spectroscopic techniques have been employed to investigate the following two classes of surface/interface phenomena on well-defined semiconductor and oxide surfaces: (i) the fundamental physical and chemical processes involved in gas-solid interaction on silicon single crystal surfaces, and (ii) the physical and chemical properties of metal-oxide interfaces. The particular systems reported in this dissertation are: NH_3, PH_3 and B_ {10}H_{14} on Si(111)-(7 x 7); NH_3 on Si(100) -(2 x 1); atomic H on Si(111)-(7 x 7) and boron-modified Si(111); Al on Al_2O_3 and Sn on SiO_2.. On silicon surfaces, the surface dangling bonds function as the primary adsorption sites where surface chemical processes take place. The unambiguous identification of surface species by vibrational spectroscopy allows the elementary steps involved in these surface chemical processes to be followed on a molecular level. For adsorbate molecules such as NH_3 and PH_3, the nature of the initial low temperature (100 -300 K) adsorption is found to be dissociative, while that for B_{10}H_ {14} is non-dissociative. This has been deduced based upon the presence (or absence) of specific characteristic vibrational mode(s) on surface. By following the evolution of surface species as a function of temperature, the elementary steps leading to silicon nitride thin film growth and doping of silicon are elucidated. In the case of NH_3 on Si(111)-(7 x 7) and Si(100)-(2 x 1), a detailed understanding on the role of substrate surface structure in controlling the surface reactivity has been gained on the basis of a Si adatom backbond-strain relief mechanism on the Si(111) -(7 x 7). The electronic modification to Si(111) surface by subsurface boron doping has been shown to quench its surface chemistry, even for the most aggressive atomic H. This discovery is potentially meaningful to the technology of gas-phase silicon etching. The

  20. Proceedings of wide band gap semiconductors

    International Nuclear Information System (INIS)

    Moustakas, T.D.; Pankove, J.I.; Hamakawa, Y.

    1992-01-01

    This book contains the proceedings of wide band gap semiconductors. Wide band gap semiconductors are under intense study because of their potential applications in photonic devices in the visible and ultraviolet part of the electromagnetic spectrum, and devices for high temperature, high frequency and high power electronics. Additionally, due to their unique mechanical, thermal, optical, chemical, and electronic properties many wide band gap semiconductors are anticipated to find applications in thermoelectric, electrooptic, piezoelectric and acoustooptic devices as well as protective coatings, hard coatings and heat sinks. Material systems covered in this symposium include diamond, II-VI compounds, III-V nitrides, silicon carbide, boron compounds, amorphous and microcrystalline semiconductors, chalcopyrites, oxides and halides. The various papers addressed recent experimental and theoretical developments. They covered issues related to crystal growth (bulk and thin films), structure and microstructure, defects, doping, optoelectronic properties and device applications. A theoretical session was dedicated to identifying common themes in the heteroepitaxy and the role of defects in doping, compensation and phase stability of this unique class of materials. Important experimental milestones included the demonstrations of bright blue injection luminescence at room temperatures from junctions based on III-V nitrides and a similar result from multiple quantum wells in a ZnSe double heterojunction at liquid nitrogen temperatures

  1. Three-dimensional minority carrier lifetime mapping of thin film semiconductors for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Hardin, Brian [PLANT PV, Inc., Belmont, CA (United States); Peters, Craig [PLANT PV, Inc., Belmont, CA (United States); Barnard, Edward [PLANT PV, Inc., Belmont, CA (United States)

    2015-09-30

    This project addresses the difficulty of accurately measuring charge carrier dynamics in novel semiconductor materials for thin film photovoltaic cells. We have developed a two- photon lifetime tomography technique to separate bulk minority carrier lifetime from surface recombination effects and effects of recombination at sub-surface defects. This technique also enables us to characterize how local defects such as grain boundaries– buried below the surface of a sample–affect carrier lifetimes in the active layer, dynamics that have been previously inaccessible. We have applied this newly developed technique to illuminate how CdCl2 treatment improves CdTe PV efficiency. From striking 3D lifetime tomography maps, a clear, sub- surface understanding emerges of the photophysical changes that occur in CdTe active medium following exposure to CdCl2, a standard step in the fabrication of high-efficiency CdTe-based solar cells. This work demonstrates a well-defined method to quantify grain-boundary, interface, and bulk recombination in CdTe and other optically-active polycrystalline semiconductor materials; information that can provide critical information to the development of next- generation photovoltaics and many other semiconductor technologies.

  2. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  3. Oxide Thin-Film Electronics using All-MXene Electrical Contacts

    KAUST Repository

    Wang, Zhenwei; Kim, Hyunho; Alshareef, Husam N.

    2018-01-01

    show balanced performance, including field-effect mobilities of 2.61 and 2.01 cm2 V−1 s−1 and switching ratios of 3.6 × 106 and 1.1 × 103, respectively. Further, complementary metal oxide semiconductor (CMOS) inverters are demonstrated. The CMOS

  4. Photodiodes based on fullerene semiconductor

    International Nuclear Information System (INIS)

    Voz, C.; Puigdollers, J.; Cheylan, S.; Fonrodona, M.; Stella, M.; Andreu, J.; Alcubilla, R.

    2007-01-01

    Fullerene thin films have been deposited by thermal evaporation on glass substrates at room temperature. A comprehensive optical characterization was performed, including low-level optical absorption measured by photothermal deflection spectroscopy. The optical absorption spectrum reveals a direct bandgap of 2.3 eV and absorption bands at 2.8 and 3.6 eV, which are related to the creation of charge-transfer excitons. Various photodiodes on indium-tin-oxide coated glass substrates were also fabricated, using different metallic contacts in order to compare their respective electrical characteristics. The influence of a poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) buffer layer between the indium-tin-oxide electrode and the fullerene semiconductor is also demonstrated. These results are discussed in terms of the workfunction for each electrode. Finally, the behaviour of the external quantum efficiency is analyzed for the whole wavelength spectrum

  5. Electron transport properties of indium oxide - indium nitride metal-oxide-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Wang, C.Y.; Hauguth, S.; Polyakov, V.; Schwierz, F.; Cimalla, V.; Kups, T.; Himmerlich, M.; Schaefer, J.A.; Krischok, S.; Ambacher, O.; Morales, F.M.; Lozano, J.G.; Gonzalez, D.; Lebedev, V.

    2008-01-01

    The structural, chemical and electron transport properties of In 2 O 3 /InN heterostructures and oxidized InN epilayers are reported. It is shown that the accumulation of electrons at the InN surface can be manipulated by the formation of a thin surface oxide layer. The epitaxial In 2 O 3 /InN heterojunctions show an increase in the electron concentration due to the increasing band banding at the heterointerface. The oxidation of InN results in improved transport properties and in a reduction of the sheet carrier concentration of the InN epilayer very likely caused by a passivation of surface donors. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Tailoring Charge Recombination in Photoelectrodes Using Oxide Nanostructures

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Wickman, Björn; Svensson, Elin

    2016-01-01

    Optimizing semiconductor devices for solar energy conversion requires an explicit control of the recombination of photogenerated electron−hole pairs. Here we show how the recombination of charge carriers can be controlled in semiconductor thin films by surface patterning with oxide nanodisks....... The control mechanism relies on the formation of dipole-like electric fields at the interface that, depending on the field direction, attract or repel minority carriers from underneath the disks. The charge recombination rate can be controlled through the choice of oxide material and the surface coverage...... of nanodisks. We provide proof-of-principle demonstration of this approach by patterning the surface of Fe2O3, one of the most studied semiconductors for light-driven water splitting, with TiO2 and Cu2O nanodisks. We expect this method to be generally applicable to a range of semiconductor-based solar energy...

  7. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    Science.gov (United States)

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  8. Effects of thickness on the nanocrystalline structure and semiconductor-metal transition characteristics of vanadium dioxide thin films

    International Nuclear Information System (INIS)

    Luo, Zhenfei; Zhou, Xun; Yan, Dawei; Wang, Du; Li, Zeyu; Yang, Cunbang; Jiang, Yadong

    2014-01-01

    Nanocrystalline vanadium dioxide (VO 2 ) thin films were grown on glass substrates by using reactive direct current magnetron sputtering and in situ thermal treatments at low preparation temperatures (≤ 350 °C). The VO 2 thin films were characterized by grazing-incidence X-ray diffraction, field emission scanning electron microscope, transmission electron microscopy and spectroscopic ellipsometry (SE). The semiconductor-metal transition (SMT) characteristics of the films were investigated by four-point probe resistivity measurements and infrared spectrometer equipped with heating pads. The testing results showed that the crystal structure, morphology, grain size and semiconductor-metal transition temperature (T SMT ) significantly changed as the film thickness decreased. Multilayer structures were observed in the particles of thinner films whose average particle size is much larger than the film thickness and average VO 2 grain size. A competition mechanism between the suppression effect of decreased thickness and coalescence of nanograins was proposed to understand the film growth and the formation of multilayer structure. The value of T SMT was found to decrease as average VO 2 grain size became smaller, and SE results showed that small nanograin size significantly affected the electronic structure of VO 2 film. - Highlights: • Nanocrystalline vanadium dioxide thin films were prepared. • Multilayer structures were observed in the films with large particles. • The transition temperature of the film is correlated with its electronic structure

  9. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    Science.gov (United States)

    Maabong, Kelebogile; Machatine, Augusto G.; Hu, Yelin; Braun, Artur; Nambala, Fred J.; Diale, Mmantsae

    2016-01-01

    Hematite (α-Fe2O3) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap, low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. α-Fe2O3 thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine doped tin oxide (FTO) glass substrate, annealed in air at 500 °C for 2 h, then electrochemically oxidized (anodized) in 1 M KOH at 500 mV for 1 min in dark and light conditions. Changes in structural properties and morphology of α-Fe2O3 nanoparticles films were investigated by XRD, Raman spectroscopy and a high resolution FE-SEM. The average grain size was observed to increase from 57 nm for pristine samples to 73 and 77 nm for anodized samples in dark and light respectively. Broadening and red shift in Raman spectra in anodized samples may be attributed to lattice expansion upon oxidation. The UV-visible measurements revealed enhanced absorption in the photoanodes after the treatment. The findings suggest that the anodization of the photoelectrode in a biased cell causes not only changes of the molecular structure at the surface, but also changes in the crystallographic structure which can be detected with x-ray diffractometry.

  10. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    International Nuclear Information System (INIS)

    Maabong, Kelebogile; Machatine, Augusto G.; Hu, Yelin; Braun, Artur; Nambala, Fred J.; Diale, Mmantsae

    2016-01-01

    Hematite (α-Fe_2O_3) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap, low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. α-Fe_2O_3 thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine doped tin oxide (FTO) glass substrate, annealed in air at 500 °C for 2 h, then electrochemically oxidized (anodized) in 1 M KOH at 500 mV for 1 min in dark and light conditions. Changes in structural properties and morphology of α-Fe_2O_3 nanoparticles films were investigated by XRD, Raman spectroscopy and a high resolution FE-SEM. The average grain size was observed to increase from ~57 nm for pristine samples to 73 and 77 nm for anodized samples in dark and light respectively. Broadening and red shift in Raman spectra in anodized samples may be attributed to lattice expansion upon oxidation. The UV–visible measurements revealed enhanced absorption in the photoanodes after the treatment. The findings suggest that the anodization of the photoelectrode in a biased cell causes not only changes of the molecular structure at the surface, but also changes in the crystallographic structure which can be detected with x-ray diffractometry.

  11. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Maabong, Kelebogile [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland); Department of Physics, University of Botswana, Private Bag 002, Gaborone (Botswana); Machatine, Augusto G. [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Hu, Yelin [Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland); Laboratory for Photonics and Interfaces, EPFL, Ecole Polytechnique Federale de Lausanne, CH-1015 Lausanne (Switzerland); Braun, Artur [Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland); Nambala, Fred J. [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); University of Zambia, Box 32379, Great East Road Campus, Lusaka (Zambia); Diale, Mmantsae, E-mail: mmantsae.diale@up.ac.za [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland)

    2016-01-01

    Hematite (α-Fe{sub 2}O{sub 3}) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap, low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. α-Fe{sub 2}O{sub 3} thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine doped tin oxide (FTO) glass substrate, annealed in air at 500 °C for 2 h, then electrochemically oxidized (anodized) in 1 M KOH at 500 mV for 1 min in dark and light conditions. Changes in structural properties and morphology of α-Fe{sub 2}O{sub 3} nanoparticles films were investigated by XRD, Raman spectroscopy and a high resolution FE-SEM. The average grain size was observed to increase from ~57 nm for pristine samples to 73 and 77 nm for anodized samples in dark and light respectively. Broadening and red shift in Raman spectra in anodized samples may be attributed to lattice expansion upon oxidation. The UV–visible measurements revealed enhanced absorption in the photoanodes after the treatment. The findings suggest that the anodization of the photoelectrode in a biased cell causes not only changes of the molecular structure at the surface, but also changes in the crystallographic structure which can be detected with x-ray diffractometry.

  12. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  13. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  14. Laser Doppler perfusion imaging with a complimentary metal oxide semiconductor image sensor

    NARCIS (Netherlands)

    Serov, Alexander; Steenbergen, Wiendelt; de Mul, F.F.M.

    2002-01-01

    We utilized a complimentary metal oxide semiconductor video camera for fast f low imaging with the laser Doppler technique. A single sensor is used for both observation of the area of interest and measurements of the interference signal caused by dynamic light scattering from moving particles inside

  15. Three dimensional strained semiconductors

    Science.gov (United States)

    Voss, Lars; Conway, Adam; Nikolic, Rebecca J.; Leao, Cedric Rocha; Shao, Qinghui

    2016-11-08

    In one embodiment, an apparatus includes a three dimensional structure comprising a semiconductor material, and at least one thin film in contact with at least one exterior surface of the three dimensional structure for inducing a strain in the structure, the thin film being characterized as providing at least one of: an induced strain of at least 0.05%, and an induced strain in at least 5% of a volume of the three dimensional structure. In another embodiment, a method includes forming a three dimensional structure comprising a semiconductor material, and depositing at least one thin film on at least one surface of the three dimensional structure for inducing a strain in the structure, the thin film being characterized as providing at least one of: an induced strain of at least 0.05%, and an induced strain in at least 5% of a volume of the structure.

  16. Effects of the wet air on the properties of the lanthanum oxide and lanthanum aluminate thin films

    International Nuclear Information System (INIS)

    Jun, Jin Hyung; Choi, Doo Jin

    2006-01-01

    Lanthanum oxide and lanthanum aluminate thin films were deposited on Si substrates. The as-grown films were stored in wet ambient and dry ambient for days and annealed after storage and also the structural and the electrical properties of the films were investigated. As the storage time increased, the La 2 O 3 films stored in wet ambient showed rapid reaction with moisture and the properties degraded. In case of the LAO films, although the thickness of the film also increased during hydration, the properties of the film did not so much changed due to the role of the incorporated aluminum. The LAO films showed better hydration resistance characteristics and so more suitable for conventional wet cleaning process in semiconductor fabrication

  17. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  18. Light-induced hysteresis and recovery behaviors in photochemically activated solution-processed metal-oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jo, Jeong-Wan; Park, Sung Kyu, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr [School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 156-756 (Korea, Republic of); Kim, Yong-Hoon, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr [School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); SKKU Advanced Institute of Nanotechnology (SAINT), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-07-28

    In this report, photo-induced hysteresis, threshold voltage (V{sub T}) shift, and recovery behaviors in photochemically activated solution-processed indium-gallium-zinc oxide (IGZO) thin-film transistors (TFTs) are investigated. It was observed that a white light illumination caused negative V{sub T} shift along with creation of clockwise hysteresis in electrical characteristics which can be attributed to photo-generated doubly ionized oxygen vacancies at the semiconductor/gate dielectric interface. More importantly, the photochemically activated IGZO TFTs showed much reduced overall V{sub T} shift compared to thermally annealed TFTs. Reduced number of donor-like interface states creation under light illumination and more facile neutralization of ionized oxygen vacancies by electron capture under positive gate potential are claimed to be the origin of the less V{sub T} shift in photochemically activated TFTs.

  19. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  20. Pulsed Laser Deposition of BaTiO3 Thin Films on Different Substrates

    Directory of Open Access Journals (Sweden)

    Yaodong Yang

    2010-01-01

    Full Text Available We have studied the deposition of BaTiO3 (BTO thin films on various substrates. Three representative substrates were selected from different types of material systems: (i SrTiO3 single crystals as a typical oxide, (ii Si wafers as a semiconductor, and (iii Ni foils as a magnetostrictive metal. We have compared the ferroelectric properties of BTO thin films obtained by pulsed laser deposition on these diverse substrates.

  1. Chemically-modified electrodes in photoelectrochemical cells. [Tin oxide and TiO/sub 2/ semiconductor electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fox, M A; Hohman, J R; Kamat, P V

    1893-01-01

    Tin oxide and titanium dioxide semiconductor electrodes hae been covalently modified by the attachment of functionalized olefins and arenes through surface silanation or via a cyanuric chloride linkage. The excited state and electrochemical properties of the molecules so attached are significantly affected by the semiconductor. Photocurrent measurements and time-resolved laser coulostatic monitoring have been employed to elucidate the mechanism of charge injection on these modified surfaces. 17 references, 7 figures.

  2. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin films have been investigated as protective coatings for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å h-l. Etching in liquids...... with pH values in the range from pH 2 to 11 have generally given etch rates below 0.04 Å h-l. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex situ...... annealing O2 in the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallization lines are hard to cover. Sputtered tantalum oxide...

  3. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin-films have been investigated as protective coating for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å/h. Etching in liquids with p......H values in the range from pH 2-11 have generally given etch rates below 0.04 Å/h. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex-situ annealing in O2...... the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallisation lines are hard to cover. Sputtered tantalum oxide exhibits high...

  4. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  5. Finite element simulations of electrostatic dopant potentials in thin semiconductor specimens for electron holography.

    Science.gov (United States)

    Somodi, P K; Twitchett-Harrison, A C; Midgley, P A; Kardynał, B E; Barnes, C H W; Dunin-Borkowski, R E

    2013-11-01

    Two-dimensional finite element simulations of electrostatic dopant potentials in parallel-sided semiconductor specimens that contain p-n junctions are used to assess the effect of the electrical state of the surface of a thin specimen on projected potentials measured using off-axis electron holography in the transmission electron microscope. For a specimen that is constrained to have an equipotential surface, the simulations show that the step in the projected potential across a p-n junction is always lower than would be predicted from the properties of the bulk device, but is relatively insensitive to the value of the surface state energy, especially for thicker specimens and higher dopant concentrations. The depletion width measured from the projected potential, however, has a complicated dependence on specimen thickness. The results of the simulations are of broader interest for understanding the influence of surfaces and interfaces on electrostatic potentials in nanoscale semiconductor devices. © 2013 Elsevier B.V. All rights reserved.

  6. Inhibitory Effect Evaluation of Glycerol-Iron Oxide Thin Films on Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    C. L. Popa

    2015-01-01

    Full Text Available The main purpose of this study was to evaluate the inhibitory effect of glycerol- iron oxide thin films on Methicillin-Resistant Staphylococcus aureus (MRSA. Our results suggest that glycerol-iron oxide thin films could be used in the future for various biomedical and pharmaceutical applications. The glycerol-iron oxide thin films have been deposited by spin coating method on a silicon (111 substrate. The structural properties have been studied by X-ray diffraction (XRD and scanning electron spectroscopy (SEM. The XRD investigations of the prepared thin films demonstrate that the crystal structure of glycerol-iron oxide nanoparticles was not changed after spin coating deposition. On the other hand, the SEM micrographs suggest that the size of the glycerol-iron oxide microspheres increased with the increase of glycerol exhibiting narrow size distributions. The qualitative depth profile of glycerol-iron oxide thin films was identified by glow discharge optical emission spectroscopy (GDOES. The GDOES spectra revealed the presence of the main elements: Fe, O, C, H, and Si. The antimicrobial activity of glycerol-iron oxide thin films was evaluated by measuring the zone of inhibition. After 18 hours of incubation at 37°C, the diameters of the zones of complete inhibition have been measured obtaining values around 25 mm.

  7. Oxide thin films for spintronics application growth and characterization

    OpenAIRE

    Popovici, Nicoleta, 1973-

    2009-01-01

    Tese de doutoramento, Física (Física), Universidade de Lisboa, Faculdade de Ciências, 2009 During my PhD research I have synthesized thin films of a material known as a diluted magnetic semiconductor (DMS) using the pulsed laser deposition (PLD) technique. This material is envisioned to be of importance in the emerging field of spintronics where both the charge and the spin of the carriers can be combined to yield unique functionalities. It was envisaged that if spin polarized charge carri...

  8. Glial cell adhesion and protein adsorption on SAM coated semiconductor and glass surfaces of a microfluidic structure

    Science.gov (United States)

    Sasaki, Darryl Y.; Cox, Jimmy D.; Follstaedt, Susan C.; Curry, Mark S.; Skirboll, Steven K.; Gourley, Paul L.

    2001-05-01

    The development of microsystems that merge biological materials with microfabricated structures is highly dependent on the successful interfacial interactions between these innately incompatible materials. Surface passivation of semiconductor and glass surfaces with thin organic films can attenuate the adhesion of proteins and cells that lead to biofilm formation and biofouling of fluidic structures. We have examined the adhesion of glial cells and serum albumin proteins to microfabricated glass and semiconductor surfaces coated with self-assembled monolayers of octadecyltrimethoxysilane and N-(triethoxysilylpropyl)-O- polyethylene oxide urethane, to evaluate the biocompatibility and surface passivation those coatings provide.

  9. Thermal oxidation of Zr–Cu–Al–Ni amorphous metal thin films

    International Nuclear Information System (INIS)

    Oleksak, R.P.; Hostetler, E.B.; Flynn, B.T.; McGlone, J.M.; Landau, N.P.; Wager, J.F.; Stickle, W.F.; Herman, G.S.

    2015-01-01

    The initial stages of thermal oxidation for Zr–Cu–Al–Ni amorphous metal thin films were investigated using X-ray photoelectron spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. The as-deposited films had oxygen incorporated during sputter deposition, which helped to stabilize the amorphous phase. After annealing in air at 300 °C for short times (5 min) this oxygen was found to segregate to the surface or buried interface. Annealing at 300 °C for longer times leads to significant composition variation in both vertical and lateral directions, and formation of a surface oxide layer that consists primarily of Zr and Al oxides. Surface oxide formation was initially limited by back-diffusion of Cu and Ni ( 30 min). The oxidation properties are largely consistent with previous observations of Zr–Cu–Al–Ni metallic glasses, however some discrepancies were observed which could be explained by the unique sample geometry of the amorphous metal thin films. - Highlights: • Thermal oxidation of amorphous Zr–Cu–Al–Ni thin films was investigated. • Significant short-range inhomogeneities were observed in the amorphous films. • An accumulation of Cu and Ni occurs at the oxide/metal interface. • Diffusion of Zr was found to limit oxide film growth.

  10. Effects of thickness on the nanocrystalline structure and semiconductor-metal transition characteristics of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Zhenfei, E-mail: zhfluo8@yahoo.com [Terahertz Research Center, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Zhou, Xun, E-mail: zx_zky@yahoo.com [Terahertz Research Center, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Yan, Dawei [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Wang, Du; Li, Zeyu [Terahertz Research Center, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Yang, Cunbang [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)

    2014-01-01

    Nanocrystalline vanadium dioxide (VO{sub 2}) thin films were grown on glass substrates by using reactive direct current magnetron sputtering and in situ thermal treatments at low preparation temperatures (≤ 350 °C). The VO{sub 2} thin films were characterized by grazing-incidence X-ray diffraction, field emission scanning electron microscope, transmission electron microscopy and spectroscopic ellipsometry (SE). The semiconductor-metal transition (SMT) characteristics of the films were investigated by four-point probe resistivity measurements and infrared spectrometer equipped with heating pads. The testing results showed that the crystal structure, morphology, grain size and semiconductor-metal transition temperature (T{sub SMT}) significantly changed as the film thickness decreased. Multilayer structures were observed in the particles of thinner films whose average particle size is much larger than the film thickness and average VO{sub 2} grain size. A competition mechanism between the suppression effect of decreased thickness and coalescence of nanograins was proposed to understand the film growth and the formation of multilayer structure. The value of T{sub SMT} was found to decrease as average VO{sub 2} grain size became smaller, and SE results showed that small nanograin size significantly affected the electronic structure of VO{sub 2} film. - Highlights: • Nanocrystalline vanadium dioxide thin films were prepared. • Multilayer structures were observed in the films with large particles. • The transition temperature of the film is correlated with its electronic structure.

  11. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    International Nuclear Information System (INIS)

    Predoi, D.; Ciobanu, C.S.; Radu, M.; Costache, M.; Dinischiotu, A.; Popescu, C.; Axente, E.; Mihailescu, I.N.; Gyorgy, E.

    2012-01-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: ► Hybrid, dextran-iron oxide nanoparticles and thin films. ► Laser immobilization. ► Biocompatibility of dextran-iron oxide nanoparticles.

  12. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  13. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  14. Oxidation of scandium thin films on tungsten surface

    International Nuclear Information System (INIS)

    Gorodetskij, D.A.; Martynyuk, A.V.

    1988-01-01

    Presence of Sc on the surface of W in amounts larger than a monolayer coverage leads to a decrease of the work function at the initial oxidation stage, which is attributed to oxygen implantation into the surface layer of the metal. A subsequent oxidation is followed by the formation on the surface of a thin oxide layer and an increase of the work function. An increase of the amount of Sc deposited on the surface before the oxidation decreases the work function of the obtained oxide from 5.8 (clean W surface) down to 3.3 eV (thick Sc layer on W)

  15. Emission channeling with short-lived isotopes lattice location of impurities in semiconductors and oxides

    CERN Multimedia

    We propose to perform emission channeling lattice location experiments in a number of semiconductor and oxide systems of technological relevance: \\\\- The lattice location of the transition metal probes $^{56}$Mn ($\\textit{t}_{1/2}$=2.6 h), $^{59}$Fe (45 d), $^{61}$Co (1.6 h) and $^{65}$Ni (2.5 h) is to be investigated in materials of interest as dilute magnetic semiconductors, such as GaMnAs, GaMnN, GaFeN, AlGaN, SiC, and in a number of oxides that are candidates for “single ion ferromagnetism”, in particular SrTiO$_3$ and LiNbO$_3$.\\\\- The topic of $\\textit{p}$-type doping of nitride semiconductors shall be addressed by studying the lattice sites of the acceptor dopants Mg and Be in GaN and AlN using the short-lived probes $^{27}$Mg (9.5 min) and $^{11}$Be (13.8 s). The aim is to reach a lattice location precision around 0.05 Å in order to provide critical tests for recent theoretical models which e.g. have predicted displacements of the Mg atom from the ideal substitutional Ga and Al sites of the order...

  16. Fabrication and operation methods of a one-time programmable (OTP) nonvolatile memory (NVM) based on a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Cho, Seongjae; Lee, Junghoon; Jung, Sunghun; Park, Sehwan; Park, Byunggook

    2011-01-01

    In this paper, a novel one-time programmable (OTP) nonvolatile memory (NVM) device and its array based on a metal-insulator-semiconductor (MIS) structure is proposed. The Iindividual memory device has a vertical channel of a silicon diode. Historically, OTP memories were widely used for read-only-memories (ROMs), in which the most basic system architecture model was to store central processing unit (CPU) instructions. By grafting the nanoscale fabrication technology and novel structuring onto the concept of the OTP memory, innovative high-density NVM appliances for mobile storage media may be possible. The program operation is performed by breaking down the thin oxide layer between the pn diode structure and the wordline (WL). The programmed state can be identified by an operation that reads the leakage currents through the broken oxide. Since the proposed OTP NVM is based on neither a transistor structure nor a charge storing mechanism, it is highly reliable and functional for realizing the ultra-large scale integration. The operation physics and the fabrication processes are also explained in detail.

  17. Influence of annealing on texture properties of cerium oxide thin films

    International Nuclear Information System (INIS)

    Arunkumar, P.; Suresh Babu, K.; Ramaseshan, R.; Dash, S.

    2013-01-01

    Future power demand needs an energy source with higher efficiency, better power density, clean energy and fuel flexibility. Solid oxide fuel cell (SOFC) is one of the potential sources for future needs. Though the polymer and direct methanol based electrolyte are much suitable, for versatile applications (portable devices) they are having major challenges such as design, platinum based catalyst, lower power density and fuel flexibility (free from hydrocarbons). However, in SOFC the high operating temperature is the only major issue. Operating temperature of SOFC could be reduced by proper selection of electrolyte material which should have minimum ionic conductivity of 0.1 Scm -1 at reduced activation energy. This can be achieved by thin film based doped cerium oxide electrolyte for SOFC, leads to Intermediate Temperature Solid Oxide Fuel Cell (ITSOFC). In the present work, we focus on the synthesis of cerium oxide and 20 mol % samarium doped cerium oxide (SDC) nanoparticles by co-precipitation method and to synthesis thin films of the same. Pellets of those powders were heat treated at different temperatures and used as targets for e-beam evaporation to fabricate thin film based electrolyte. Stoichiometry of both powders and thin films were confirmed by XRF and EPMA. GIXRD profiles of ceria and SDC thin films are shown below and a preferred orientation effect is observed in SDC films. In SDC films the X-ray peaks have a shift towards lower angles, due to the difference in ionic radii of Ce 4+ and Sm 3+ . The band gap of CeO 2 (2.88 eV) from optical absorption technique indicates the presence of Ce 3+ with Ce 4+ , indirectly shows the concentration of oxygen vacancies which is required for the thin film electrolyte

  18. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  19. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  20. Picosecond laser registration of interference pattern by oxidation of thin Cr films

    Energy Technology Data Exchange (ETDEWEB)

    Veiko, Vadim; Yarchuk, Michail [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation); Zakoldaev, Roman, E-mail: zakoldaev@gmail.com [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation); Gedvilas, Mindaugas; Račiukaitis, Gediminas [Center for Physical Sciences and Technology, Savanoriu Ave. 231, LT-02300, Vilnius (Lithuania); Kuzivanov, Michail; Baranov, Alexander [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation)

    2017-05-15

    Highlights: • Periodical patterning of thin films was achieved by combining two technologies. • Selective chemical etching was combined with laser-induced oxidation. • Formation of the protective oxide layer prevented of chromium film from etching. • 1D binary grating with the chromium stripe width of 750 nm was fabricated. - Abstract: The laser oxidation of thin metallic films followed by its selective chemical etching is a promising method for the formation of binary metal structures on the glass substrates. It is important to confirm that even a single ultrashort laser pulse irradiation is able to create the protective oxide layer that makes possible to imprint the thermochemical image. Results of the thermo-chemical treatment of thin chromium films irradiated by picosecond laser pulse utilizing two and four beam interference combined with the chemical etching are presented. The spatial resolution of this method can be high enough due to thermo-chemical sharpening and can be close to the diffraction limit. Micro-Raman spectroscopy was applied for characterization of the chemical composition of the protective oxide layers formed under atmospheric conditions on the surface of thin chromium films.

  1. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Predoi, D.; Ciobanu, C.S. [National Institute for Physics of Materials, P.O. Box MG 07, Bucharest, Magurele (Romania); Radu, M.; Costache, M.; Dinischiotu, A. [Molecular Biology Center, University of Bucharest, 91-95 Splaiul Independentei, 76201, Bucharest 5 (Romania); Popescu, C.; Axente, E.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Gyorgy, E., E-mail: egyorgy@cin2.es [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Consejo Superior de Investigaciones Cientificas, Centre d' Investigacions en Nanociencia i Nanotecnologia (CSIC-CIN2), Campus UAB, 08193 Bellaterra (Spain)

    2012-02-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: Black-Right-Pointing-Pointer Hybrid, dextran-iron oxide nanoparticles and thin films. Black-Right-Pointing-Pointer Laser immobilization. Black-Right-Pointing-Pointer Biocompatibility of dextran-iron oxide nanoparticles.

  2. Trap state passivation improved hot-carrier instability by zirconium-doping in hafnium oxide in a nanoscale n-metal-oxide semiconductor-field effect transistors with high-k/metal gate

    International Nuclear Information System (INIS)

    Liu, Hsi-Wen; Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Chang, Ting-Chang; Chen, Ching-En; Tseng, Tseung-Yuen; Lin, Chien-Yu; Cheng, Osbert; Huang, Cheng-Tung; Ye, Yi-Han

    2016-01-01

    This work investigates the effect on hot carrier degradation (HCD) of doping zirconium into the hafnium oxide high-k layer in the nanoscale high-k/metal gate n-channel metal-oxide-semiconductor field-effect-transistors. Previous n-metal-oxide semiconductor-field effect transistor studies demonstrated that zirconium-doped hafnium oxide reduces charge trapping and improves positive bias temperature instability. In this work, a clear reduction in HCD is observed with zirconium-doped hafnium oxide because channel hot electron (CHE) trapping in pre-existing high-k bulk defects is the main degradation mechanism. However, this reduced HCD became ineffective at ultra-low temperature, since CHE traps in the deeper bulk defects at ultra-low temperature, while zirconium-doping only passivates shallow bulk defects.

  3. High temperature oxidation of thin FeCrAl strips

    International Nuclear Information System (INIS)

    Andrieu, E.; Germidis, A.; Molins, R.

    1997-01-01

    This study concerns the oxidation behaviour between 850 and 1100 C of FeCrAl thin strips. Oxidation kinetics have been continuously recorded on a thermobalance as well as discontinuously in an ''industrial'' furnace. Detailed observations of oxide layers have been performed in transmission electron microscopy on oxidized thin foil cross-sections. Oxide morphologies are correlated with kinetics: Slow kinetics and columnar α alumina grains above 950 C, fast kinetics and transition alumina platelets (γ-alumina) at 850 C and 900 C, followed by small α-alumina grains formation underneath. The weight gains in the industrial furnace displayed significant scatter and were generally greater than those measured in the thermobalance. The effect of extrinsic factors such as specimen size and shape, atmosphere, air flow conditions on the early formation of transition aluminas explains the observed differences. It appears then that in given cases parabolic constant identification from TGA recordings is difficult, or even impossible. This might contribute to explain the differences in the results presented in the literature. (orig.)

  4. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  5. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  6. Method to induce a conductivity type in a semiconductor

    International Nuclear Information System (INIS)

    Aboaf, J.A.; Sedgwick, T.O.

    1977-01-01

    The invention deals with a method in which one can produce a region of a desired type of conductivity in a semiconductor as is required for, e.g., field effect transistors. A metal oxide layer combination consisting of several metal oxides is thus deposited on the semiconductor. This is carried out according to the invention in a non-oxidizing atmosphere at temperatures at which the metal oxides do not diffuse into the semiconductor. The sign and degree of the induced conductivity type is adjusted by dosed depositing of the individual metal oxides related to one another. The gaseous metal oxides due to heating, mixed with a non-oxidizing gas are added in compounds to the semiconductor heated to depositing temperature. These compounds decompose at the depositing temperature into the metal oxide and a gaseous residual component. The semiconductor consists of silicon, and nitrogen is used as carrier gas; when depositing aluminium oxide, gaseous aluminium isopropoxide is added; when depositing silicon dioxide, gaseous tetra-ethyl orthosilicate. (ORU) [de

  7. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  8. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  9. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  10. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Bratkovsky, A M [Hewlett-Packard Laboratories, 1501 Page Mill Road, MS 1123, Palo Alto, CA 94304 (United States)

    2008-02-15

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  11. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Bratkovsky, A M

    2008-01-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field

  12. Spintronic effects in metallic, semiconductor, metal oxide and metal semiconductor heterostructures

    Science.gov (United States)

    Bratkovsky, A. M.

    2008-02-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  13. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  14. Thermoluminescent response of aluminium oxide thin films subject to gamma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Arrieta, A.; Escobar A, L.; Camps, E.; Villagran, E.; Gonzalez, P.R

    2006-07-01

    The thermoluminescent (TL) properties of amorphous aluminium oxide thin films (thicknesses as low as 0.3 {mu}m) subjected to gamma (Co-60) irradiation are reported. Aluminium oxide thin films were prepared by laser ablation from an Al{sub 2}O{sub 3} target using a Nd: YAG laser with emission at the fundamental line. The films were exposed to gamma radiation (Co-60) in order to study their TL response. Thermoluminescence glow curves exhibited two peaks at 110 and 176 C. The high temperature peak shows good stability and 30% fading in the first 5 days after irradiation. A linear relationship between absorbed dose and the thermoluminescent response for doses span from 150 mGy to 100 Gy was observed. These results suggest that aluminium oxide thin films are suitable for detection and monitoring of gamma radiation. (Author)

  15. Adsorption smoke detector made of thin-film metal-oxide semiconductor sensor

    International Nuclear Information System (INIS)

    Adamian, A.Z.; Adamian, Z.N.; Aroutiounian, V.M.

    2001-01-01

    Based on results of investigations of the thin-film smoke sensors made of Bi 2 O 3 , irresponsive to a change in relative humidity of the environment, an absorption smoke detector processing circuit, where investigated sensor is used as a sensitive element, is proposed. It is shown that such smoke detector is able to function reliably under conditions of high relative humidity of the environment (up to 100%) and it considerably exceeds the known smoke detectors by the sensitivity threshold

  16. Adsorption smoke detector made of thin-film metal-oxide semiconductor sensor

    CERN Document Server

    Adamian, A Z; Aroutiounian, V M

    2001-01-01

    Based on results of investigations of the thin-film smoke sensors made of Bi sub 2 O sub 3 , irresponsive to a change in relative humidity of the environment, an absorption smoke detector processing circuit, where investigated sensor is used as a sensitive element, is proposed. It is shown that such smoke detector is able to function reliably under conditions of high relative humidity of the environment (up to 100%) and it considerably exceeds the known smoke detectors by the sensitivity threshold.

  17. Large-scale complementary macroelectronics using hybrid integration of carbon nanotubes and IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu

    2014-06-13

    Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).

  18. Thin film ionic conductors based on cerium oxide

    International Nuclear Information System (INIS)

    Haridoss, P.; Hellstrom, E.; Garzon, F.H.; Brown, D.R.; Hawley, M.

    1994-01-01

    Fluorite and perovskite structure cerium oxide based ceramics are a class of materials that may exhibit good oxygen ion and/or protonic conductivity. The authors have successfully deposited thin films of these materials on a variety of substrates. Interesting orientation relationships were noticed between cerium oxide films and strontium titanate bi-crystal substrates. Near lattice site coincidence theory has been used to study these relationships

  19. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Xue Zhang

    2017-07-01

    Full Text Available We investigated the influence of low-concentration indium (In doping on the chemical and structural properties of solution-processed zinc oxide (ZnO films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs. The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.

  20. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Bijkerk, Frederik

    2015-01-01

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO2 films were found to show Arrhenius behaviour. However, a

  1. Oxide bipolar electronics: materials, devices and circuits

    International Nuclear Information System (INIS)

    Grundmann, Marius; Klüpfel, Fabian; Karsthof, Robert; Schlupp, Peter; Schein, Friedrich-Leonhard; Splith, Daniel; Yang, Chang; Bitter, Sofie; Von Wenckstern, Holger

    2016-01-01

    We present the history of, and the latest progress in, the field of bipolar oxide thin film devices. As such we consider primarily pn-junctions in which at least one of the materials is a metal oxide semiconductor. A wide range of n-type and p-type oxides has been explored for the formation of such bipolar diodes. Since most oxide semiconductors are unipolar, challenges and opportunities exist with regard to the formation of heterojunction diodes and band lineups. Recently, various approaches have led to devices with high rectification, namely p-type ZnCo 2 O 4 and NiO on n-type ZnO and amorphous zinc-tin-oxide. Subsequent bipolar devices and applications such as photodetectors, solar cells, junction field-effect transistors and integrated circuits like inverters and ring oscillators are discussed. The tremendous progress shows that bipolar oxide electronics has evolved from the exploration of various materials and heterostructures to the demonstration of functioning integrated circuits. Therefore a viable, facile and high performance technology is ready for further exploitation and performance optimization. (topical review)

  2. Local coordination structure and electronic structure of the large electron mobility amorphous oxide semiconductor In-Ga-Zn-O: Experiment and ab initio calculations

    International Nuclear Information System (INIS)

    Nomura, Kenji; Ohta, Hiromichi; Hirano, Masahiro; Kamiya, Toshio; Uruga, Tomoya; Hosono, Hideo

    2007-01-01

    Ionic amorphous oxide semiconductors (IAOSs) are new materials for flexible thin film transistors that exhibit field-effect mobilities of ∼10 cm 2 V -1 s -1 [K. Nomura et al., Nature 488, 432 (2004)]. The local coordination structure in an IAOS, In-Ga-Zn-O (a-IGZO), was examined using extended x-ray absorption fine structure analysis combined with ab initio calculations. The short-range ordering and coordination structures in a-IGZO are similar to those in the corresponding crystalline phase, InGaZnO 4 , and edge-sharing structures consisting of In-O polyhedra remain in the amorphous structure. The In 3+ 5s orbitals form an extended state with a band effective mass of ∼0.2m e at the conduction band bottom

  3. Temporal and voltage stress stability of high performance indium-zinc-oxide thin film transistors

    Science.gov (United States)

    Song, Yang; Katsman, Alexander; Butcher, Amy L.; Paine, David C.; Zaslavsky, Alexander

    2017-10-01

    Thin film transistors (TFTs) based on transparent oxide semiconductors, such as indium zinc oxide (IZO), are of interest due to their improved characteristics compared to traditional a-Si TFTs. Previously, we reported on top-gated IZO TFTs with an in-situ formed HfO2 gate insulator and IZO active channel, showing high performance: on/off ratio of ∼107, threshold voltage VT near zero, extracted low-field mobility μ0 = 95 cm2/V·s, and near-perfect subthreshold slope at 62 mV/decade. Since device stability is essential for technological applications, in this paper we report on the temporal and voltage stress stability of IZO TFTs. Our devices exhibit a small negative VT shift as they age, consistent with an increasing carrier density resulting from an increasing oxygen vacancy concentration in the channel. Under gate bias stress, freshly annealed TFTs show a negative VT shift during negative VG gate bias stress, while aged (>1 week) TFTs show a positive VT shift during negative VG stress. This indicates two competing mechanisms, which we identify as the field-enhanced generation of oxygen vacancies and the field-assisted migration of oxygen vacancies, respectively. A simplified kinetic model of the vacancy concentration evolution in the IZO channel under electrical stress is provided.

  4. Studies on surface structures and mechanism of photocatalytic action of semiconductor oxides; Handotai hikari shokubai no hyomen kozo seigyo to sayo kiko kaimei ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, H; Sona, S; Koike, H; Hori, H; Negishi, N; Kohara, H; Ibusuki, A [National Institute for Resources and Environment, Tsukuba (Japan); Vakhtin, A; Borovkov, V [New Energy and Industrial Technology Development Organization, Tokyo, (Japan)

    1997-02-01

    Studies are made to define the working mechanism of semiconductor photocatalysts such as TiO2 and to establish designing guidelines for improving on their activity and functions. TiO2 in the air actively produces oxygen seeds for the oxidation and removal of NOx, etc. It is desired that a catalyst have a specific surface area large enough to retain the product of its action. To meet the need, a thin-film photocatalyst which is an aggregate of TiO2 crystals is produced by burning a film of a sol/gel system of reaction doped with macromolecules. This product has a larger specific surface area and is higher in pollutant-removing performance, and may be put into practical use. In another experiment, metal-carrying particles TiO2 suspended in water are employed for the reduction of CO2. Though the main product of catalysts carrying Pt or Pd is methane, a photocatalyst carrying RuO2 produces acetic acid mainly and loses less activity with the passage of time. A hybrid photocatalyst is composed of an organic pigment and inorganic semiconductor, synthesized through a covalent bond between a sililated-surface thin TiO2 film and porphyrin. It is confirmed that the newly developed process brings about an increase in electron migration efficiency. 3 figs.

  5. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  6. Multiferroic iron oxide thin films at room temperature

    Czech Academy of Sciences Publication Activity Database

    Gich, M.; Fina, I.; Morelli, Alessio; Sánchez, F.; Alexe, M.; Gazquez, J.; Fontcuberta, J.; Roig, A.

    2014-01-01

    Roč. 26, č. 27 (2014), s. 4645-4652 ISSN 0935-9648 Institutional support: RVO:68378271 Keywords : multiferroic * iron oxide * thin film Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 17.493, year: 2014

  7. Experimental studies on the nonlinear dynamics of ferroelectric thin films and layered ferroelectricum/semiconductor structures in oscillating systems

    International Nuclear Information System (INIS)

    Barz, Kay

    2010-01-01

    In this work experimental techniques for characterization of ferroelectric nm-thin films and ferroelectric/semiconductor structures by means of nonlinear phenomena are discussed. The thin film sample is applied in a series resonant circuit. By recording time series data and amplitude-frequency-characteristics (resonance frequency shift), the nonlinear behavior can be analyzed with respect to the theoretical aspects of these effects in the framework of nonlinear dynamics. The evolving ferroelectric hysteresis is represented by the amplitude-frequency-characteristic in a very detailed form. Interpretations are presented on how transient alterations like fatigue or retention loss, affect the amplitude-frequency-characteristics. Time series analysis allows to separate the specific influence of the nonlinear components and their corresponding time constants. The work closes with suggestions for a systematic application of the presented techniques for an extended characterization of ferroelectric thin films. (orig.)

  8. Photoconductivity study of acid on Zinc phthalocyanine pyridine thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Sukhwinder, E-mail: ss7667@gmail.com [Department of Physics, Govt. College for Girls, Ludhiana (India); Saini, G. S. S.; Tripathi, S. K. [Department of Physics, Panjab University, Chandigarh (India)

    2016-05-06

    The Metal Phthalocyanine (MPc) have attracted much interest because of chemical and high thermal stability. Molecules forming a crystal of MPc are held together by weak attractive Vander Waals forces. Organic semiconductors have π conjugate bonds which allow electrons to move via π-electron cloud overlaps. Conduction mechanisms for organic semiconductor are mainly through tunneling; hopping between localized states, mobility gaps, and phonon assisted hopping. The photo conductivity of thin films of these complexes changes when exposed to oxidizing and reducing gases. Arrhenius plot is used to find the thermal activation energy in the intrinsic region and impurity scattering region. Arrhenius plotsare used to find the thermal activation energy.

  9. Structural and optical properties of electrodeposited molybdenum oxide thin films

    International Nuclear Information System (INIS)

    Patil, R.S.; Uplane, M.D.; Patil, P.S.

    2006-01-01

    Electrosynthesis of Mo(IV) oxide thin films on F-doped SnO 2 conducting glass (10-20/Ω/□) substrates were carried from aqueous alkaline solution of ammonium molybdate at room temperature. The physical characterization of as-deposited films carried by thermogravimetric/differential thermogravimetric analysis (TGA/DTA), infrared spectroscopy and X-ray diffraction (XRD) showed the formation of hydrous and amorphous MoO 2 . Scanning electron microscopy (SEM) revealed a smooth but cracked surface with multi-layered growth. Annealing of these films in dry argon at 450 deg. C for 1 h resulted into polycrystalline MoO 2 with crystallites aligned perpendicular to the substrate. Optical absorption study indicated a direct band gap of 2.83 eV. The band gap variation consistent with Moss rule and band gap narrowing upon crystallization was observed. Structure tailoring of as-deposited thin films by thermal oxidation in ambient air to obtain electrochromic Mo(VI) oxide thin films was exploited for the first time by this novel route. The results of this study will be reported elsewhere

  10. Amperometric detection and electrochemical oxidation of aliphatic amines and ammonia on silver-lead oxide thin-film electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Ge, Jisheng [Iowa State Univ., Ames, IA (United States)

    1996-01-08

    This thesis comprises three parts: Electrocatalysis of anodic oxygen-transfer reactions: aliphatic amines at mixed Ag-Pb oxide thin-film electrodes; oxidation of ammonia at anodized Ag-Pb eutectic alloy electrodes; and temperature effects on oxidation of ethylamine, alanine, and aquated ammonia.

  11. Surface and sub-surface thermal oxidation of ruthenium thin films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    For next generation Extreme UV photolithography, multilayer coatings may require protective capping layers against surface contamination. Ruthenium, as a low-oxidation metal, is often used as a reference material. The oxidation behaviour of Ru thin films has been studied using X-ray reflectometry

  12. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  13. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  14. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  15. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  16. ZnTe Amorphous Semiconductor Nanowires Array Electrodeposited into Polycarbonate Membrane Thin Films

    International Nuclear Information System (INIS)

    Ohgai, T; Ikeda, T; Ohta, J

    2013-01-01

    ZnTe amorphous semiconductor nanowires array was electrodeposited into the nanochannels of ion-track etched polycarbonate membrane thin films from acidic aqueous solution at 313 K. ZnTe electrodeposits with Zn-rich composition was obtained over the wide range of cathode potential from −0.8 V to −1.1 V and the growth rate of ZnTe amorphous nanowires was around 3 nm.sec −1 at the cathode potential of −0.8 V. Cylindrical shape of the nanowires was precisely transferred from the nanochannels and the aspect ratio reached up to ca. 40. ZnTe amorphous phase electrodeposited at 313 K was crystallized by annealing at 683 K and the band gap energy of ZnTe crystalline phase reached up to ca. 2.13 eV.

  17. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    Science.gov (United States)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  18. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H–SiC in diluted N2O ambient

    International Nuclear Information System (INIS)

    Wong, Yew Hoong; Cheong, Kuan Yew

    2012-01-01

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N 2 O ambient (10–100%) at 500 °C for 15 min to form Zr-oxynitride on 4H–SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N 2 O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr–O–N and/or Zr–N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: ► Zr-oxynitride as the gate oxide deposited on 4H–SiC substrate. ► Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H–SiC using various concentrations of N 2 O gas. ► Presence of interfacial layer comprised of mixed compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N. ► The highest electrical breakdown and highest reliability at diluted N 2 O of 10%.

  19. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  20. Synthesis of thin films and materials utilizing a gaseous catalyst

    Science.gov (United States)

    Morse, Daniel E; Schwenzer, Birgit; Gomm, John R; Roth, Kristian M; Heiken, Brandon; Brutchey, Richard

    2013-10-29

    A method for the fabrication of nanostructured semiconducting, photoconductive, photovoltaic, optoelectronic and electrical battery thin films and materials at low temperature, with no molecular template and no organic contaminants. High-quality metal oxide semiconductor, photovoltaic and optoelectronic materials can be fabricated with nanometer-scale dimensions and high dopant densities through the use of low-temperature biologically inspired synthesis routes, without the use of any biological or biochemical templates.

  1. Study of optical characteristics of tin oxide thin film prepared by sol ...

    Indian Academy of Sciences (India)

    wear-resistant coating on glass containers (Nakagawa et al. 1997), Infrared reflectors for ... Tin oxide is a tetragonal n-type semiconductor having high bandgap energy ... (IV) chloride due to the cost factor and availability. The main purpose of ...

  2. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  3. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  4. Introduction to thin film transistors physics and technology of TFTs

    CERN Document Server

    Brotherton, S D

    2013-01-01

    Introduction to Thin Film Transistors reviews the operation, application, and technology of the main classes of thin film transistor (TFT) of current interest for large area electronics. The TFT materials covered include hydrogenated amorphous silicon (a-Si:H), poly-crystalline silicon (poly-Si), transparent amorphous oxide semiconductors (AOS), and organic semiconductors. The large scale manufacturing of a-Si:H TFTs forms the basis of the active matrix flat panel display industry. Poly-Si TFTs facilitate the integration of electronic circuits into portable active matrix liquid crystal displays, and are increasingly used in active matrix organic light emitting diode (AMOLED) displays for smart phones. The recently developed AOS TFTs are seen as an alternative option to poly-Si and a-Si:H for AMOLED TV and large AMLCD TV applications, respectively. The organic TFTs are regarded as a cost effective route into flexible electronics. As well as treating the highly divergent preparation and properties of these mat...

  5. Cadmium-manganese oxide composite thin films: Synthesis, characterization and photoelectrochemical properties

    Energy Technology Data Exchange (ETDEWEB)

    Mansoor, M.A. [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Low Dimensional Materials Research Centre, Department of Physics, University of Malaya, Faculty of Science, Kuala Lumpur 50603 (Malaysia); Ebadi, M. [Solar Energy Research Institute, University Kebangsaan Malaysia, Bangi 43600, Selangor (Malaysia); Mazhar, M., E-mail: mazhar42pk@yahoo.com [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Huang, N.M. [Low Dimensional Materials Research Centre, Department of Physics, University of Malaya, Faculty of Science, Kuala Lumpur 50603 (Malaysia); Mun, L.K.; Misran, M. [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Basirun, W.J. [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Institute of Nanotechnology and Catalysis (NanoCat), University Malaya, Kuala Lumpur 50603 (Malaysia)

    2017-01-15

    Ceramic composite CdO–Mn{sub 2}O{sub 3} thin films have been deposited on fluorine doped tin oxide (FTO) coated glass substrates by aerosol assisted chemical vapour deposition (AACVD) using a 1:1 mixture of cadmium complex, [Cd(dmae){sub 2}(OAc){sub 2}]·H{sub 2}O (1) (where dmae = 2-dimethylaminoethanolato and OAc = acetato), and diacetatomanganese (II). The phase purity, stoichiometry and thickness of the films were examined by X-ray diffraction (XRD), Fourier transformed infra-red (FTIR), Raman spectroscopy, field emission gun scanning electron microscopy (FEG-SEM), energy dispersive X-ray spectroscopy (EDX), UV–Vis spectroscopy and profilometer. The FEG-SEM analysis illustrated that the morphology of the fabricated films was influenced by the type of solvent. The optical direct band gap of the film fabricated from THF solution was 1.95 eV. From the current–voltage characteristics it is evident that the CdO–Mn{sub 2}O{sub 3} composite semiconductor electrode exhibits n-type behaviour and the photocurrent density was found to be dependent on the deposition medium. The film deposited from THF solution displayed maximum photocurrent density of 4.80 mA cm{sup −2} at 0.65 V vs. Ag/AgCl/3 M KCl (∼1.23 V vs. RHE) in 0.5 M NaOH electrolyte. - Highlights: • Single crystal X-ray structure of [Cd(dmae){sub 2}(OAc){sub 2}]·H{sub 2}O (1). • CdO-Mn{sub 2}O{sub 3} composite photoanode thin films. • Optical band gap of CdO-Mn{sub 2}O{sub 3} photoanode. • Photoelectrochemical and EIS studies.

  6. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  7. Influence of semiconductor barrier tunneling on the current-voltage characteristics of tunnel metal-oxide-semiconductor diodes

    DEFF Research Database (Denmark)

    Nielsen, Otto M.

    1983-01-01

    of multistep tunneling recombination current and injected minority carrier diffusion current. This can explain the observed values of the diode quality factor n. The results also show that the voltage drop across the oxide Vox is increased with increased NA, with the result that the lowering of the minority...... carrier diode current Jmin is greater than in the usual theory. The conclusion drawn is that the increase in Vox and lowering of Jmin is due to multistep tunneling of majority carriers through the semiconductor barrier. Journal of Applied Physics is copyrighted by The American Institute of Physics.......Current–voltage characteristics have been examined for Al–SiO2–pSi diodes with an interfacial oxide thickness of delta[approximately-equal-to]20 Å. The diodes were fabricated on and oriented substrates with an impurity concentration in the range of NA=1014–1016 cm−3. The results show that for low...

  8. Paraffin wax passivation layer improvements in electrical characteristics of bottom gate amorphous indium–gallium–zinc oxide thin-film transistors

    International Nuclear Information System (INIS)

    Chang, Geng-Wei; Chang, Ting-Chang; Syu, Yong-En; Tsai, Tsung-Ming; Chang, Kuan-Chang; Tu, Chun-Hao; Jian, Fu-Yen; Hung, Ya-Chi; Tai, Ya-Hsiang

    2011-01-01

    In this research, paraffin wax is employed as the passivation layer of the bottom gate amorphous indium–gallium–zinc oxide thin-film transistors (a-IGZO TFTs), and it is formed by sol–gel process in the atmosphere. The high yield and low cost passivation layer of sol–gel process technology has attracted much attention for current flat-panel-display manufacturing. Comparing with passivation-free a-IGZO TFTs, passivated devices exhibit a superior stability against positive gate bias stress in different ambient gas, demonstrating that paraffin wax shows gas-resisting characteristics for a-IGZO TFTs application. Furthermore, light-induced stretch-out phenomenon for paraffin wax passivated device is suppressed. This superior stability of the passivated device was attributed to the reduced total density of states (DOS) including the interfacial and semiconductor bulk trap densities.

  9. Surface and sub-surface thermal oxidation of thin ruthenium films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Kokke, S.; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low

  10. Probing the Unique Role of Gallium in Amorphous Oxide Semiconductors through Structure-Property Relationships

    Energy Technology Data Exchange (ETDEWEB)

    Moffitt, Stephanie L.; Zhu, Qimin; Ma, Qing; Falduto, Allison F.; Buchholz, D. Bruce; Chang, Robert P.H.; Mason, Thomas O.; Medvedeva, Julia E.; Marks, Tobin J.; Bedzyk, Michael J. (NWU); (MUST)

    2017-09-01

    This study explores the unique role of Ga in amorphous (a-) In[BOND]Ga[BOND]O oxide semiconductors through combined theory and experiment. It reveals substitutional effects that have not previously been attributed to Ga, and that are investigated by examining how Ga influences structure–property relationships in a series of pulsed laser deposited a-In[BOND]Ga[BOND]O thin films. Element-specific structural studies (X-ray absorption and anomalous scattering) show good agreement with the results of ab initio molecular dynamics simulations. This structural knowledge is used to understand the results of air-annealing and Hall effect electrical measurements. The crystallization temperature of a-IO is shown to increase by as much as 325 °C on substituting Ga for In. This increased thermal stability is understood on the basis of the large changes in local structure that Ga undergoes, as compared to In, during crystallization. Hall measurements reveal an initial sharp drop in both carrier concentration and mobility with increasing Ga incorporation, which moderates at >20 at% Ga content. This decline in both the carrier concentration and mobility with increasing Ga is attributed to dilution of the charge-carrying In[BOND]O matrix and to increased structural disorder. The latter effect saturates at high at% Ga.

  11. Nanoporous cerium oxide thin film for glucose biosensor.

    Science.gov (United States)

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  12. Micro-patterned ZnO semiconductors for high performance thin film transistors via chemical imprinting with a PDMS stamp.

    Science.gov (United States)

    Seong, Kieun; Kim, Kyongjun; Park, Si Yun; Kim, Youn Sang

    2013-04-07

    Chemical imprinting was conducted on ZnO semiconductor films via a chemical reaction at the contact regions between a micro-patterned PDMS stamp and ZnO films. In addition, we applied the chemical imprinting on Li doped ZnO thin films for high performance TFTs fabrication. The representative micro-patterned Li doped ZnO TFTs showed a field effect mobility of 4.2 cm(2) V(-1) s(-1) after sintering at 300 °C.

  13. Synthesis and characterization of zinc oxide thin films prepared by ...

    African Journals Online (AJOL)

    Zinc oxide thin films were prepared with ammonia/ammonium chloride buffer as the reaction moderating agent in the chemical bath deposition technique. An observable color change during the reaction due to variations in the reactants concentration indicated the existence of the cupric (CuO) and cuprous (Cu2O) oxides ...

  14. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  15. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  16. Design of nanophotonic, hot-electron solar-blind ultraviolet detectors with a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Wang, Zhiyuan; Wang, Xiaoxin; Liu, Jifeng

    2014-01-01

    Solar-blind ultraviolet (UV) detection refers to photon detection specifically in the wavelength range of 200 nm–320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. The most commonly used solid state devices for this application are wide band gap (WBG) semiconductor photodetectors (Eg > 3.5 eV). However, WBG semiconductors are difficult to grow and integrate with Si readout integrated circuits (ROICs). In this paper, we design a nanophotonic metal-oxide-semiconductor structure on Si for solar-blind UV detectors. Instead of using semiconductors as the active absorber, we use Sn nano-grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between the metal and the n-type Si region upon UV excitation. Moreover, the transported hot electron has an excess kinetic energy >3 eV, large enough to induce impact ionization and generate another free electron in the conduction band of n-Si. This process doubles the quantum efficiency. On the other hand, the large metal/oxide interfacial energy barrier (>3.5 eV) also enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, ∼75% UV absorption and hot electron excitation can be achieved within the mean free path of ∼20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. The simple geometry of the Sn nano-gratings and the MOS structure make it easy to fabricate and integrate with Si ROICs compared to existing solar-blind UV detection schemes. The presented device structure also breaks through the conventional notion that photon absorption by metal is always a loss in solid-state photodetectors, and it can potentially be extended to other active metal photonic devices. (paper)

  17. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  18. SURFACE MODIFICATION OF SEMICONDUCTOR THIN FILM OF TiO2 ON GRAPHITE SUBSTRATE BY Cu-ELECTRODEPOSITION

    Directory of Open Access Journals (Sweden)

    Fitria Rahmawati

    2010-06-01

    Full Text Available Surface modification of graphite/TiO2 has been done by mean of Cu electrodeposition. This research aims to study the effect of Cu electrodeposition on photocatalytic enhancing of TiO2. Electrodeposition has been done using CuSO4 0,4 M as the electrolyte at controlled current. The XRD pattern of modified TiO2 thin film on graphite substrate exhibited new peaks at 2θ= 43-44o and 2θ= 50-51o that have been identified as Cu with crystal cubic system, face-centered crystal lattice and crystallite size of 26-30 nm. CTABr still remains in the material as impurities. Meanwhile, based on morphological analysis, Cu particles are dissipated in the pore of thin film. Graphite/TiO2/Cu has higher photoconversion efficiency than graphite/TiO2.   Keywords: semiconductor, graphite/TiO2, Cu electrodeposition

  19. Transparent conductive zinc oxide basics and applications in thin film solar cells

    CERN Document Server

    Klein, Andreas; Rech, Bernd

    2008-01-01

    Zinc oxide (ZnO) belongs to the class of transparent conducting oxides which can be used as transparent electrodes in electronic devices or heated windows. In this book the material properties of, the deposition technologies for, and applications of zinc oxide in thin film solar cells are described in a comprehensive manner. Structural, morphological, optical and electronic properties of ZnO are treated in this review. The editors and authors of this book are specialists in deposition, analysis and fabrication of thin-film solar cells and especially of ZnO. This book is intended as an overview and a data collection for students, engineers and scientist.

  20. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  1. Hysteresis phenomena at metal-semiconductor phase transformation in vanadium oxides

    International Nuclear Information System (INIS)

    Lanskaya, T.G.; Merkulov, I.A.; Chudnovski , F.A.

    1978-01-01

    The hysteresis phenomena during the metal-semiconductor phase transformation (MSPT) in vanadium oxides are investigated. It is shown experimentally that the hysteresis effects during MSPT in vanadium oxides are associated not only with the martensite nature of the transformation, but also with activation processes. It is shown that the hysteresis phenomena during MSPT may be described by the distribution function of microregions of the crystal in the phase transformation temperature T 0 and the coercive temperature Tsub(c). An experimental method for constructing this distribution function was worked out. An analysis of the experimental data shows that finely dispersed films are characterized by a wide range of values of T 0 and Tsub(c) (55 deg C 0 <65 deg C, 6 deg C< Tsub(c)<12 deg C). The peculiarities of the optical recording of information on monocrystal and finely dispersed films are considered

  2. X-ray analysis of spintronic semiconductor and half metal thin film systems; Roentgenstrukturuntersuchungen an spintronischen Halbleiter- und Halbmetall-Duennschichtsystemen

    Energy Technology Data Exchange (ETDEWEB)

    Stahl, Andreas

    2010-07-01

    In this work the structural properties of spintronic semiconductor and halfmetalic thin-film systems were investigated. The layer thicknesses and interface roughnesses of the multi-layer systems were estimated by X-ray reflectivity measurements. The fits were performed using the software Fewlay which uses the Parratt formalism to calculate the reflectivities. The relaxation of the films was analyzed by reciprocal space mapping on preferably highly indexed Bragg reflexes. (orig.)

  3. Thermoluminescent characterization of thin films of aluminium oxide submitted to beta and gamma radiation

    International Nuclear Information System (INIS)

    Villagran, E.; Escobar A, L.; Camps, E.; Gonzalez, P.R.; Martinez A, L.

    2002-01-01

    By mean of the laser ablation technique, thin films of aluminium oxide have been deposited on kapton substrates. These films present thermoluminescent response (Tl) when they are exposed to beta and gamma radiation. The brilliance curves show two peaks between 112 C and 180 C. A dose-response relationship study was realized and the Tl kinetic parameters were determined using the computerized deconvolution of the brilliance curve (CGCD). The thin films of aluminium oxide have potential applications as ultra.thin radiation dosemeters. (Author)

  4. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  5. Development of wear resistant zirconium oxide thin films on stainless steel substrates

    International Nuclear Information System (INIS)

    Then, I.K.; Mujahid, M.; Zhang, B.

    2005-01-01

    The present work deals specifically with the development of zirconium oxide thin film coatings on the stainless steel orthodontic bracket system by sputtering technique. Thin films of zirconium oxide have been deposited on injection molded stainless steel substrates using sputtering under controlled temperature and environment conditions. The deposited films, 1.5 μm in thickness, were found to have a predominantly tetragonal structure with grain size of about 5 nm. The grain size was found to increase only slightly with increasing heat treatment time at 650 C. It has been shown that thin-film zirconia coatings with stable structure and good adhesion along with very low friction coefficient could be produced. (orig.)

  6. Development of wear resistant zirconium oxide thin films on stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Then, I.K.; Mujahid, M. [School of Materials Engineering, Nanyang Technological Univ. (Singapore); Zhang, B. [Dou Yee Technologies Pte Ltd, Bedok Industrial Park C (Singapore)

    2005-07-01

    The present work deals specifically with the development of zirconium oxide thin film coatings on the stainless steel orthodontic bracket system by sputtering technique. Thin films of zirconium oxide have been deposited on injection molded stainless steel substrates using sputtering under controlled temperature and environment conditions. The deposited films, 1.5 {mu}m in thickness, were found to have a predominantly tetragonal structure with grain size of about 5 nm. The grain size was found to increase only slightly with increasing heat treatment time at 650 C. It has been shown that thin-film zirconia coatings with stable structure and good adhesion along with very low friction coefficient could be produced. (orig.)

  7. Defect-mediated magnetism of transition metal doped zinc oxide thin films

    Science.gov (United States)

    Roberts, Bradley Kirk

    Magnetism in transition metal doped wide band-gap materials is of interest to further the fundamental science of materials and future spintronics applications. Large inter-dopant separations require mediation of ferromagnetism by some method; carrier-mediated mechanisms are typically applicable to dilute magnetic semiconductors with low Curie temperatures. Dilute magnetic oxides, commonly with poor conductivity and TC above room temperature, cannot be described within this theory. Recent experiment and theory developments suggest that ferromagnetic exchange in these materials can be mediated by defects. This research includes experimental results justifying and developing this approach. Thin films of Cr doped ZnO (band gap ˜3.3 eV) were deposited with several processing variations to enhance the effects of either 0-dimensional (vacancy, hydrogen-related defect) or two-dimensional defects (surface/interface) and thereby affect magnetism and conductivity. We observe surface magnetism in dielectric thin films of oxygen-saturated ZnO:Cr with spontaneous magnetic moment and conductance dropping approximately exponentially with increasing thickness. Uniform defect concentrations would not result in such magnetic ordering behavior indicating that magnetism is mediated either by surface defects or differing concentrations of point defects near the surface. Polarized neutron reflectivity profiling confirms a magnetically active region of ˜8 nm at the film surface. Hydrogen is notoriously present as a defect and carrier dopant in ZnO, and artificial introduction of hydrogen in dielectric ZnO:Cr films results in varying electronic and magnetic behavior. Free carriers introduced with hydrogen doping are not spin-polarized requiring an alternative explanation for ferromagnetism. We find from positron annihilation spectroscopy measurements that hydrogen doping increases the concentration of an altered VZn-related defect (a preliminary interpretation) throughout the film, which

  8. Electrosprayed Metal Oxide Semiconductor Films for Sensitive and Selective Detection of Hydrogen Sulfide

    Directory of Open Access Journals (Sweden)

    Maryam Siadat

    2009-11-01

    Full Text Available Semiconductor metal oxide films of copper-doped tin oxide (Cu-SnO2, tungsten oxide (WO3 and indium oxide (In2O3 were deposited on a platinum coated alumina substrate employing the electrostatic spray deposition technique (ESD. The morphology studied with scanning electron microscopy (SEM and atomic force microscopy (AFM shows porous homogeneous films comprising uniformly distributed aggregates of nano particles. The X-ray diffraction technique (XRD proves the formation of crystalline phases with no impurities. Besides, the Raman cartographies provided information about the structural homogeneity. Some of the films are highly sensitive to low concentrations of H2S (10 ppm at low operating temperatures (100 and 200 °C and the best response in terms of Rair/Rgas is given by Cu-SnO2 films (2500 followed by WO3 (1200 and In2O3 (75. Moreover, all the films exhibit no cross-sensitivity to other reducing (SO2 or oxidizing (NO2 gases.

  9. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    Science.gov (United States)

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  10. Modelling of Leakage Current Through Double Dielectric Gate Stack in Metal Oxide Semiconductor Capacitor

    International Nuclear Information System (INIS)

    Fatimah A Noor; Mikrajuddin Abdullah; Sukirno; Khairurrijal

    2008-01-01

    In this paper, we have derived analytical expression of leakage current through double barriers in Metal Oxide Semiconductor (MOS) capacitor. Initially, electron transmittance through the MOS capacitor was derived by including the coupling between the transverse and longitudinal energies. The transmittance was then employed to obtain leakage current through the double barrier. In this model, we observed the effect of electron velocity due to the coupling effect and the oxide thickness to the leakage current. The calculated results showed that the leakage current decreases as the electron velocity increases. (author)

  11. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    OpenAIRE

    Maabong Kelebogile; Machatine Augusto G.; Hu Yelin; Braun Artur; Nambala Fred J.; Diale Mmantsae

    2016-01-01

    Abstract Hematite (a Fe2O3) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. a Fe2O3 thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine dop...

  12. Radiation effects in metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Collins, J.L.

    1987-01-01

    The effects of various radiations on commercially made Al-SiO 2 -Si Capacitors (MOSCs) have been investigated. Intrinsic dielectric breakdown in MOSCs has been shown to be a two-stage process dominated by charge injection in a pre-breakdown stage; this is associated with localised high-field injection of carriers from the semiconductor substrate to interfacial and bulk charge traps which, it is proposed, leads to the formation of conducting channels through the dielectric with breakdown occurring as a result of the dissipation of the conduction band energy. A study of radiation-induced dielectric breakdown has revealed the possibility of anomalous hot-electron injection to an excess of bulk oxide traps in the ionization channel produced by very heavily ionizing radiation, which leads to intrinsic breakdown in high-field stressed devices. This is interpreted in terms of a modified model for radiation-induced dielectric breakdown based upon the primary dependence of breakdown on charge injection rather than high-field mechanisms. A detailed investigation of charge trapping and interface state generation due to various radiations has revealed evidence of neutron induced interface states, and the generation of positive oxide charge in devices due to all the radiations tested. The greater the linear energy transfer of the radiation, the greater the magnitude of charge trapped in the oxide and the number of interface states generated. This is interpreted in terms of Si-H and Si-OH bond-breaking at the Si-SiO 2 interface which is enhanced by charge carrier transfer to the interface and by anomalous charge injection to compensate for the excess of charge carriers created by the radiation. (author)

  13. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors

  14. Fabrication and characterization of 6,13-bis(triisopropylsilylethynyl)-pentacene active semiconductor thin films prepared by flow-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Mohamad, Khairul Anuar; Rusnan, Fara Naila; Seria, Dzulfahmi Mohd Husin; Saad, Ismail; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia); Katsuhiro, Uesugi; Hisashi, Fukuda [Division of Engineering for Composite Functions, Muroran Institute of Technology 27-1 Mizumoto, Muroran 050-8585 Hokkaido (Japan)

    2015-08-28

    Investigation on the physical characterization and comparison of organic thin film based on a soluble 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene is reported. Oriented thin-films of pentacene have been successfully deposited by flow-coating method, in which the chloroform solution is sandwiched between a transparent substrate and a slide glass, followed by slow-drawing of the substrate with respect to the slide glass. Molecular orientation of flow-coated TIPS-pentacene is comparable to that of the thermal-evaporated pentacene thin film by the X-ray diffraction (XRD) results. XRD results showed that the morphology of flow-coated soluble pentacene is similar to that of the thermal-evaporated pentacene thin films in series of (00l) diffraction peaks where the (001) diffraction peaks are strongest in the nominally out-of-plane intensity and interplanar spacing located at approximately 2θ = 5.33° (d-spacing, d{sub 001} = 16 Å). Following that, ITO/p-TIPS-pentacene/n-ZnO/Au vertical diode was fabricated. The diode exhibited almost linear characteristics at low voltage with nonlinear characteristics at higher voltage which similar to a pn junction behavior. The results indicated that the TIPS-pentacene semiconductor active thin films can be used as a hole injection layer for fabrication of a vertical organic transistor.

  15. Recent advances in ZnO nanostructures and thin films for biosensor applications: Review

    International Nuclear Information System (INIS)

    Arya, Sunil K.; Saha, Shibu; Ramirez-Vick, Jaime E.; Gupta, Vinay; Bhansali, Shekhar; Singh, Surinder P.

    2012-01-01

    Graphical abstract: ZnO nanostructures have shown binding of biomolecules in desired orientation with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, their compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes them suitable candidate for future small integrated biosensor devices. This review highlights various approaches to synthesize ZnO nanostructures and thin films, and their applications in biosensor technology. Highlights: ► This review highlights various approaches to synthesize ZnO nanostructures and thin films. ► Article highlights the importance of ZnO nanostructures as biosensor matrix. ► Article highlights the advances in various biosensors based on ZnO nanostructures. ► Article describes the potential of ZnO based biosensor for new generation healthcare devices. - Abstract: Biosensors have shown great potential for health care and environmental monitoring. The performance of biosensors depends on their components, among which the matrix material, i.e., the layer between the recognition layer of biomolecule and transducer, plays a crucial role in defining the stability, sensitivity and shelf-life of a biosensor. Recently, zinc oxide (ZnO) nanostructures and thin films have attracted much interest as materials for biosensors due to their biocompatibility, chemical stability, high isoelectric point, electrochemical activity, high electron mobility, ease of synthesis by diverse methods and high surface-to-volume ratio. ZnO nanostructures have shown the binding of biomolecules in desired orientations with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes ZnO nanostructures suitable candidate for future small integrated biosensor devices. This review

  16. Recent advances in ZnO nanostructures and thin films for biosensor applications: Review

    Energy Technology Data Exchange (ETDEWEB)

    Arya, Sunil K., E-mail: sunilarya333@gmail.com [Bioelectronics Program, Institute of Microelectronics, A-Star 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Saha, Shibu [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Ramirez-Vick, Jaime E. [Engineering Science and Materials Department, University of Puerto Rico, Mayaguez, PR 00681 (United States); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhansali, Shekhar [Department of Electrical and Computer Engineering, Florida International University, Miami, FL (United States); Singh, Surinder P., E-mail: singh.uprm@gmail.com [National Physical Laboratory, Dr K.S. Krishnan Marg, New Delhi 110012 (India)

    2012-08-06

    Graphical abstract: ZnO nanostructures have shown binding of biomolecules in desired orientation with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, their compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes them suitable candidate for future small integrated biosensor devices. This review highlights various approaches to synthesize ZnO nanostructures and thin films, and their applications in biosensor technology. Highlights: Black-Right-Pointing-Pointer This review highlights various approaches to synthesize ZnO nanostructures and thin films. Black-Right-Pointing-Pointer Article highlights the importance of ZnO nanostructures as biosensor matrix. Black-Right-Pointing-Pointer Article highlights the advances in various biosensors based on ZnO nanostructures. Black-Right-Pointing-Pointer Article describes the potential of ZnO based biosensor for new generation healthcare devices. - Abstract: Biosensors have shown great potential for health care and environmental monitoring. The performance of biosensors depends on their components, among which the matrix material, i.e., the layer between the recognition layer of biomolecule and transducer, plays a crucial role in defining the stability, sensitivity and shelf-life of a biosensor. Recently, zinc oxide (ZnO) nanostructures and thin films have attracted much interest as materials for biosensors due to their biocompatibility, chemical stability, high isoelectric point, electrochemical activity, high electron mobility, ease of synthesis by diverse methods and high surface-to-volume ratio. ZnO nanostructures have shown the binding of biomolecules in desired orientations with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes Zn

  17. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.; Lin, Yenhung; Zhao, Kui; Li, Ruipeng; Thomas, Stuart R.; Semple, James; Androulidaki, Maria; Sygellou, Lamprini; McLachlan, Martyn A.; Stratakis, Emmanuel; Amassian, Aram; Anthopoulos, Thomas D.

    2015-01-01

    reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization

  18. Altering properties of cerium oxide thin films by Rh doping

    International Nuclear Information System (INIS)

    Ševčíková, Klára; Nehasil, Václav; Vorokhta, Mykhailo; Haviar, Stanislav; Matolín, Vladimír

    2015-01-01

    Highlights: • Thin films of ceria doped by rhodium deposited by RF magnetron sputtering. • Concentration of rhodium has great impact on properties of Rh–CeO x thin films. • Intensive oxygen migration in films with low concentration of rhodium. • Oxygen migration suppressed in films with high amount of Rh dopants. - Abstract: Ceria containing highly dispersed ions of rhodium is a promising material for catalytic applications. The Rh–CeO x thin films with different concentrations of rhodium were deposited by RF magnetron sputtering and were studied by soft and hard X-ray photoelectron spectroscopies, Temperature programmed reaction and X-ray powder diffraction techniques. The sputtered films consist of rhodium–cerium mixed oxide where cerium exhibits a mixed valency of Ce 4+ and Ce 3+ and rhodium occurs in two oxidation states, Rh 3+ and Rh n+ . We show that the concentration of rhodium has a great influence on the chemical composition, structure and reducibility of the Rh–CeO x thin films. The films with low concentrations of rhodium are polycrystalline, while the films with higher amount of Rh dopants are amorphous. The morphology of the films strongly influences the mobility of oxygen in the material. Therefore, varying the concentration of rhodium in Rh–CeO x thin films leads to preparing materials with different properties

  19. Interfaces and thin films physics

    International Nuclear Information System (INIS)

    Equer, B.

    1988-01-01

    The 1988 progress report of the Interfaces and Thin Film Physics laboratory (Polytechnic School France) is presented. The research program is focused on the thin films and on the interfaces of the amorphous semiconductor materials: silicon and silicon germanium, silicon-carbon and silicon-nitrogen alloys. In particular, the following topics are discussed: the basic processes and the kinetics of the reactive gas deposition, the amorphous materials manufacturing, the physico-chemical characterization of thin films and interfaces and the electron transport in amorphous semiconductors. The construction and optimization of experimental devices, as well as the activities concerning instrumentation, are also described [fr

  20. Coffee-Ring Defined Short Channels for Inkjet-Printed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Li, Yuzhi; Lan, Linfeng; Xiao, Peng; Sun, Sheng; Lin, Zhenguo; Song, Wei; Song, Erlong; Gao, Peixiong; Wu, Weijing; Peng, Junbiao

    2016-08-03

    Short-channel electronic devices several micrometers in length are difficult to implement by direct inkjet printing due to the limitation of position accuracy of the common inkjet printer system and the spread of functional ink on substrates. In this report, metal oxide thin-film transistors (TFTs) with channel lengths of 3.5 ± 0.7 μm were successfully fabricated with a common inkjet printer without any photolithography steps. Hydrophobic CYTOP coffee stripes, made by inkjet-printing and plasma-treating processes, were utilized to define the channel area of TFTs with channel lengths as short as ∼3.5 μm by dewetting the inks of the source/drain (S/D) precursors. Furthermore, by introduction of an ultrathin layer of PVA to modify the S/D surfaces, the spreading of precursor ink of the InOx semiconductor layer was well-controlled. The inkjet-printed short-channel TFTs exhibited a maximum mobility of 4.9 cm(2) V(-1) s(-1) and an on/off ratio of larger than 10(9). This approach of fabricating short-channel TFTs by inkjet printing will promote the large-area fabrication of short-channel TFTs in a cost-effective manner.

  1. Nanostructured manganese oxide thin films as electrode material for supercapacitors

    Science.gov (United States)

    Xia, Hui; Lai, Man On; Lu, Li

    2011-01-01

    Electrochemical capacitors, also called supercapacitors, are alternative energy storage devices, particularly for applications requiring high power densities. Recently, manganese oxides have been extensively evaluated as electrode materials for supercapacitors due to their low cost, environmental benignity, and promising supercapacitive performance. In order to maximize the utilization of manganese oxides as the electrode material for the supercapacitors and improve their supercapacitive performance, the nanostructured manganese oxides have therefore been developed. This paper reviews the synthesis of the nanostructured manganese oxide thin films by different methods and the supercapacitive performance of different nanostructures.

  2. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  3. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  4. Ultrasensitive mass sensor fully integrated with complementary metal-oxide-semiconductor circuitry

    DEFF Research Database (Denmark)

    Forsén, Esko Sebastian; Abadal, G.; Ghatnekar-Nilsson, S.

    2005-01-01

    Nanomechanical resonators have been monolithically integrated on preprocessed complementary metal-oxide-semiconductor (CMOS) chips. Fabricated resonator systems have been designed to have resonance frequencies up to 1.5 MHz. The systems have been characterized in ambient air and vacuum conditions...... and display ultrasensitive mass detection in air. A mass sensitivity of 4 ag/Hz has been determined in air by placing a single glycerine drop, having a measured weight of 57 fg, at the apex of a cantilever and subsequently measuring a frequency shift of 14.8 kHz. CMOS integration enables electrostatic...

  5. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  6. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  7. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  8. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  9. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  10. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser; Construccion de un amplificador optico de semiconductor a partir de un laser de semiconductor Fabry-Perot

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N. [Departamento de Electronica y Telecomunicaciones, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada. Km. 107, Carretera Tijuana-Ensenada, 22860 Ensenada, Baja California (Mexico)

    2000-07-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  11. Morphology selection for cupric oxide thin films by electrodeposition.

    Science.gov (United States)

    Dhanasekaran, V; Mahalingam, T; Chandramohan, R

    2011-10-01

    Polycrystalline cupric oxide thin films were deposited using alkaline solution bath employing cathodic electrodeposition method. The thin films were electrodeposited at various solution pH. The surface morphology and elemental analyzes of the films were studied using scanning electron microscopy (SEM) and energy dispersive X-ray analysis, respectively. SEM studies revealed that the surface morphology could be tailored suitably by adjusting the pH value during deposition. Mesh average on multiple lattice mode atomic force microscopy image was obtained and reported. Copyright © 2011 Wiley-Liss, Inc.

  12. Photocatalytic oxidation of organic compounds in a hybrid system composed of a molecular catalyst and visible light-absorbing semiconductor.

    Science.gov (United States)

    Zhou, Xu; Li, Fei; Li, Xiaona; Li, Hua; Wang, Yong; Sun, Licheng

    2015-01-14

    Photocatalytic oxidation of organic compounds proceeded efficiently in a hybrid system with ruthenium aqua complexes as catalysts, BiVO4 as a light absorber, [Co(NH3)5Cl](2+) as a sacrificial electron acceptor and water as an oxygen source. The photogenerated holes in the semiconductor are used to oxidize molecular catalysts into the high-valent Ru(IV)=O intermediates for 2e(-) oxidation.

  13. Contact resistance asymmetry of amorphous indium-gallium-zinc-oxide thin-film transistors by scanning Kelvin probe microscopy

    Science.gov (United States)

    Chen-Fei, Wu; Yun-Feng, Chen; Hai, Lu; Xiao-Ming, Huang; Fang-Fang, Ren; Dun-Jun, Chen; Rong, Zhang; You-Dou, Zheng

    2016-05-01

    In this work, a method based on scanning Kelvin probe microscopy is proposed to separately extract source/drain (S/D) series resistance in operating amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors. The asymmetry behavior of S/D contact resistance is deduced and the underlying physics is discussed. The present results suggest that the asymmetry of S/D contact resistance is caused by the difference in bias conditions of the Schottky-like junction at the contact interface induced by the parasitic reaction between contact metal and a-IGZO. The overall contact resistance should be determined by both the bulk channel resistance of the contact region and the interface properties of the metal-semiconductor junction. Project supported by the Key Industrial R&D Program of Jiangsu Province, China (Grant No. BE2015155), the Priority Academic Program Development of Higher Education Institutions of Jiangsu Province, China, and the Fundamental Research Funds for the Central Universities, China (Grant No. 021014380033).

  14. Direct observation of both contact and remote oxygen scavenging of GeO2 in a metal-oxide-semiconductor stack

    International Nuclear Information System (INIS)

    Fadida, S.; Shekhter, P.; Eizenberg, M.; Cvetko, D.; Floreano, L.; Verdini, A.; Nyns, L.; Van Elshocht, S.; Kymissis, I.

    2014-01-01

    In the path to incorporating Ge based metal-oxide-semiconductor into modern nano-electronics, one of the main issues is the oxide-semiconductor interface quality. Here, the reactivity of Ti on Ge stacks and the scavenging effect of Ti were studied using synchrotron X-ray photoelectron spectroscopy measurements, with an in-situ metal deposition and high resolution transmission electron microscopy imaging. Oxygen removal from the Ge surface was observed both in direct contact as well as remotely through an Al 2 O 3 layer. The scavenging effect was studied in situ at room temperature and after annealing. We find that the reactivity of Ti can be utilized for improved scaling of Ge based devices.

  15. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  16. Using a Semiconductor-to-Metal Transition to Control Optical Transmission through Subwavelength Hole Arrays

    Directory of Open Access Journals (Sweden)

    E. U. Donev

    2008-01-01

    Full Text Available We describe a simple configuration in which the extraordinary optical transmission effect through subwavelength hole arrays in noble-metal films can be switched by the semiconductor-to-metal transition in an underlying thin film of vanadium dioxide. In these experiments, the transition is brought about by thermal heating of the bilayer film. The surprising reverse hysteretic behavior of the transmission through the subwavelength holes in the vanadium oxide suggest that this modulation is accomplished by a dielectric-matching condition rather than plasmon coupling through the bilayer film. The results of this switching, including the wavelength dependence, are qualitatively reproduced by a transfer matrix model. The prospects for effecting a similar modulation on a much faster time scale by using ultrafast laser pulses to trigger the semiconductor-to-metal transition are also discussed.

  17. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Science.gov (United States)

    Yahia, I. S.; Jilani, Asim; Abutalib, M. M.; AlFaify, S.; Shkir, M.; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; El-Naggar, A. M.

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ(1), nonlinear optical susceptibility χ(3), nonlinear refractive index (n2) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  18. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  19. Oxide Ferromagnetic Semiconductors for Spin-Electronic Transprt

    International Nuclear Information System (INIS)

    Pandey, R.K.

    2008-01-01

    The objective of this research was to investigate the viability of oxide magnetic semiconductors as potential materials for spintronics. We identified some members of the solid solution series of ilmenite (FeTiO3) and hematite (Fe2O3), abbreviated as (IH) for simplicity, for our investigations based on their ferromagnetic and semiconducting properties. With this objective in focus we limited our investigations to the following members of the modified Fe-titanates: IH33 (ilmenitehematite with 33 atomic percent hematite), IH45 (ilmenite-hematite with 45 atomic percent hematite), Mn-substituted ilmenite (Mn-FeTiO3), and Mn-substituted pseudobrookite (Mn- Fe2TiO5). All of them are: (1) wide bandgap semiconductors with band gaps ranging in values between 2.5 to 3.5 eV; (2) n-type semiconductors; (3) they exhibit well defined magnetic hysteresis loops and (4) their magnetic Curie points are greater than 400K. Ceramic, film and single crystal samples were studied and based on their properties we produced varistors (also known as voltage dependent resistors) for microelectronic circuit protection from power surges, three-terminal microelectronic devices capable of generating bipolar currents, and an integrated structured device with controlled magnetic switching of spins. Eleven refereed journal papers, three refereed conference papers and three invention disclosures resulted from our investigations. We also presented invited papers in three international conferences and one national conference. Furthermore two students graduated with Ph.D. degrees, three with M.S. degrees and one with B.S. degree. Also two post-doctoral fellows were actively involved in this research. We established the radiation hardness of our devices in collaboration with a colleague in an HBCU institution, at the Cyclotron Center at Texas A and M University, and at DOE National Labs (Los Alamos and Brookhaven). It is to be appreciated that we met most of our goals and expanded vastly the scope of

  20. Formation of VO{sub 2} by rapid thermal annealing and cooling of sputtered vanadium thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ba, Cheikhou O. F., E-mail: cheikhou.ba.1@ulaval.ca; Fortin, Vincent; Bah, Souleymane T.; Vallée, Réal [Centre d' optique, photonique et laser (COPL), Université Laval, Québec G1V 0A6 (Canada); Pandurang, Ashrit [Thin Films and Photonics Research Group (GCMP), Department of Physics and Astronomy, Université de Moncton, Moncton, New Brunswick E1A 3E9 (Canada)

    2016-05-15

    Sputtered vanadium-rich films were subjected to rapid thermal annealing-cooling (RTAC) in air to produce vanadium dioxide (VO{sub 2}) thin films with thermochromic switching behavior. High heating and cooling rates in the thermal oxidation process provided an increased ability to control the film's microstructure. X-ray diffraction patterns of the films revealed less intense VO{sub 2} peaks compared to traditional polycrystalline samples fabricated with a standard (slower) cooling time. Such films also exhibit a high optical switching reflectance contrast, unlike the traditional polycrystalline VO{sub 2} thin films, which show a more pronounced transmittance switching. The authors find that the RTAC process stabilizes the VO{sub 2} (M2) metastable phase, enabling a rutile-semiconductor phase transition (R-M2), followed by a semiconductor–semiconductor phase transition (M2-M1).

  1. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Study of thin metal films and oxide materials for nanoelectronics applications

    OpenAIRE

    De Los Santos Valladares, Luis

    2012-01-01

    Appendix A Pages 132-134 have been removed from this online version of the thesis for publisher copyright reasons. These had contained page images from the cover of Nanotechnology, Vol. 21, Nov 2010 and its corresponding web alert Different types of thin metal films and oxide materials are studied for their potential application in nanoelectronics: gold and copper films, nickel nanoelectrodes, oxide nanograin superconductors, carboxyl ferromagnetic microspheres and graphene oxide...

  3. Analyzing nitrogen concentration using carrier illumination (CI) technology for DPN ultra-thin gate oxide

    International Nuclear Information System (INIS)

    Li, W.S.; Wu, Bill; Fan, Aki; Kuo, C.W.; Segovia, M.; Kek, H.A.

    2005-01-01

    Nitrogen concentration in the gate oxide plays a key role for 90 nm and below ULSI technology. Techniques like secondary ionization mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS) are commonly used for understanding N concentration. This paper describes the application of the carrier illuminationTM (CI) technique to measure the nitrogen concentration in ultra-thin gate oxides. A set of ultra-thin gate oxide wafers with different DPN (decoupled plasma nitridation) treatment conditions were measured using the CI technique. The CI signal has excellent correlation with the N concentration as measured by XPS

  4. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    International Nuclear Information System (INIS)

    Yadav, Harish Kumar; Gupta, Vinay

    2012-01-01

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  5. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Harish Kumar; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2012-05-15

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  6. Porous Zinc Oxide Thin Films: Synthesis Approaches and Applications

    Directory of Open Access Journals (Sweden)

    Marco Laurenti

    2018-02-01

    Full Text Available Zinc oxide (ZnO thin films have been widely investigated due to their multifunctional properties, i.e., catalytic, semiconducting and optical. They have found practical use in a wide number of application fields. However, the presence of a compact micro/nanostructure has often limited the resulting material properties. Moreover, with the advent of low-dimensional ZnO nanostructures featuring unique physical and chemical properties, the interest in studying ZnO thin films diminished more and more. Therefore, the possibility to combine at the same time the advantages of thin-film based synthesis technologies together with a high surface area and a porous structure might represent a powerful solution to prepare ZnO thin films with unprecedented physical and chemical characteristics that may find use in novel application fields. Within this scope, this review offers an overview on the most successful synthesis methods that are able to produce ZnO thin films with both framework and textural porosities. Moreover, we discuss the related applications, mainly focused on photocatalytic degradation of dyes, gas sensor fabrication and photoanodes for dye-sensitized solar cells.

  7. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  8. Semiconductor- to metallic-like behavior in Bi thin films on KCl substrate

    International Nuclear Information System (INIS)

    Bui, Thanh Nhan; Raskin, Jean-Pierre; Hackens, Benoit

    2016-01-01

    Bi thin films, with a thickness of 100 nm, are deposited by electron-beam evaporation on a freshly cleaved (100) KCl substrate. The substrate temperature during film growth (T_d_e_p) ranges from room temperature up to 170 °C. Films deposited at room temperature exhibit a maze-like microstructure typical of the rhombohedral (110) texture, as confirmed by X-ray diffraction. For T_d_e_p above 80 °C, a different microstructure appears, characterized by concentric triangular shapes corresponding to the trigonal (111) texture. Temperature dependence of the resistivity shows a transition from a semiconductor-like behavior for films deposited at room temperature to a metallic-like behavior for T_d_e_p above 80 °C. From magnetoresistance measurements between room temperature and 1.6 K, we extract the electron and hole mobilities, concentrations, and mean free paths, which allow to draw a complete picture of the transport properties of both types of films.

  9. Structural properties and sensing performance of high-k Nd2TiO5 thin layer-based electrolyte-insulator-semiconductor for pH detection and urea biosensing.

    Science.gov (United States)

    Pan, Tung-Ming; Lin, Jian-Chi; Wu, Min-Hsien; Lai, Chao-Sung

    2009-05-15

    For high sensitive pH sensing, an electrolyte-insulator-semiconductor (EIS) device with Nd(2)TiO(5) thin layers fabricated on Si substrates by means of reactive sputtering and the subsequent post-deposition annealing (PDA) treatment was proposed. In this work, the effect of thermal annealing (600, 700, 800, and 900 degrees C) on the structural characteristics of Nd(2)TiO(5) thin layer was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy. The observed structural properties were then correlated with the resulting pH sensing performances. For enzymatic field-effect-transistors-based urea biosensing, a hybrid configuration of the proposed Nd(2)TiO(5) thin layer with urease-immobilized alginate film attached was established. Within the experimental conditions investigated, the EIS device with the Nd(2)TiO(5) thin layer annealed at 800 degrees C exhibited a higher pH detection sensitivity of 57.2 mV/pH, a lower hysteresis voltage of 2.33 mV, and a lower drift rate of 1.80 mV/h compared to those at other annealing temperatures. These results are attributed to the formation of a thinner low-k interfacial layer at the oxide/Si interface and the higher surface roughness occurred at this annealing temperature. Furthermore, the presented urea biosensor was also proved to be able to detect urea with good linearity (R(2)=0.99) and reasonable sensitivity of 9.52 mV/mM in the urea concentration range of 3-40 mM. As a whole, the present work has provided some fundamental data for the use of Nd(2)TiO(5) thin layer for EIS-based pH detection and the extended application for biosensing.

  10. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    Directory of Open Access Journals (Sweden)

    Minkyu Chun

    2015-05-01

    Full Text Available We investigated the effects of top gate voltage (VTG and temperature (in the range of 25 to 70 oC on dual-gate (DG back-channel-etched (BCE amorphous-indium-gallium-zinc-oxide (a-IGZO thin film transistors (TFTs characteristics. The increment of VTG from -20V to +20V, decreases the threshold voltage (VTH from 19.6V to 3.8V and increases the electron density to 8.8 x 1018cm−3. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on VTG. At VTG of 20V, the mobility decreases from 19.1 to 15.4 cm2/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at VTG of - 20V, the mobility increases from 6.4 to 7.5cm2/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.

  11. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser

    International Nuclear Information System (INIS)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N.

    2000-01-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  12. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  13. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  14. Photosensitive srtuctures on the basis of Pb1-xMnxTe semimagnetic semiconductors thin films

    International Nuclear Information System (INIS)

    Mehrabova, M.A.; Kerimova, T.I.; Memishova, R.M.; Nuriyev, I.R.; Ismayilov, T.G.

    2010-01-01

    Full text : Narrow-band semimagnetic semiconductors (SMS) Pb 1 -xMn x Te are unique materials for infrared optoelectronics. The investigation of Faraday effect in Pb 1 -xMn x Te thin films of SMS is of a special interest. So it can be used at the construction of optic isolators, amplifiers, IR detectors and other equipments. In the given work Pb 1 -xMn x Te thin films (SMS) have been produced, the interband Faraday effect in these semiconductors has been theoretically and experimentally studied. Opportunities of making IR detectors have been studied. Pb 1 -xMn x Te thin films have been grown at BaF 2 substrates by the method of molecular beam condensation. The optimal conditions of producing thin films with high crystallic perfection, electrophysical and optical parameters have been determined. The energy spectrum and wave functions have been theoretically calculated for quantum-sized films of Pb 1 -xMn x Te SMS in the case when the surface of the film is perpendicular to the axis X and the spin-spin exchange interaction occurs between the electrons in the conductivity band (valence band) and the electrons of half-filled d-shells of manganese ions as well as taking into account electron spins and the band nonparabolicity. For the calculation of the spectra and wave functions double-band Kane model has been used. On the basis of the found formulae an analytical equation has been found out for interband Faraday rotation (IFR) depending on the energy of the incident photon, band gap and the film thickness. It has been shown that by the decrease in the band gap the value of the IFR angle increases too. The formula of parabolic approximation has been also obtained. The dependence of IFR angle on photon energy, band gap and the film thickness has been built. It has been specified that the decrease of the film thickness leads to a strong increase of IFR angle. The contribution of nonparabolicity into IFR angle has been established, so taking into consideration the band

  15. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  16. Fabrication of Au/graphene oxide/Ag sandwich structure thin film and its tunable energetics and tailorable optical properties

    OpenAIRE

    Ruijin Hong; Jialin Ji; Chunxian Tao; Daohua Zhang; Dawei Zhang

    2017-01-01

    Au/graphene oxide/Ag sandwich structure thin film was fabricated. The effects of graphene oxide (GO) and bimetal on the structure and optical properties of metal silver films were investigated by X-ray diffraction (XRD), optical absorption, and Raman intensity measurements, respectively. Compared to silver thin film, Au/graphene oxide/Ag sandwich structure composite thin films were observed with wider optical absorption peak and enhanced absorption intensity. The Raman signal for Rhodamine B ...

  17. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  18. TiO 2 Thin Films Prepared via Adsorptive Self-Assembly for Self-Cleaning Applications

    KAUST Repository

    Xi, Baojuan

    2012-02-22

    Low-cost controllable solution-based processes for preparation of titanium oxide (TiO 2) thin films are highly desirable, because of many important applications of this oxide in catalytic decomposition of volatile organic compounds, advanced oxidation processes for wastewater and bactericidal treatments, self-cleaning window glass for green intelligent buildings, dye-sensitized solar cells, solid-state semiconductor metal-oxide solar cells, self-cleaning glass for photovoltaic devices, and general heterogeneous photocatalysis for fine chemicals etc. In this work, we develop a solution-based adsorptive self-assembly approach to fabricate anatase TiO 2 thin films on different glass substrates such as simple plane glass and patterned glass at variable compositions (normal soda lime glass or solar-grade borofloat glass). By tuning the number of process cycles (i.e., adsorption-then-heating) of TiO 2 colloidal suspension, we could facilely prepare large-area TiO 2 films at a desired thickness and with uniform crystallite morphology. Moreover, our as-prepared nanostructured TiO 2 thin films on glass substrates do not cause deterioration in optical transmission of glass; instead, they improve optical performance of commercial solar cells over a wide range of incident angles of light. Our as-prepared anatase TiO 2 thin films also display superhydrophilicity and excellent photocatalytic activity for self-cleaning application. For example, our investigation of photocatalytic degradation of methyl orange indicates that these thin films are indeed highly effective, in comparison to other commercial TiO 2 thin films under identical testing conditions. © 2012 American Chemical Society.

  19. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Quesnel, David J. [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627 (United States)

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical properties of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of the

  20. Cathodic arc sputtering of functional titanium oxide thin films, demonstrating resistive switching

    Energy Technology Data Exchange (ETDEWEB)

    Shvets, Petr, E-mail: pshvets@innopark.kantiana.ru; Maksimova, Ksenia; Demin, Maxim; Dikaya, Olga; Goikhman, Alexander

    2017-05-15

    The formation of thin films of the different stable and metastable titanium oxide phases is demonstrated by cathode arc sputtering of a titanium target in an oxygen atmosphere. We also show that sputtering of titanium in vacuum yields the formation of titanium silicides on the silicon substrate. The crystal structure of the produced samples was investigated using Raman spectroscopy and X-ray diffraction. We conclude that cathode arc sputtering is a flexible method suitable for producing the functional films for electronic applications. The functionality is verified by the memory effect demonstration, based on the resistive switching in the titanium oxide thin film structure.

  1. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  2. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  3. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    Science.gov (United States)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  4. Hafnium carbide formation in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)

    2016-06-20

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.

  5. Oxidation of Zr and thin (0.2-4 nm) Zr films on Ag: An ESCA investigation

    International Nuclear Information System (INIS)

    Steiner, P.; Sander, I.; Siegwart, B.; Huefner, S.

    1987-01-01

    The oxidation of polycrystalline Zr under 10 -8 -10 -3 mbar oxygen pressure in the temperature range 25 0 -350 0 C is obtained from ESCA experiments. Changes in the ESCA spectra for thin Zr films on Ag oxidized at 250 0 C are observed and compared to the bulk Zr-metal. Thin Ag overlayers on Zr show a catalytic increase of the room temperature oxidation of Zr. (orig.)

  6. A photoelectrochemical (PEC) study on graphene oxide based hematite thin films heterojunction (R-GO/Fe2O3)

    Science.gov (United States)

    Sharma, Poonam; Zachariah, Michael; Ehrman, Sheryl; Shrivastava, Rohit; Dass, Sahab; Satsangi, Vibha; Michael Zachariah, Sheryl Ehrman Collaboration; Rohit Shrivastava, Sahab Dass Collaboration; Vibha R Satsangi, Poonam Sharma Team

    2013-03-01

    Graphene has an excellent electronic conductivity, a high theoretical surface area of 2630 m2/g and excellent mechanical properties and, thus, is a promising component for high-performance electrode materials. Following this, GO has been used to modify the PEC response of photoactive material hematite thin films in PEC cell. A reduced graphene oxide/iron oxide (R-GO/Fe2O3) thin film structure has been successfully prepared on ITO by directly growing iron oxide particles on the thermally reduced graphene oxide sheets prepared from suspension of exfoliated graphene oxide. R-GO/Fe2O3 thin films were tested in PEC cell and offered ten times higher photocurrent density than pristine Fe2O3 thin film sample. XRD, SEM, EDS, UV-Vis, Mott-Schottky and Raman studies were carried out to study spectro-electrochemical properties. Enhanced PEC performance of these photoelectrodes was attributed to its porous morphology, improved conductivity upon favorable carrier transfer across the oxides interface.

  7. Design and operation of a 2-D thin-film semiconductor neutron detector array for use as a beamport monitor

    International Nuclear Information System (INIS)

    Unruh, Troy C.; Bellinger, Steven L.; Huddleston, David E.; McNeil, Walter J.; Patterson, Eric; Sobering, Tim J.; McGregor, Douglas S.

    2009-01-01

    Silicon-based diodes coated with a thin film of neutron reactive materials have been shown to produce excellent low-efficiency neutron detectors. This work employs the same technology, but groups 25 equally sized and spaced diodes on a single 29 mm by 29 mm substrate. A 5x5 array was fabricated and coated with a thin film of 6 LiF for use as a low-efficiency neutron beam monitor. The 5x5 neutron detector array is coupled to an array of amplifiers, allowing the response to be interpreted using a LabVIEW FPGA. The 5x5 array has been characterized in a diffracted neutron beam. This work is a part of on-going research to develop various designs of high- and low-efficiency semiconductor neutron detectors.

  8. High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned Fine Channel Bank Structure.

    Science.gov (United States)

    Zhang, Qing; Shao, Shuangshuang; Chen, Zheng; Pecunia, Vincenzo; Xia, Kai; Zhao, Jianwen; Cui, Zheng

    2018-05-09

    A self-aligned inkjet printing process has been developed to construct small channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to pattern hydrophobic banks on the transparent substrate instead of commonly used self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside using bottom-gate electrodes as mask formed hydrophilic channel areas for the TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic channel region and confined by the hydrophobic bank structure, resulting in the precise deposition of semiconductor layers just above the gate electrodes. Inkjet-printed IGZO TFTs with independent gate electrodes of 10 μm width have been demonstrated, avoiding completely printed channel beyond the broad of the gate electrodes. The TFTs showed on/off ratios of 10 8 , maximum mobility of 3.3 cm 2 V -1 s -1 , negligible hysteresis, and good uniformity. This method is conductive to minimizing the area of printed TFTs so as to the development of high-resolution printing displays.

  9. Solar hydrogen production with semiconductor metal oxides: new directions in experiment and theory

    DEFF Research Database (Denmark)

    Valdes, Alvaro; Brillet, Jeremie; Graetzel, Michael

    2012-01-01

    An overview of a collaborative experimental and theoretical effort toward efficient hydrogen production via photoelectrochemical splitting of water into di-hydrogen and di-oxygen is presented here. We present state-of-the-art experimental studies using hematite and TiO2 functionalized with gold n...... nanoparticles as photoanode materials, and theoretical studies on electro and photo-catalysis of water on a range of metal oxide semiconductor materials, including recently developed implementation of self-interaction corrected energy functionals....

  10. Effects of oxide traps, interface traps, and ''border traps'' on metal-oxide-semiconductor devices

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Reber, R.A. Jr.; Meisenheimer, T.L.; Schwank, J.R.; Shaneyfelt, M.R.; Riewe, L.C.

    1993-01-01

    We have identified several features of the 1/f noise and radiation response of metal-oxide-semiconductor (MOS) devices that are difficult to explain with standard defect models. To address this issue, and in response to ambiguities in the literature, we have developed a revised nomenclature for defects in MOS devices that clearly distinguishes the language used to describe the physical location of defects from that used to describe their electrical response. In this nomenclature, ''oxide traps'' are simply defects in the SiO 2 layer of the MOS structure, and ''interface traps'' are defects at the Si/SiO 2 interface. Nothing is presumed about how either type of defect communicates with the underlying Si. Electrically, ''fixed states'' are defined as trap levels that do not communicate with the Si on the time scale of the measurements, but ''switching states'' can exchange charge with the Si. Fixed states presumably are oxide traps in most types of measurements, but switching states can either be interface traps or near-interfacial oxide traps that can communicate with the Si, i.e., ''border traps'' [D. M. Fleetwood, IEEE Trans. Nucl. Sci. NS-39, 269 (1992)]. The effective density of border traps depends on the time scale and bias conditions of the measurements. We show the revised nomenclature can provide focus to discussions of the buildup and annealing of radiation-induced charge in non-radiation-hardened MOS transistors, and to changes in the 1/f noise of MOS devices through irradiation and elevated-temperature annealing

  11. Electrochemical Water Oxidation by a Catalyst-Modified Metal-Organic Framework Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Shaoyang; Pineda-Galvan, Yuliana; Maza, William A.; Epley, Charity C.; Zhu, Jie; Kessinger, Matthew C.; Pushkar, Yulia; Morris, Amanda J. (VP); (Purdue)

    2016-12-15

    Water oxidation, a key component in artificial photosynthesis, requires high overpotentials and exhibits slow reaction kinetics that necessitates the use of stable and efficient heterogeneous water-oxidation catalysts (WOCs). Here, we report the synthesis of UiO-67 metal–organic framework (MOF) thin films doped with [Ru(tpy)(dcbpy)OH2]2+ (tpy=2,2':6',2''-terpyridine, dcbpy=5,5'-dicarboxy-2,2'-bipyridine) on conducting surfaces and their propensity for electrochemical water oxidation. The electrocatalyst oxidized water with a turnover frequency (TOF) of (0.2±0.1) s-1 at 1.71 V versus the normal hydrogen electrode (NHE) in buffered solution (pH~7) and exhibited structural and electrochemical stability. The electroactive sites were distributed throughout the MOF thin film on the basis of scan-ratedependent voltammetry studies. This work demonstrates a promising way to immobilize large concentrations of electroactive WOCs into a highly robust MOF scaffold and paves the way for future photoelectrochemical water-splitting systems.

  12. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H-SiC in diluted N{sub 2}O ambient

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2012-10-15

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N{sub 2}O ambient (10-100%) at 500 Degree-Sign C for 15 min to form Zr-oxynitride on 4H-SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N{sub 2}O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr-O-N and/or Zr-N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: Black-Right-Pointing-Pointer Zr-oxynitride as the gate oxide deposited on 4H-SiC substrate. Black-Right-Pointing-Pointer Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H-SiC using various concentrations of N{sub 2}O gas. Black-Right-Pointing-Pointer Presence of interfacial layer comprised of mixed compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N. Black-Right-Pointing-Pointer The highest electrical breakdown and highest reliability at diluted N{sub 2}O of 10%.

  13. TiFeCoNi oxide thin film - A new composition with extremely low electrical resistivity at room temperature

    International Nuclear Information System (INIS)

    Yang, Ya-Chu; Tsau, Chun-Huei; Yeh, Jien-Wei

    2011-01-01

    We show the electrical resistivity of a TiFeCoNi oxide thin film. The electrical resistivity of the TiFeCoNi thin film decreased sharply after a suitable period of oxidation at high temperature. The lowest resistivity of the TiFeCoNi oxide film was 35 ± 3 μΩ-cm. The low electrical resistivity of the TiFeCoNi oxide thin film was attributed to Ti, which is more reactive than the other elements, reacting with oxygen at the initial stage of annealing. The low resistivity is caused by the remaining electrons.

  14. Poole-Frenkel-effect as dominating current mechanism in thin oxide films—An illusion?!

    International Nuclear Information System (INIS)

    Schroeder, Herbert

    2015-01-01

    In many of the publications, over 50 per year for the last five years, the Poole-Frenkel-effect (PFE) is identified or suggested as dominating current mechanism to explain measured current–electric field dependencies in metal-insulator-metal (MIM) thin film stacks. Very often, the insulating thin film is a metal oxide as this class of materials has many important applications, especially in information technology. In the overwhelming majority of the papers, the identification of the PFE as dominating current mechanism is made by the slope of the current–electric field curve in the so-called Poole-Frenkel plot, i.e., logarithm of current density, j, divided by the applied electric field, F, versus the square root of that field. This plot is suggested by the simplest current equation for the PFE, which comprises this proportionality (ln(j/F) vs. F 1/2 ) leading to a straight line in this plot. Only one other parameter (except natural constants) may influence this slope: the optical dielectric constant of the insulating film. In order to identify the importance of the PFE simulation studies of the current through MIM stacks with thin insulating films were performed and the current–electric field curves without and with implementation of the PFE were compared. For the simulation, an advanced current model has been used combining electronic carrier injection/ejection currents at the interfaces, described by thermionic emission, with the carrier transport in the dielectric, described by drift and diffusion of electrons and holes in a wide band gap semiconductor. Besides the applied electric field (or voltage), many other important parameters have been varied: the density of the traps (with donor- and acceptor-like behavior); the zero-field energy level of the traps within the energy gap, this energy level is changed by the PFE (also called internal Schottky effect); the thickness of the dielectric film; the permittivity of the dielectric film simulating different

  15. Poole-Frenkel-effect as dominating current mechanism in thin oxide films—An illusion?!

    Science.gov (United States)

    Schroeder, Herbert

    2015-06-01

    In many of the publications, over 50 per year for the last five years, the Poole-Frenkel-effect (PFE) is identified or suggested as dominating current mechanism to explain measured current-electric field dependencies in metal-insulator-metal (MIM) thin film stacks. Very often, the insulating thin film is a metal oxide as this class of materials has many important applications, especially in information technology. In the overwhelming majority of the papers, the identification of the PFE as dominating current mechanism is made by the slope of the current-electric field curve in the so-called Poole-Frenkel plot, i.e., logarithm of current density, j, divided by the applied electric field, F, versus the square root of that field. This plot is suggested by the simplest current equation for the PFE, which comprises this proportionality (ln(j/F) vs. F1/2) leading to a straight line in this plot. Only one other parameter (except natural constants) may influence this slope: the optical dielectric constant of the insulating film. In order to identify the importance of the PFE simulation studies of the current through MIM stacks with thin insulating films were performed and the current-electric field curves without and with implementation of the PFE were compared. For the simulation, an advanced current model has been used combining electronic carrier injection/ejection currents at the interfaces, described by thermionic emission, with the carrier transport in the dielectric, described by drift and diffusion of electrons and holes in a wide band gap semiconductor. Besides the applied electric field (or voltage), many other important parameters have been varied: the density of the traps (with donor- and acceptor-like behavior); the zero-field energy level of the traps within the energy gap, this energy level is changed by the PFE (also called internal Schottky effect); the thickness of the dielectric film; the permittivity of the dielectric film simulating different oxide

  16. Evidence of the semiconductor-metal transition in V{sub 2}O{sub 5} thin films by the pulsed laser photoacoustic method

    Energy Technology Data Exchange (ETDEWEB)

    Perez-Pacheco, A.; Acosta-Najarro, D. R.; Cruz-Manjarrez, H.; Rodriguez-Fernandez, L.; Pineda-Santamaria, J. C; Aguilar-Franco, M. [Instituto de Fisica-Universidad Nacional Autonoma de Mexico, Mexico DF (Mexico); Castaneda-Guzman, R. [Laboratorio de Fotofisica y Peliculas Delgadas, CCADET-UNAM, Mexico DF (Mexico)

    2013-05-14

    In this work, the pulsed photoacoustic technique was used to investigate the semiconductor-metal transition of thin vanadium pentoxide films (V{sub 2}O{sub 5}) under increasing temperature. The V{sub 2}O{sub 5} thin films were simultaneously deposited by RF magnetron sputtering at room temperature, on corning glass and SnO{sub 2}:F/glass substrates, in order to compare the photoacoustic response. The elemental and structural analysis of the V{sub 2}O{sub 5} films was performed by Rutherford backscattering spectroscopy and X-ray diffraction. The optical transmission and band gap were determined using UV-Vis spectroscopy. The electrical properties were measured using four-point probe measurements with the Van der Pauw geometry.

  17. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  18. The Microstructures and Electrical Resistivity of (Al, Cr, TiFeCoNiOx High-Entropy Alloy Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Chun-Huei Tsau

    2015-01-01

    Full Text Available The (Al, Cr, TiFeCoNi alloy thin films were deposited by PVD and using the equimolar targets with same compositions from the concept of high-entropy alloys. The thin films became metal oxide films after annealing at vacuum furnace for a period; and the resistivity of these thin films decreased sharply. After optimum annealing treatment, the lowest resistivity of the FeCoNiOx, CrFeCoNiOx, AlFeCoNiOx, and TiFeCoNiOx films was 22, 42, 18, and 35 μΩ-cm, respectively. This value is close to that of most of the metallic alloys. This phenomenon was caused by delaminating of the alloy oxide thin films because the oxidation was from the surfaces of the thin films. The low resistivity of these oxide films was contributed to the nonfully oxidized elements in the bottom layers and also vanishing of the defects during annealing.

  19. The nonlinear carrier transport in a bipolar semiconductor sample

    International Nuclear Information System (INIS)

    Konin, A

    2008-01-01

    A theory of formation of the voltage across a bipolar semiconductor sample due to the current flow accounting for the energy band bending near the semiconductor surfaces is presented. The non-equilibrium space charge layers near the sample surfaces and the boundary conditions in the real metal-semiconductor junction have been taken into account. It is shown that the voltage-current relation of a thin sample at weak injection differs essentially from the classical Ohm's law and becomes nonlinear for certain semiconductor surface parameters. Complex voltage-current relations and the photo-induced electromotive force measurements allow determining the surface recombination rate in the real metal-semiconductor junction and the semiconductor surface potential

  20. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Energy Technology Data Exchange (ETDEWEB)

    Horak, P., E-mail: phorak@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Bejsovec, V.; Vacik, J.; Lavrentiev, V. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Vrnata, M. [Department of Physics and Measurements, The University of Chemistry and Technology, Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Kormunda, M. [Department of Physics, Jan Evangelista Purkyně University in Ústí nad Labem, České mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Danis, S. [Department of Condensed Matter Physics, Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 5, 121 16 Prague 2 (Czech Republic)

    2016-12-15

    Highlights: • A rapid oxidation process of thin copper films. • Sheet resistance up to 10{sup 9} Ω/◊. • Mixed oxide phase at 200 °C with significant hydroxide presence. • Gas sensing response to 1000 ppm of hydrogen and methanol vapours. • Increased sensitivity with Pd and Au catalyst to hydrogen and methanol, respectively. - Abstract: Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C–600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C–600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu{sub 2}O phase was identified. However, the oxidation at 200 °C led to a more complicated composition − in the depth Cu{sub 2}O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH){sub 2}. A limited amount of Cu{sub 2}O was also found in samples annealed at 600 °C. The sheet resistance R{sub S} of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing R{sub S} was measured in the range 2.64 MΩ/□–2.45 GΩ/□. The highest R{sub S} values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the {sup 16}O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed

  1. Hard X-ray photoemission spectroscopy of transition-metal oxide thin films and interfaces

    International Nuclear Information System (INIS)

    Wadati, H.; Fujimori, A.

    2013-01-01

    Highlights: •Photoemission spectroscopy is a powerful technique to study the electronic structures of transition-metal oxides. •Hard X-ray photoemission spectroscopy (HXPES) is a new type of photoemission spectroscopy which can probe bulk states. •HXPES is very suitable for studying oxide thin films such as the composition dependence and the film thickness dependence. -- Abstract: Photoemission spectroscopy is a powerful experimental technique to study the electronic structures of solids, especially of transition-metal oxides. Recently, hard X-ray photoemission spectroscopy (HXPES) has emerged as a more relevant experimental technique to obtain clear information about bulk states. Here, we describe how HXPES can be conveniently applied to study the interesting subjects on oxide thin films such as the composition dependence and the film thickness dependence of the electronic structures and the interfacial electronic structure of multilayers

  2. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  3. Thin-film encapsulation of organic electronic devices based on vacuum evaporated lithium fluoride as protective buffer layer

    Science.gov (United States)

    Peng, Yingquan; Ding, Sihan; Wen, Zhanwei; Xu, Sunan; Lv, Wenli; Xu, Ziqiang; Yang, Yuhuan; Wang, Ying; Wei, Yi; Tang, Ying

    2017-03-01

    Encapsulation is indispensable for organic thin-film electronic devices to ensure reliable operation and long-term stability. For thin-film encapsulating organic electronic devices, insulating polymers and inorganic metal oxides thin films are widely used. However, spin-coating of insulating polymers directly on organic electronic devices may destroy or introduce unwanted impurities in the underlying organic active layers. And also, sputtering of inorganic metal oxides may damage the underlying organic semiconductors. Here, we demonstrated that by utilizing vacuum evaporated lithium fluoride (LiF) as protective buffer layer, spin-coated insulating polymer polyvinyl alcohol (PVA), and sputtered inorganic material Er2O3, can be successfully applied for thin film encapsulation of copper phthalocyanine (CuPc)-based organic diodes. By encapsulating with LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films, the device lifetime improvements of 10 and 15 times can be achieved. These methods should be applicable for thin-film encapsulation of all kinds of organic electronic devices. Moisture-induced hole trapping, and Al top electrode oxidation are suggest to be the origins of current decay for the LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films encapsulated devices, respectively.

  4. Studies of oxide-based thin-layered heterostructures by X-ray scattering methods

    Energy Technology Data Exchange (ETDEWEB)

    Durand, O. [Thales Research and Technology France, Route Departementale 128, F-91767 Palaiseau Cedex (France)]. E-mail: olivier.durand@thalesgroup.com; Rogers, D. [Nanovation SARL, 103 bis rue de Versailles 91400 Orsay (France); Universite de Technologie de Troyes, 10-12 rue Marie Curie, 10010 (France); Teherani, F. Hosseini [Nanovation SARL, 103 bis rue de Versailles 91400 Orsay (France); Andrieux, M. [LEMHE, ICMMOCNRS-UMR 8182, Universite d' Orsay, Batiment 410, 91410 Orsay (France); Modreanu, M. [Tyndall National Institute, Lee Maltings, Prospect Row, Cork (Ireland)

    2007-06-04

    Some X-ray scattering methods (X-ray reflectometry and Diffractometry) dedicated to the study of thin-layered heterostructures are presented with a particular focus, for practical purposes, on the description of fast, accurate and robust techniques. The use of X-ray scattering metrology as a routinely working non-destructive testing method, particularly by using procedures simplifying the data-evaluation, is emphasized. The model-independent Fourier-inversion method applied to a reflectivity curve allows a fast determination of the individual layer thicknesses. We demonstrate the capability of this method by reporting X-ray reflectometry study on multilayered oxide structures, even when the number of the layers constitutive of the stack is not known a-priori. Fast Fourier transform-based procedure has also been employed successfully on high resolution X-ray diffraction profiles. A study of the reliability of the integral-breadth methods in diffraction line-broadening analysis applied to thin layers, in order to determine coherent domain sizes, is also reported. Examples from studies of oxides-based thin-layers heterostructures will illustrate these methods. In particular, X-ray scattering studies performed on high-k HfO{sub 2} and SrZrO{sub 3} thin-layers, a (GaAs/AlOx) waveguide, and a ZnO thin-layer are reported.

  5. Nanoscale reduction of graphene oxide thin films and its characterization

    KAUST Repository

    Lorenzoni, M.; Giugni, Andrea; Di Fabrizio, Enzo M.; Pé rez-Murano, Francesc; Mescola, A.; Torre, Bruno

    2015-01-01

    In this paper, we report on a method to reduce thin films of graphene oxide (GO) to a spatial resolution better than 100 nm over several tens of micrometers by means of an electrochemical scanning probe based lithography. In situ tip

  6. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  7. Photochemistry Aspects of the Laser Pyrolysis Addressing the Preparation of Oxide Semiconductor Photocatalysts

    Directory of Open Access Journals (Sweden)

    R. Alexandrescu

    2008-01-01

    Full Text Available The laser pyrolysis is a powerful and a versatile tool for the gas-phase synthesis of nanoparticles. In this paper, some fundamental and applicative characteristics of this technique are outlined and recent results obtained in the preparation of gamma iron oxide (γ-Fe2O3 and titania (TiO2 semiconductor nanostructures are illustrated. Nanosized iron oxide particles (4 to 9 nm diameter values have been directly synthesized by the laser-induced pyrolysis of a mixture containing iron pentacarbonyl/air (as oxidizer/ethylene (as sensitizer. Temperature-dependent Mossbauer spectroscopy shows that mainly maghemite is present in the sample obtained at higher laser power. The use of selected Fe2O3 samples for the preparation of water-dispersed magnetic nanofluids is also discussed. TiO2 nanoparticles comprising a mixture of anatase and rutile phases were synthesized via the laser pyrolysis of TiCl4- (vapors based gas-phase mixtures. High precursor concentration of the oxidizer was found to favor the prevalent anatase phase (about 90% in the titania nanopowders.

  8. Electrical properties of thermally evaporated nickel-dimethylglyoxime thin films

    Science.gov (United States)

    Dakhel, A. A.; Ali-Mohamed Ahmed, Y.

    2005-06-01

    Thin Bis-(dimethylglyoximato)nickel(II) [Ni(DMG)2] films of amorphous and crystalline structures were prepared by vacuum deposition on Si (P) substrates. The films were characterised by X-ray fluorescence and X-ray diffraction. The constructed Al/Ni(DMG)2/Si(P) metal-insulator-semiconductor devices were characterised by the measurement of the gate-voltage dependence of their capacitance and ac conductance, from which the surface states density Dit of insulator/semiconductor interface and the density of the fixed charges in the oxide were determined. The ac electrical conduction and dielectric properties of the Ni(DMG)2-Silicon structure were studied at room temperature. The data of the ac measurements of the annealed films follow the correlated barrier-hopping CBH mode, from which the fundamental absorption bandgap, the minimum hopping distance, and other parameters of the model were determined.

  9. Optimisation of chemical solution deposition of indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sunde, Tor Olav Løveng; Einarsrud, Mari-Ann; Grande, Tor, E-mail: grande@ntnu.no

    2014-12-31

    An environmentally friendly aqueous sol–gel process has been optimised to deposit indium tin oxide (ITO) thin films, aiming to improve the film properties and reduce the deposition costs. It was demonstrated how parameters such as cation concentration and viscosity could be applied to modify the physical properties of the sol and thereby reduce the need for multiple coatings to yield films with sufficient conductivity. The conductivity of the thin films was enhanced by adjusting the heat treatment temperature and atmosphere. Both increasing the heat treatment temperature of the films from 530 to 800 °C and annealing in reducing atmosphere significantly improved the electrical conductivity, and conductivities close to the state of the art sputtered ITO films were obtained. A pronounced decreased conductivity was observed after exposing the thin films to air and the thermal reduction and ageing of the film was studied by in situ conductivity measurements. - Highlights: • Spin coating of indium tin oxide using an aqueous solution was optimised. • The conductivity was enhanced by thermal annealing in reducing atmosphere. • The conductivity of is comparable to the conductivity of sputtered films. • A relaxation process in the reduced thin film was observed after exposure in air.

  10. The optical constants of the organic thin films in the case of xanthats adsorption at the surface of semiconductors minerals

    International Nuclear Information System (INIS)

    Todoran, Radu; Todoran, Daniela

    2008-01-01

    The paper present the determinations of some kinetic parameters that characterize the kinetics of the adsorption phenomenon of some organic xanthate molecule on the surface of some natural semiconductor mineral (galena, sphalerite) in order to understand the inward mechanism of this phenomenon. Among the methods of inquiry that allow kinetics determination in situ the optical ones were chosen relying on the change of the liquid-mineral semiconductor interface, and permitting continuous inquires without disturbing the inward development of the processes. Into the computation, we took into the consideration the physical values which feature the roughness of the solid surface, the diffusion into liquid media and the energetic non-homogeneities of the surface. The R s /R p =f(θ) characteristic helps us to establish the thickness of the adsorbed layer, as well as to determine the optical parameters of the thin film. the experimental results allow us to get some information on the mineral and mineral-solution of xanthate, as well allow us to get some information on the parameters which, in correlation with other proportions experimentally determined - could had as to estimations of the dynamic of the surface of a semiconductor solid body. (Author)

  11. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  12. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  13. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    Energy Technology Data Exchange (ETDEWEB)

    Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 130-701 (Korea, Republic of)

    2015-05-15

    We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.

  14. A nanogravimmetric investigation of the charging processes on ruthenium oxide thin films and their effect on methanol oxidation

    International Nuclear Information System (INIS)

    Santos, M.C.; Cogo, L.; Tanimoto, S.T.; Calegaro, M.L.; Bulhoes, L.O.S

    2006-01-01

    The charging processes and methanol oxidation that occur during the oxidation-reduction cycles in a ruthenium oxide thin film electrode (deposited by the sol-gel method on Pt covered quartz crystals) were investigated by using cyclic voltammetry, chronoamperometry and electrochemical quartz crystal nanobalance techniques. The ruthenium oxide rutile phase structure was determined by X-ray diffraction analysis. The results obtained during the charging of rutile ruthenium oxide films indicate that in the anodic sweep the transition from Ru(II) to Ru(VI) occurs followed by proton de-intercalation. In the cathodic sweep, electron injection occurs followed by proton intercalation, leading to Ru(II). The proton intercalation/de-intercalation processes can be inferred from the mass/charge relationship which gives a slope close to 1 g mol -1 (multiplied by the Faraday constant) corresponding to the molar mass of hydrogen. From the chronoamperometric measurements, charge and mass saturation of the RuO 2 thin films was observed (440 ng cm -2 ) during the charging processes, which is related to the total number of active sites in these films. Using the electrochemical quartz crystal nanobalance technique to study the methanol oxidation reaction at these films was possible to demonstrate that bulk oxidation occurs without the formation of strongly adsorbed intermediates such as CO ads , demonstrating that Pt electrodes modified by ruthenium oxide particles can be promising catalysts for the methanol oxidation as already shown in the literature

  15. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    Institute of Scientific and Technical Information of China (English)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (Ron,sp),whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region,is proposed.The theoretical limit of its Ron,sp is deduced,the influence of structure parameters on the breakdown voltage (BV) and Ron,sp are investigated,and the optimized results with BV of 83 V and Ron,sp of 54 mΩ.mm2 are obtained.Simulations show that the inhomogencous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET)has a superior “Ron,sp/BV” trade-off to the conventional VDMOS (a 38% reduction of Ron,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of Ron,sp with the same BV).The inhomogeneous-floatingislands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET.Its reverse recovery peak current,reverse recovery time and reverse recovery charge are about 50,80 and 40% of those of the superjunction MOSFET,respectively.

  16. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, Chintalapalle V.; Atuchin, Victor V.; Kesler, V. G.; Kochubey, V. A.; Pokrovsky, L. D.; Shutthanandan, V.; Becker, U.; Ewing, Rodney C.

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of argon-oxygen gas mixture under varying conditions of substrate temperature (Ts) and oxygen partial pressure (pO2). The effect of Ts and pO2 on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of Ts and pO2 on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 *C under 62.3% O2 pressure were stoichiometric and polycrystalline MoO3. Films grown at lower pO2 were nonstoichiometric MoOx films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO3 films.

  17. Fabrication of Au/graphene oxide/Ag sandwich structure thin film and its tunable energetics and tailorable optical properties

    Directory of Open Access Journals (Sweden)

    Ruijin Hong

    2017-01-01

    Full Text Available Au/graphene oxide/Ag sandwich structure thin film was fabricated. The effects of graphene oxide (GO and bimetal on the structure and optical properties of metal silver films were investigated by X-ray diffraction (XRD, optical absorption, and Raman intensity measurements, respectively. Compared to silver thin film, Au/graphene oxide/Ag sandwich structure composite thin films were observed with wider optical absorption peak and enhanced absorption intensity. The Raman signal for Rhodamine B molecules based on the Au/graphene oxide/Ag sandwich nanostructure substrate were obviously enhanced due to the bimetal layer and GO layer with tunable absorption intensity and fluorescence quenching effects.

  18. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    Science.gov (United States)

    Park, Jun Hong

    For over half a century, inorganic Si and III-V materials have led the modern semiconductor industry, expanding to logic transistor and optoelectronic applications. However, these inorganic materials have faced two different fundamental limitations, flexibility for wearable applications and scaling limitation as logic transistors. As a result, the organic and two dimensional have been studied intentionally for various fields. In the present dissertation, three different studies will be presented with followed order; (1) the chemical response of organic semiconductor in NO2 exposure. (2) The surface and stability of WSe2 in ambient air. (3) Deposition of dielectric on two dimensional materials using organometallic seeding layer. The organic molecules rely on the van der Waals interaction during growth of thin films, contrast to covalent bond inorganic semiconductors. Therefore, the morphology and electronic property at surface of organic semiconductor in micro scale is more sensitive to change in gaseous conditions. In addition, metal phthalocyanine, which is one of organic semiconductor materials, change their electronic property as reaction with gaseous analytes, suggesting as potential chemical sensing platforms. In the present part, the growth behavior of metal phthalocyanine and surface response to gaseous condition will be elucidated using scanning tunneling microscopy (STM). In second part, the surface of layered transition metal dichalcogenides and their chemical response to exposure ambient air will be investigated, using STM. Layered transition metal dichalcogenides (TMDs) have attracted widespread attention in the scientific community for electronic device applications because improved electrostatic gate control and suppression of short channel leakage resulted from their atomic thin body. To fabricate the transistor based on TMDs, TMDs should be exposed to ambient conditions, while the effect of air exposure has not been understood fully. In this part

  19. Local anodic oxidation by AFM tip developed for novel semiconductor nanodevices

    International Nuclear Information System (INIS)

    Cambel, Vladimir; Martaus, Jozef; Soltys, Jan; Kudela, Robert; Gregusova, Dagmar

    2008-01-01

    The local anodic oxidation (LAO) by the tip of atomic force microscope (AFM) is used for fabrication of nanometer-scaled structures and devices. We study the technology of LAO applied to semiconductor heterostructures, theoretically and experimentally as well. The goal is to improve the LAO process itself, i.e., to create narrow LAO lines that form high-energy barriers in the plane with the 2D electron gas. In the first part we show the electric field distribution in the system tip-sample during LAO. For samples with low-conductive cap layer the maximum electric field is shifted apart the tip apex, which leads to wide oxide lines. Our Monte Carlo (MC) calculations show how the height of the energy barrier in the system depends on the geometry of the created lines (trenches), and on voltage applied to the structure. Based on the calculations, we have proposed a novel LAO technology and applied it to InGaP/AlGaAs/GaAs heterostructure with doping layer only 6 nm beneath the surface. The doping layer can be oxidized easily by the AFM tip in this case, and the oxide objects can be removed by several etchants. This approach to the LAO technology leads to narrow LAO trenches (∼60 nm) and to energy barriers high enough for room- and low-temperature applications

  20. Amorphous Zinc Oxide Integrated Wavy Channel Thin Film Transistor Based High Performance Digital Circuits

    KAUST Repository

    Hanna, Amir; Hussain, Aftab M.; Omran, Hesham; Alshareef, Sarah; Salama, Khaled N.; Hussain, Muhammad Mustafa

    2015-01-01

    High performance thin film transistor (TFT) can be a great driving force for display, sensor/actuator, integrated electronics, and distributed computation for Internet of Everything applications. While semiconducting oxides like zinc oxide (Zn

  1. Transparent, high mobility InGaZnO thin films deposited by PLD

    International Nuclear Information System (INIS)

    Suresh, Arun; Gollakota, Praveen; Wellenius, Patrick; Dhawan, Anuj; Muth, John F.

    2008-01-01

    Transparent oxide semiconductor, InGaZnO, thin films were prepared by pulsed laser deposition at room temperature. The carrier concentration was found to vary by several orders of magnitude from insulating to 10 19 carriers/cm 3 depending on the oxygen partial pressure during deposition. Hall mobilities as high as 16 cm 2 /V s were observed. This is approximately an order of magnitude higher than the mobility of amorphous silicon and indicates that InGaO 3 (ZnO) x with x ≤ 5 may be suitable for transparent, thin film transistor applications. Post-deposition annealing was found to strongly influence the carrier concentration while annealing effects on the electron mobility was less influential

  2. Performance regeneration of InGaZnO transistors with ultra-thin channels

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Binglei; Li, He; Zhang, Xijian, E-mail: zhangxijian@sdu.edu.cn, E-mail: songam@sdu.edu.cn; Luo, Yi; Wang, Qingpu [School of Physics, Shandong University, Jinan 250100 (China); Song, Aimin, E-mail: zhangxijian@sdu.edu.cn, E-mail: songam@sdu.edu.cn [School of Physics, Shandong University, Jinan 250100 (China); School of Electrical and Electronic Engineering, University of Manchester, Manchester M13 9PL (United Kingdom)

    2015-03-02

    Thin-film transistors (TFTs) based on ultra-thin amorphous indium gallium zinc oxide (a-IGZO) semiconductors down to 4 nm were studied motivated by the increasing cost of indium. At and below 5 nm, it was found that the field-effect mobility was severely degraded, the threshold voltage increased, and the output characteristics became abnormal showing no saturated current. By encapsulating a layer of polymethyl methacrylate on the IGZO TFTs, the performance of the 5-nm-thick device was effectively recovered. The devices also showed much higher on/off ratios, improved hysteresis, and normal output characteristic curves as compared with devices not encapsulated. The stability of the encapsulated devices was also studied over a four month period.

  3. Indium tin oxide thin film strain gages for use at elevated temperatures

    Science.gov (United States)

    Luo, Qing

    A robust ceramic thin film strain gage based on indium-tin-oxide (ITO) has been developed for static and dynamic strain measurements in advanced propulsion systems at temperatures up to 1400°C. These thin film sensors are ideally suited for in-situ strain measurement in harsh environments such as those encountered in the hot sections of gas turbine engines. A novel self-compensation scheme was developed using thin film platinum resistors placed in series with the active strain element (ITO) to minimize the thermal effect of strain or apparent strain. A mathematical model as well as design rules were developed for the self-compensated circuitry using this approach and close agreement between the model and actual static strain results has been achieved. High frequency dynamic strain tests were performed at temperatures up to 500°C and at frequencies up to 2000Hz to simulate conditions that would be encountered during engine vibration fatigue. The results indicated that the sensors could survive extreme test conditions while maintaining sensitivity. A reversible change in sign of the piezoresistive response from -G to +G was observed in the vicinity of 950°C, suggesting that the change carrier responsible for conduction in the ITO gage had been converted from a net "n-carrier" to a net "p-carrier" semiconductor. Electron spectroscopy for chemical analysis (ESCA) of the ITO films suggested they experienced an interfacial reaction with the Al2O3 substrate at 1400°C. It is likely that oxygen uptake from the substrate is responsible for stabilizing the ITO films to elevated temperatures through the interfacial reaction. Thermo gravimetric analysis of ITO films on alumina at elevated temperatures showed no sublimation of ITO films at temperature up to 1400°C. The surface morphology of ITO films heated to 800, 1200 and 1400°C were also evaluated by atomic force microscopy (AFM). A linear current-voltage (I--V) characteristic indicated that the contact interface

  4. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Energy Technology Data Exchange (ETDEWEB)

    Yahia, I.S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); Abutalib, M.M. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); AlFaify, S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Shkir, M. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Abdel-wahab, M.Sh.; Al-Ghamdi, Attieh A. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); El-Naggar, A.M. [Exploitation of Renewable Energy Applications in Saudi Arabia, Physics & Astronomy Department, College of Science, King Saud University, P.O.Box 2455, Riyadh 11451 (Saudi Arabia)

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ{sup (1)}, nonlinear optical susceptibility χ{sup (3)}, nonlinear refractive index (n{sub 2}) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  5. Al-Sn doped ZnO thin film nanosensor for monitoring NO2 concentration

    Directory of Open Access Journals (Sweden)

    G.S. Hikku

    2017-07-01

    Full Text Available The metal oxide semiconductor gas sensor technology is robust and has quick response times. In this work, aluminium and tin co-doped zinc oxide (ASZO thin films were synthesized by a sol–gel dip-coating process as sensors for the greenhouse gas nitrogen dioxide (NO2. The prepared ASZO thin films were characterized using such techniques as X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and photoluminescence (PL emission studies in order to analyze the elemental confirmation, particle size, surface roughness and optical emission properties, respectively. The XRD data reveals the hexagonal structure of ASZO and that the preferential orientation is along 2θ = 36.19°. SEM images of the ASZO thin film exhibit rod-like formations of ASZO on the substrate. The ASZO films show enhanced sensing behaviour, sensing NO2 gas even at 2 ppm at an operating temperature of 170 °C. The response and recovery times were determined to be 30 and 20 s, respectively.

  6. PHOTOSENSITIVE STRUCTURES ON THE BASIS OF THIN FILMS OF SEMIMAGNETIC SEMICONDUCTORS Pb1-x Mnx Te

    International Nuclear Information System (INIS)

    Mehrabova, M.A; Nuriyev, I.R; Ismayilov, T.G; Kerimova, T.I; Mamishova, R.M

    2011-01-01

    Full text: Narrow-band semimagnetic semiconductors (SMS) Pb1-xMnxTe are unique materials for infrared (IR) optoelectronics.The investigation of Faraday effect in Pb1-xMnxTe thin films of SMS is of a special interest. So it can be used at the construction of optic isolators, amplifiers, IR detectors and other equipments.In the given work Pb1-xMnxTe thin films (SMS) have been produced, the interband Faraday effect in these semiconductors has been theoretically and experimentally studied. Opportunities of making IR detectors have been studied. Pb1-xMnxTe (x=0.005 0.06) thin films have been grown at BaF2 substrates by the method of molecular beam condensation. The optimal conditions of producing thin films with high crystallic perfection, electrophysical and optical parameters have been determined [1]. The energy spectrum and wave functions have been theoretically calculated for quantum-sized films of Pb1-xMnxTe SMS in the case when the surface of the film is perpendicular to the axis X and the spin-spin exchange interaction occurs between the electrons in the conductivity band (valence band) and the electrons of half-filled d-shells of manganese ions as well as taking into account electron spins and the band nonparabolicity. For the calculation of the spectra and wave functions double-band Kane model has been used. On the basis of the found formulae an analytical equation has been found out for interband Faraday rotation (IFR) depending on the energy of the incident photon, band gap and the film thickness. It has been shown that by the decrease in the band gap the value of the IFR angle increases too [2]. The formula of parabolic approximation has been also obtained. The dependence of IFR angle on photon energy, band gap and the film thickness has been built. It has been specified that the decrease of the film thickness leads to a strong increase of IFR angle. The contribution of nonparabolicity into IFR angle has been established, so taking into consideration the

  7. solution growth and characterization of copper oxide thin films ...

    African Journals Online (AJOL)

    Thin films of copper oxide (CuO) were grown on glass slides by using the solution growth technique. Copper cloride (CuCl ) and potassium telluride (K T O ) were used. Buffer 2 2e 3 solution was used as complexing agent. The solid state properties and optical properties were obtained from characterization done using PYE ...

  8. Development and characterization of ultra-thin dosemeters of aluminium oxide

    International Nuclear Information System (INIS)

    Villagran V, E.

    2003-01-01

    The aim of the present thesis work has been to investigate the thermoluminescent (Tl) response of aluminium oxide thin films with thicknesses of the order of 300 nm prepared by laser ablation. Aluminium oxide thin films show Tl response after they are subject to ultraviolet, beta and gamma radiation. The Tl curves exhibit peaks around 75 C and 169 C for UV radiation, 112 C and 180 C for beta particles and 110 C and 176 C for gamma radiation. In order to improve the Tl response some growth parameters such as power density and distance target-substrate were varied. The relation dose-response shows a non-linear behavior for UV irradiation; a linear behavior for beta-particles dose from 150 mGy to 50 Gy, and a linear behavior for gamma radiation dose from 5 Gy to 100 Gy. The kinetic Tl parameters were determined by Computerized Glow Curve Deconvolution (CGCD) method as well as using analytical methods. The CGCD results show that the high temperature peak is composed by four peaks with maximums in 165.7, 188.1, 215.3, 246.5 C. These obey a second order kinetics. The trap depth (E) values are 1.4, 1.6, 1.8 and 2.0 eV respectively. The different analytical results show a trap depth values of 0.914, 0.82 and 0.656 eV respectively. Oxide aluminium thin films obtained would be a suitable tool owing to their potential applications in clinical dosimetry, in the dose distributions due to weekly penetrating radiation determination, and in interfaces dosimetry. (Author)

  9. Structural and Electrochemical Properties of Lithium Nickel Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Gyu-bong Cho

    2014-01-01

    Full Text Available LiNiO2 thin films were fabricated by RF magnetron sputtering. The microstructure of the films was determined by X-ray diffraction and field-emission scanning electron microscopy. The electrochemical properties were investigated with a battery cycler using coin-type half-cells. The LiNiO2 thin films annealed below 500°C had the surface carbonate. The results suggest that surface carbonate interrupted the Li intercalation and deintercalation during charge/discharge. Although the annealing process enhanced the crystallization of LiNiO2, the capacity did not increase. When the annealing temperature was increased to 600°C, the FeCrNiO4 oxide phase was generated and the discharge capacity decreased due to an oxygen deficiency in the LiNiO2 thin film. The ZrO2-coated LiNiO2 thin film provided an improved discharge capacity compared to bare LiNiO2 thin film suggesting that the improved electrochemical characteristic may be attributed to the inhibition of surface carbonate by ZrO2 coating layer.

  10. Raman and XPS characterization of vanadium oxide thin films with temperature

    Energy Technology Data Exchange (ETDEWEB)

    Ureña-Begara, Ferran, E-mail: ferran.urena@uclouvain.be [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium); Crunteanu, Aurelian [XLIM Research Institute, UMR 7252, CNRS/Université de Limoges, Limoges (France); Raskin, Jean-Pierre [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium)

    2017-05-01

    Highlights: • Comprehensive study of the oxidation of VO{sub 2} thin films from R.T. up to 550 °C. • Phase changes and mixed-valence vanadium oxides formed during the oxidation process. • Reported Raman and XPS signatures for each vanadium oxide. • Monitoring of the current and resistance evolution at the surface of the films. • Oxidation model describing the evolution of the vanadium oxides and phase changes. - Abstract: The oxidation mechanisms and the numerous phase transitions undergone by VO{sub 2} thin films deposited on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates when heated from room temperature (R.T.) up to 550 °C in air are investigated by Raman and X-ray photoelectron spectroscopy. The results show that the films undergo several intermediate phase transitions between the initial VO{sub 2} monoclinic phase at R.T. and the final V{sub 2}O{sub 5} phase at 550 °C. The information about these intermediate phase transitions is scarce and their identification is important since they are often found during the synthesis of vanadium dioxide films. Significant changes in the film conductivity have also been observed to occur associated to the phase transitions. In this work, current and resistance measurements performed on the surface of the films are implemented in parallel with the Raman measurements to correlate the different phases with the conductivity of the films. A model to explain the oxidation mechanisms and phenomena occurring during the oxidation of the films is proposed. Peak frequencies, full-width half-maxima, binding energies and oxidation states from the Raman and X-ray photoelectron spectroscopy experiments are reported and analyzed for all the phases encountered in VO{sub 2} films prepared on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates.

  11. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  12. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  13. Optical characterisation of thin film cadmium oxide prepared by a ...

    African Journals Online (AJOL)

    The optical transmission spectra of transparent conducting cadmium oxide (CdO) thin films deposited by a modified reactive evaporation process onto glass substrates have been measured. The interference fringes were used to calculate the refractive index, thickness variation, average thickness and absorption coefficient ...

  14. Lipase immobilized on nanostructured cerium oxide thin film coated on transparent conducting oxide electrode for butyrin sensing

    International Nuclear Information System (INIS)

    Panky, Sreedevi; Thandavan, Kavitha; Sivalingam, Durgajanani; Sethuraman, Swaminathan; Krishnan, Uma Maheswari; Jeyaprakash, Beri Gopalakrishnan; Rayappan, John Bosco Balaguru

    2013-01-01

    Nanostructured cerium oxide (CeO 2 ) thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique with cerium nitrate salt, Ce(NO 3 ) 3 ·6H 2 O as precursor. Fluorine doped cadmium oxide (CdO:F) thin film prepared using spray pyrolysis technique acts as the TCO film and hence the bare electrode. The structural, morphological and elemental characterizations of the films were carried out using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and energy dispersive X-ray analysis (EDX) respectively. The diffraction peak positions in XRD confirmed the formation of highly crystalline ceria with cubic structure and FE-SEM images showed uniform adherent films with granular morphology. The band gaps of CeO 2 and TCO were found to be 3.2 eV and 2.6 eV respectively. Lipase enzyme was physisorbed on the surface of CeO 2 /TCO film to form the lipase/nano-CeO 2 /TCO bioelectrode. Sensing studies were carried out using cyclic voltammetry and amperometry, with lipase/nano-CeO 2 /TCO as working electrode and tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33–1.98 mM) with a lowest detection limit of 2 μM with sharp response time of 5 s and a shelf life of about 6 weeks. -- Graphical abstract: Nanostructured cerium oxide thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique. Fluorine doped cadmium oxide (CdO:F) thin film acts as the TCO film and hence the working electrode. Lipase enzyme was physisorbed on the surface of CeO 2 /TCO film and hence the lipase/nano-CeO 2 /TCO bioelectrode has been fabricated. Sensing studies were carried out using cyclic voltammetry and amperometry with tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33–1.98 mM) with a lowest detection limit of 2 μM with sharp response time of 5 s and a shelf life of about 6

  15. Hydrogen Treatment and FeOOH overlayer: Effective approaches for enhancing the photoelectrochemical water oxidation performance of bismuth vanadate thin films

    DEFF Research Database (Denmark)

    Singh, Aadesh P.; Saini, Nishant; Mehta, Bodh R.

    2018-01-01

    The water oxidation capability of the promising photoanode bismuth vanadate (BiVO4) is hampered by poor bulk electron transport and by high rates of charge recombination at the semiconductor/electrolyte interface. Here, we demonstrate that a dual modification of BiVO4 by: (i) annealing in a hydro......The water oxidation capability of the promising photoanode bismuth vanadate (BiVO4) is hampered by poor bulk electron transport and by high rates of charge recombination at the semiconductor/electrolyte interface. Here, we demonstrate that a dual modification of BiVO4 by: (i) annealing...... modification strategy used here offers a simple but effective approach of improving the water oxidation performance of BiVO4....

  16. Functional integrity of flexible n-channel metal-oxide-semiconductor field-effect transistors on a reversibly bistable platform

    Science.gov (United States)

    Alfaraj, Nasir; Hussain, Aftab M.; Torres Sevilla, Galo A.; Ghoneim, Mohamed T.; Rojas, Jhonathan P.; Aljedaani, Abdulrahman B.; Hussain, Muhammad M.

    2015-10-01

    Flexibility can bring a new dimension to state-of-the-art electronics, such as rollable displays and integrated circuit systems being transformed into more powerful resources. Flexible electronics are typically hosted on polymeric substrates. Such substrates can be bent and rolled up, but cannot be independently fixed at the rigid perpendicular position necessary to realize rollable display-integrated gadgets and electronics. A reversibly bistable material can assume two stable states in a reversible way: flexibly rolled state and independently unbent state. Such materials are used in cycling and biking safety wristbands and a variety of ankle bracelets for orthopedic healthcare. They are often wrapped around an object with high impulsive force loading. Here, we study the effects of cumulative impulsive force loading on thinned (25 μm) flexible silicon-based n-channel metal-oxide-semiconductor field-effect transistor devices housed on a reversibly bistable flexible platform. We found that the transistors have maintained their high performance level up to an accumulated 180 kN of impact force loading. The gate dielectric layers have maintained their reliability, which is evidenced by the low leakage current densities. Also, we observed low variation in the effective electron mobility values, which manifests that the device channels have maintained their carrier transport properties.

  17. Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors

    International Nuclear Information System (INIS)

    Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang

    2013-01-01

    Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.

  18. Weak antilocalization induced by Rashba spin-orbit interaction in layered III-VI compound semiconductor GaSe thin films

    Science.gov (United States)

    Takasuna, Shoichi; Shiogai, Junichi; Matsuzaka, Shunichiro; Kohda, Makoto; Oyama, Yutaka; Nitta, Junsaku

    2017-10-01

    Magnetoconductance (MC) at low temperature was measured to investigate spin-related transport affected by spin-orbit interaction (SOI) in III-VI compound n -type GaSe thin films. Results reveal that MC shows weak antilocalization (WAL). Its temperature and gate voltage dependences reveal that the dominant spin relaxation is governed by the D'yakonov-Perel' mechanism associated with the Rashba SOI. The estimated Rashba SOI strength in GaSe is much stronger than that of III-V compound GaAs quantum wells, although the energy gap and spin split-off band in GaSe closely resemble those in GaAs. The angle dependence of WAL amplitude in the in-plane magnetic field direction is almost isotropic. This isotropy indicates that the strength of the Dresselhaus SOI is negligible compared with the Rashba SOI strength. The SOI effect in n -GaSe thin films differs greatly from those of III-V compound semiconductors and transition-metal dichalcogenides.

  19. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  20. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  1. Ferroelectric thin films using oxides as raw materials

    Directory of Open Access Journals (Sweden)

    E.B. Araújo

    1999-01-01

    Full Text Available This work describes an alternative method for the preparation of ferroelectric thin films based on pre-calcination of oxides, to be used as precursor material for a solution preparation. In order to show the viability of the proposed method, PbZr0.53Ti0.47O3 and Bi4Ti3O12 thin films were prepared on fused quartz and Si substrates. The results were analyzed by X-ray Diffraction (XRD, Scanning Electron Microscopy (SEM, Infrared Spectroscopy (IR and Rutherford Backscattering Spectroscopy (RBS. The films obtained show good quality, homogeneity and the desired stoichiometry. The estimated thickness for one layer deposition was approximately 1000 Å and 1500 Å for Bi4Ti3O12 and PbZr0.53Ti0.47O3 films, respectively.

  2. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    Science.gov (United States)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  3. Characterization for rbs of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, E.; Zumeta, I.

    1999-01-01

    The depth of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide was characterized using Rutherford Backscattering Spectrometry. Film depths are compared in function of bath and suspension parameters

  4. Oriented growth of thin films of samarium oxide by MOCVD

    Indian Academy of Sciences (India)

    Unknown

    Very thin layers of rare earth oxides, such as Sm2O3 and epitaxial Gd2O3, grown by thermal ... As the inorganic salts of the lanthanides, such as their halides, are ... sodium hydroxide, followed by the addition of ethanolic. 1,10-phenanthroline ...

  5. Study of oxide/metal/oxide thin films for transparent electronics and solar cells applications by spectroscopic ellipsometry

    Directory of Open Access Journals (Sweden)

    Mihaela Girtan

    2017-05-01

    Full Text Available A comprehensive study of a class of Oxide/Metal/Oxide (Oxide = ITO, AZO, TiO2 and Bi2O3, Metal = Au thin films was done by correlating the spectrophotometric studies with the ellispometric models. Films were deposited by successive sputtering from metallic targets In:Sn, Zn:Al, Ti and Bi in reactive atmosphere (for the oxide films and respective inert atmosphere (for the metallic Au interlayer films on glass substrates. The measurements of optical constants n—the refractive index and k—the extinction coefficient, at different incident photon energies for single oxide films and also for the three layers films oxide/metal/oxide samples were made using the spectroscopic ellipsometry (SE technique. The ellipsometry modelling process was coupled with the recorded transmission spectra data of a double beam spectrophotometer and the best fitting parameters were obtained not only by fitting the n and k experimental data with the dispersion fitting curves as usual is practiced in the most reported data in literature, but also by comparing the calculated the transmission coefficient from ellipsometry with the experimental values obtained from direct spectrophotometry measurements. In this way the best dispersion model was deduced for each sample. Very good correlations were obtained for the other different thin films characteristics such as the films thickness, optical band gap and electrical resistivity obtained by other measurements and calculation techniques. The ellipsometric modelling, can hence give the possibility in the future to predict, by ellipsometric simulations, the proper device architecture in function of the preferred optical and electrical properties.

  6. Effect of oxygen deficiency on electronic properties and local structure of amorphous tantalum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Denny, Yus Rama [Department of Physics Education, University of Sultan Ageng Tirtayasa, Banten 42435 (Indonesia); Firmansyah, Teguh [Department of Electrical Engineering, University of Sultan Ageng Tirtayasa, Banten 42435 (Indonesia); Oh, Suhk Kun [Department of Physics, Chungbuk National University, Cheongju 28644 (Korea, Republic of); Kang, Hee Jae, E-mail: hjkang@cbu.ac.kr [Department of Physics, Chungbuk National University, Cheongju 28644 (Korea, Republic of); Yang, Dong-Seok [Department of Physics Education, Chungbuk National University, Cheongju 28644 (Korea, Republic of); Heo, Sung; Chung, JaeGwan; Lee, Jae Cheol [Analytical Engineering Center, Samsung Advanced Institute of Technology, Suwon 16678 (Korea, Republic of)

    2016-10-15

    Highlights: • The effect of oxygen flow rate on electronic properties and local structure of tantalum oxide thin films was studied. • The oxygen deficiency induced the nonstoichiometric state a-TaOx. • A small peak at 1.97 eV above the valence band side appeared on nonstoichiometric Ta{sub 2}O{sub 5} thin films. • The oxygen flow rate can change the local electronic structure of tantalum oxide thin films. - Abstract: The dependence of electronic properties and local structure of tantalum oxide thin film on oxygen deficiency have been investigated by means of X-ray photoelectron spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS), and X-ray absorption spectroscopy (XAS). The XPS results showed that the oxygen flow rate change results in the appearance of features in the Ta 4f at the binding energies of 23.2 eV, 24.4 eV, 25.8, and 27.3 eV whose peaks are attributed to Ta{sup 1+}, Ta{sup 2+}, Ta{sup 3+}/Ta{sup 4+}, and Ta{sup 5+}, respectively. The presence of nonstoichiometric state from tantalum oxide (TaOx) thin films could be generated by the oxygen vacancies. In addition, XAS spectra manifested both the increase of coordination number of the first Ta-O shell and a considerable reduction of the Ta-O bond distance with the decrease of oxygen deficiency.

  7. Electrochemical Deposition of CdTe Semiconductor Thin Films for Solar Cell Application Using Two-Electrode and Three-Electrode Configurations: A Comparative Study

    Directory of Open Access Journals (Sweden)

    O. K. Echendu

    2016-01-01

    Full Text Available Thin films of CdTe semiconductor were electrochemically deposited using two-electrode and three-electrode configurations in potentiostatic mode for comparison. Cadmium sulphate and tellurium dioxide were used as cadmium and tellurium sources, respectively. The layers obtained using both configurations exhibit similar structural, optical, and electrical properties with no specific dependence on any particular electrode configuration used. These results indicate that electrochemical deposition (electrodeposition of CdTe and semiconductors in general can equally be carried out using two-electrode system as well as the conventional three-electrode system without compromising the essential qualities of the materials produced. The results also highlight the advantages of the two-electrode configuration in process simplification, cost reduction, and removal of a possible impurity source in the growth system, especially as the reference electrode ages.

  8. Indium Tin Oxide Resistor-Based Nitric Oxide Microsensors

    Science.gov (United States)

    Xu, Jennifer C.; Hunter, Gary W.; Gonzalez, Jose M., III; Liu, Chung-Chiun

    2012-01-01

    A sensitive resistor-based NO microsensor, with a wide detection range and a low detection limit, has been developed. Semiconductor microfabrication techniques were used to create a sensor that has a simple, robust structure with a sensing area of 1.10 0.99 mm. A Pt interdigitated structure was used for the electrodes to maximize the sensor signal output. N-type semiconductor indium tin oxide (ITO) thin film was sputter-deposited as a sensing material on the electrode surface, and between the electrode fingers. Alumina substrate (250 m in thickness) was sequentially used for sensor fabrication. The resulting sensor was tested by applying a voltage across the two electrodes and measuring the resulting current. The sensor was tested at different concentrations of NO-containing gas at a range of temperatures. Preliminary results showed that the sensor had a relatively high sensitivity to NO at 450 C and 1 V. NO concentrations from ppm to ppb ranges were detected with the low limit of near 159 ppb. Lower NO concentrations are being tested. Two sensing mechanisms were involved in the NO gas detection at ppm level: adsorption and oxidation reactions, whereas at ppb level of NO, only one sensing mechanism of adsorption was involved. The NO microsensor has the advantages of high sensitivity, small size, simple batch fabrication, high sensor yield, low cost, and low power consumption due to its microsize. The resistor-based thin-film sensor is meant for detection of low concentrations of NO gas, mainly in the ppb or lower range, and is being developed concurrently with other sensor technology for multispecies detection. This development demonstrates that ITO is a sensitive sensing material for NO detection. It also provides crucial information for future selection of nanostructured and nanosized NO sensing materials, which are expected to be more sensitive and to consume less power.

  9. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    Science.gov (United States)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  10. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  11. Thin-film method-XRF determination of the composition of rare earth oxides

    International Nuclear Information System (INIS)

    Xiao Deming

    1992-01-01

    The author describes the thin-film sample preparation by precipitation-pumping filtering method and the composition of rare earth oxide materials by XRF determination. The determination limits are 0.01% to 0.17%. The coefficients of variation are in the range of 0.85% to 14.9%. The analytical results of several kinds of rare earth oxide materials show that this method can be applied to the determination of the composition of rare earth oxide mixtures

  12. Semiconductor neutron detectors based on new types of materials

    International Nuclear Information System (INIS)

    Pochet, T.; Foulon, F.

    1993-01-01

    Neutron detection in hostile environments such as nuclear reactors has been performed using a new kind of semiconductor detector. So far, crystalline semiconductor detectors are not used in nuclear reactor instrumentation because of their sensitivity to radiation damage. For doses in excess of a few tens of kilo rads, radiation induced lattice defects produce a strong loss in the standard semiconductor detector performances. In the last few years, new semiconductor materials having amorphous or polycrystalline structures such as silicon, silicon carbide or CVD diamond, became available. These semiconductors, produced by Chemical Vapor Deposition, come in the form of thin layers being typically a few tens of micron thick. Their crystalline structure is particularly resistant to radiation damage up to a few Mrads but prevent the material use in spectrometry measurements. Nevertheless, these detectors, working in a counting mode, are suitable for the detection of alpha particles produced by the neutron capture reaction with boron. Such thin film detectors have a very poor sensitivity to γ-ray background. Furthermore, they are easier and cheaper to implement than current neutron gas counters. Preliminary results obtained with diamond and amorphous silicon diodes exposed to α particles are presented. (authors). 7 figs., 3 tabs., 11 refs

  13. Optically induced bistable states in metal/tunnel-oxide/semiconductor /MTOS/ junctions

    Science.gov (United States)

    Lai, S. K.; Dressendorfer, P. V.; Ma, T. P.; Barker, R. C.

    1981-01-01

    A new switching phenomenon in metal-oxide semiconductor tunnel junction has been discovered. With a sufficiently large negative bias applied to the electrode, incident visible light of intensity greater than about 1 microW/sq cm causes the reverse-biased junction to switch from a low-current to a high-current state. It is believed that hot-electron-induced impact ionization provides the positive feedback necessary for switching, and causes the junction to remain in its high-current state after the optical excitation is removed. The junction may be switched back to the low-current state electrically. The basic junction characteristics have been measured, and a simple model for the switching phenomenon has been developed.

  14. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, C.V. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)]. E-mail: ramanacv@umich.edu; Atuchin, V.V. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Technical Centre, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kochubey, V.A. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Pokrovsky, L.D. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Shutthanandan, V. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Becker, U. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States); Ewing, R.C. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of an argon-oxygen gas mixture under varying conditions of substrate temperature (T {sub s}) and oxygen partial pressure (pO{sub 2}). The effect of T {sub s} and pO{sub 2} on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy-dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of T {sub s} and pO{sub 2} on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 deg. C under 62.3% O{sub 2} pressure were stoichiometric and polycrystalline MoO{sub 3}. Films grown at lower pO{sub 2} were non-stoichiometric MoO {sub x} films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO{sub 3} films.

  15. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  16. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    Science.gov (United States)

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  17. Surface Plasmon Polariton-Assisted Long-Range Exciton Transport in Monolayer Semiconductor Lateral Heterostructure

    Science.gov (United States)

    Shi, Jinwei; Lin, Meng-Hsien; Chen, Yi-Tong; Estakhri, Nasim Mohammadi; Tseng, Guo-Wei; Wang, Yanrong; Chen, Hung-Ying; Chen, Chun-An; Shih, Chih-Kang; Alã¹, Andrea; Li, Xiaoqin; Lee, Yi-Hsien; Gwo, Shangjr

    Recently, two-dimensional (2D) semiconductor heterostructures, i.e., atomically thin lateral heterostructures (LHSs) based on transition metal dichalcogenides (TMDs) have been demonstrated. In an optically excited LHS, exciton transport is typically limited to a rather short spatial range ( 1 micron). Furthermore, additional losses may occur at the lateral interfacial regions. Here, to overcome these challenges, we experimentally implement a planar metal-oxide-semiconductor (MOS) structure by placing a monolayer of WS2/MoS2 LHS on top of an Al2O3 capped Ag single-crystalline plate. We found that the exciton transport range can be extended to tens of microns. The process of long-range exciton transport in the MOS structure is confirmed to be mediated by an exciton-surface plasmon polariton-exciton conversion mechanism, which allows a cascaded energy transfer process. Thus, the planar MOS structure provides a platform seamlessly combining 2D light-emitting materials with plasmonic planar waveguides, offering great potential for developing integrated photonic/plasmonic functionalities.

  18. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  19. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  20. Cholesterol biosensor based on rf sputtered zinc oxide nanoporous thin film

    International Nuclear Information System (INIS)

    Singh, S. P.; Arya, Sunil K.; Pandey, Pratibha; Malhotra, B. D.; Saha, Shibu; Sreenivas, K.; Gupta, Vinay

    2007-01-01

    Cholesterol oxidase (ChOx) has been immobilized onto zinc oxide (ZnO) nanoporous thin films grown on gold surface. A preferred c-axis oriented ZnO thin film with porous surface morphology has been fabricated by rf sputtering under high pressure. Optical studies and cyclic voltammetric measurements show that the ChOx/ZnO/Au bioelectrode is sensitive to the detection of cholesterol in 25-400 mg/dl range. A relatively low value of enzyme's kinetic parameter (Michaelis-Menten constant) ∼2.1 mM indicates enhanced enzyme affinity of ChOx to cholesterol. The observed results show promising application of nanoporous ZnO thin film for biosensing application without any functionalization

  1. The effect of Mg dopants on magnetic and structural properties of iron oxide and zinc ferrite thin films

    Science.gov (United States)

    Saritaş, Sevda; Ceviz Sakar, Betul; Kundakci, Mutlu; Yildirim, Muhammet

    2018-06-01

    Iron oxide thin films have been obtained significant interest as a material that put forwards applications in photovoltaics, gas sensors, biosensors, optoelectronic and especially in spintronics. Iron oxide is one of the considerable interest due to its chemical and thermal stability. Metallic ion dopant influenced superexchange interactions and thus changed the structural, electrical and magnetic properties of the thin film. Mg dopped zinc ferrite (Mg:ZnxFe3-xO4) crystal was used to avoid the damage of Fe3O4 (magnetite) crystal instead of Zn2+ in this study. Because the radius of the Mg2+ ion in the A-site (tetrahedral) is almost equal to that of the replaced Fe3+ ion. Inverse-spinel structure in which oxygen ions (O2-) are arranged to form a face-centered cubic (FCC) lattice where there are two kinds of sublattices, namely, A-site and B-site (octahedral) interstitial sites and in which the super exchange interactions occur. In this study, to increase the saturation of magnetization (Ms) value for iron oxide, inverse-spinal ferrite materials have been prepared, in which the iron oxide was doped by multifarious divalent metallic elements including Zn and Mg. Triple and quaternary; iron oxide and zinc ferrite thin films with Mg metal dopants were grown by using Spray Pyrolysis (SP) technique. The structural, electrical and magnetic properties of Mg dopped iron oxide (Fe2O3) and zinc ferrite (ZnxFe3-xO4) thin films have been investigated. Vibrating Sample Magnetometer (VSM) technique was used to study for the magnetic properties. As a result, we can say that Mg dopped iron oxide thin film has huge diamagnetic and of Mg dopped zinc ferrite thin film has paramagnetic property at bigger magnetic field.

  2. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  3. Feigenbaum scenario in the dynamics of a metal-oxide semiconductor heterostructure under harmonic perturbation. Golden mean criticality

    International Nuclear Information System (INIS)

    Cristescu, C.P.; Mereu, B.; Stan, Cristina; Agop, M.

    2009-01-01

    Experimental investigations and theoretical analysis on the dynamics of a metal-oxide semiconductor heterostructure used as nonlinear capacity in a series RLC electric circuit are presented. A harmonic voltage perturbation can induce various nonlinear behaviours, particularly evolution to chaos by period doubling and torus destabilization. In this work we focus on the change in dynamics induced by a sinusoidal driving with constant frequency and variable amplitude. Theoretical treatment based on the microscopic mechanisms involved led us to a dynamic system with a piecewise behaviour. Consequently, a model consisting of a nonlinear oscillator described by a piecewise second order ordinary differential equation is proposed. This kind of treatment is required by the asymmetry in the behaviour of the metal-oxide semiconductor with respect to the polarization of the perturbing voltage. The dynamics of the theoretical model is in good agreement with the experimental results. A connection with El Naschie's E-infinity space-time is established based on the interpretation of our experimental results as evidence of the importance of the golden mean criticality in the microscopic world.

  4. Elevated transition temperature in Ge doped VO2 thin films

    Science.gov (United States)

    Krammer, Anna; Magrez, Arnaud; Vitale, Wolfgang A.; Mocny, Piotr; Jeanneret, Patrick; Guibert, Edouard; Whitlow, Harry J.; Ionescu, Adrian M.; Schüler, Andreas

    2017-07-01

    Thermochromic GexV1-xO2+y thin films have been deposited on Si (100) substrates by means of reactive magnetron sputtering. The films were then characterized by Rutherford backscattering spectrometry (RBS), four-point probe electrical resistivity measurements, X-ray diffraction, and atomic force microscopy. From the temperature dependent resistivity measurements, the effect of Ge doping on the semiconductor-to-metal phase transition in vanadium oxide thin films was investigated. The transition temperature was shown to increase significantly upon Ge doping (˜95 °C), while the hysteresis width and resistivity contrast gradually decreased. The precise Ge concentration and the film thickness have been determined by RBS. The crystallinity of phase-pure VO2 monoclinic films was confirmed by XRD. These findings make the use of vanadium dioxide thin films in solar and electronic device applications—where higher critical temperatures than 68 °C of pristine VO2 are needed—a viable and promising solution.

  5. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  6. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  7. A comparative study of semiconductor-based plasmonic metamaterials

    DEFF Research Database (Denmark)

    Naik, Gururaj V.; Boltasseva, Alexandra

    2011-01-01

    and very large negative real permittivity values, and in addition, their optical properties cannot be tuned. These issues that put severe constraints on the device applications of MMs could be overcome if semiconductors are used as plasmonic materials instead of metals. Heavily doped, wide bandgap oxide...... semiconductors could exhibit both a small negative real permittivity and relatively small losses in the NIR. Heavily doped oxides of zinc and indium were already reported to be good, low loss alternatives to metals in the NIR range. Here, we consider these transparent conducting oxides (TCOs) as alternative...

  8. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    International Nuclear Information System (INIS)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (R on,sp ), whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region, is proposed. The theoretical limit of its R on,sp is deduced, the influence of structure parameters on the breakdown voltage (BV) and R on,sp are investigated, and the optimized results with BV of 83 V and R on,sp of 54 mΩ·mm 2 are obtained. Simulations show that the inhomogeneous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET) has a superior 'R on,sp /BV' trade-off to the conventional VDMOS (a 38% reduction of R on,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of R on,sp with the same BV). The inhomogeneous-floating-islands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET. Its reverse recovery peak current, reverse recovery time and reverse recovery charge are about 50, 80 and 40% of those of the superjunction MOSFET, respectively. (interdisciplinary physics and related areas of science and technology)

  9. Effect of Annealing on Tungsten Oxide Thin Films for Acetone Gas ...

    Indian Academy of Sciences (India)

    11

    Abstract: The gas sensing properties and topology of tungsten oxide thin films ..... Figure 3: Atomic force microscopy images of sensing film for (a) as-deposited (a) .... the surface, it forms compounds with the oxygen ions species present on the ...

  10. Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method

    Science.gov (United States)

    Sathisha, D.; Naik, K. Gopalakrishna

    2018-05-01

    Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.

  11. Oxidized Mn:Ge magnetic semiconductor: Observation of anomalous Hall effect and large magnetoresistance

    Science.gov (United States)

    Duc Dung, Dang; Choi, Jiyoun; Feng, Wuwei; Cao Khang, Nguyen; Cho, Sunglae

    2018-03-01

    We report on the structural and magneto-transport properties of the as-grown and oxidized Mn:Ge magnetic semiconductors. Based on X-ray diffraction and X-ray photoelectron spectroscopy results, the samples annealed at 650 and 700 °C became fully oxidized and the chemical binding energies of Mn was found to be Mn3O4. Thus, the system became Mn3O4 clusters embedded in Ge1-yOy. The as-grown sample showed positive linear Hall effect and negligible negative magnetoresistance (MR), which trend remained for the sample annealed up to 550 °C. Interestingly, for the samples annealed at above 650 °C, we observed the anomalous Hall effect around 45 K and the giant positive MR, which are respectively 59.2% and 78.5% at 7 kOe annealed at 650 °C and 700 °C.

  12. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    Science.gov (United States)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.; Lloyd, Matthew T.; Widjonarko, Nicodemus Edwin; Miedaner, Alexander; Curtis, Calvin J.; Ginley, David S.; Olson, Dana C.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  13. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    Science.gov (United States)

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  14. Lipase immobilized on nanostructured cerium oxide thin film coated on transparent conducting oxide electrode for butyrin sensing

    Energy Technology Data Exchange (ETDEWEB)

    Panky, Sreedevi; Thandavan, Kavitha [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Chemical and Biotechnology, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Sivalingam, Durgajanani [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Sethuraman, Swaminathan; Krishnan, Uma Maheswari [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Chemical and Biotechnology, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Jeyaprakash, Beri Gopalakrishnan [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Rayappan, John Bosco Balaguru, E-mail: rjbosco@ece.sastra.edu [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India)

    2013-01-15

    Nanostructured cerium oxide (CeO{sub 2}) thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique with cerium nitrate salt, Ce(NO{sub 3}){sub 3}{center_dot}6H{sub 2}O as precursor. Fluorine doped cadmium oxide (CdO:F) thin film prepared using spray pyrolysis technique acts as the TCO film and hence the bare electrode. The structural, morphological and elemental characterizations of the films were carried out using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and energy dispersive X-ray analysis (EDX) respectively. The diffraction peak positions in XRD confirmed the formation of highly crystalline ceria with cubic structure and FE-SEM images showed uniform adherent films with granular morphology. The band gaps of CeO{sub 2} and TCO were found to be 3.2 eV and 2.6 eV respectively. Lipase enzyme was physisorbed on the surface of CeO{sub 2}/TCO film to form the lipase/nano-CeO{sub 2}/TCO bioelectrode. Sensing studies were carried out using cyclic voltammetry and amperometry, with lipase/nano-CeO{sub 2}/TCO as working electrode and tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33-1.98 mM) with a lowest detection limit of 2 {mu}M with sharp response time of 5 s and a shelf life of about 6 weeks. -- Graphical abstract: Nanostructured cerium oxide thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique. Fluorine doped cadmium oxide (CdO:F) thin film acts as the TCO film and hence the working electrode. Lipase enzyme was physisorbed on the surface of CeO{sub 2}/TCO film and hence the lipase/nano-CeO{sub 2}/TCO bioelectrode has been fabricated. Sensing studies were carried out using cyclic voltammetry and amperometry with tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33-1.98 mM) with a lowest detection limit of 2 {mu}M with sharp

  15. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  16. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  17. Generalized bipolariton model. propagation of a ultrashort laser pulse through a thin semiconductor film in the conditions of two-photon generation of biexcitons

    International Nuclear Information System (INIS)

    Igor Beloussov

    2013-01-01

    A generalized bipolariton model is proposed. Bipolaritons is formed from virtual excitons of four kinds. There exists both attractive and repulsive interaction between these excitons, though only excitons of a specific type can interact with light. A substantial difference between conventional and our models is shown for the case of nonlinear transmission/reflection of ultrashort laser pulses by a thin semiconductor film under two-photon generation of biexcitons. (author)

  18. Electrical characterization of thin SOI wafers using lateral MOS transient capacitance measurements

    International Nuclear Information System (INIS)

    Wang, D.; Ueda, A.; Takada, H.; Nakashima, H.

    2006-01-01

    A novel electrical evaluation method was proposed for crystal quality characterization of thin Si on insulator (SOI) wafers, which was done by measurement of minority carrier generation lifetime (τ g ) using transient capacitance method for lateral metal-oxide-semiconductor (MOS) capacitor. The lateral MOS capacitors were fabricated on three kinds of thin SOI wafers. The crystal quality difference among these three wafers was clearly shown by the τ g measurement results and discussed from a viewpoint of SOI fabrication. The series resistance influence on the capacitance measurement for this lateral MOS capacitor was discussed in detail. The validity of this method was confirmed by comparing the intensities of photoluminescence signals due to electron-hole droplet in the band-edge emission

  19. Highly stable and imperceptible electronics utilizing photoactivated heterogeneous sol-gel metal-oxide dielectrics and semiconductors.

    Science.gov (United States)

    Jo, Jeong-Wan; Kim, Jaekyun; Kim, Kyung-Tae; Kang, Jin-Gu; Kim, Myung-Gil; Kim, Kwang-Ho; Ko, Hyungduk; Kim, Jiwan; Kim, Yong-Hoon; Park, Sung Kyu

    2015-02-18

    Incorporation of Zr into an AlOx matrix generates an intrinsically activated ZAO surface enabling the formation of a stable semiconducting IGZO film and good interfacial properties. Photochemically annealed metal-oxide devices and circuits with the optimized sol-gel ZAO dielectric and IGZO semiconductor layers demonstrate the high performance and electrically/mechanically stable operation of flexible electronics fabricated via a low-temperature solution process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Laser action on rare earth doped nitride semiconductor thin layers

    International Nuclear Information System (INIS)

    Oussif, A.; Diaf, M.

    2010-01-01

    Complete text of publication follows. The structure, chemical composition, properties, and their relationships in solids lay the foundation of materials science. Recently, great interest in rare-earth (RE)-doped wide-bandgap semiconductors, which combine the electronic properties of semiconductors with the unique luminescence features of RE ions, is from the fundamental standpoint of structure-composition-properties of solids. At first, a significant amount of work has been reported on the study of infrared emissions from Er 3+- doped semiconductors because Er 3+ exhibits luminescence at 1.54 μm, a wavelength used in optical communications. Since Steckl and Birkhahn first reported visible emission associated with Er from GaN:Er films, the RE-doped semiconductors have received considerable interest for possible application in light emitting devices. Molecular-beam epitaxy (MBE) and metalorganic chemical vapour deposition (MOCVD) have been used mainly to grow GaN host films. The RE dopants were typically incorporated into the host films by in situ doping during the growth or by ion implantation after the growth. GaN doped with rare-earth elements (RE) hold significant potential for applications in optical devices, since they show sharp intense luminescence which is only minimally affected by temperature variations. Among the various RE dopants, Eu seems to be the most interesting, since it yields red luminescence 622 nm which has not been realized in commercially available light emitting devices (LEDs) that use InGaN active layers. We have earlier reported single crystalline growth of Eu-doped GaN and nearly temperature independent red luminescence at 622 nm originating from the intra-4f-4f transition of the Eu 3+ ion. The red luminescence was analyzed and determined to be generated through trap-level-mediated energy transfer from the semiconductor host.

  1. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    Science.gov (United States)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  2. Electronic properties of thermally formed thin iron oxide films

    International Nuclear Information System (INIS)

    Wielant, J.; Goossens, V.; Hausbrand, R.; Terryn, H.

    2007-01-01

    The oxide layer, present between an organic coating and the substrate, guarantees adhesion of the coating and plays a determinating role in the delamination rate of the organic coating. The purpose of this study is to compare the resistive and semiconducting properties of thermal oxides formed on steel in two different atmospheres at 250 deg. C: an oxygen rich atmosphere, air, and an oxygen deficient atmosphere, N 2 . In N 2 , a magnetite layer grows while in air a duplex oxide film forms composed by an inner magnetite layer and a thin outer hematite scale. The heat treatment for different amounts of time at high temperature was used as method to sample the thickness variation and change in electronic and semiconducting properties of the thermal oxide layers. Firstly, linear voltammetric measurements were performed to have a first insight in the electrochemical behavior of the thermal oxides in a borate buffer solution. Electrochemical impedance spectroscopy in the same buffer combined with the Mott-Schottky analysis were used to determine the semiconducting properties of the thermal oxides. By spectroscopic ellipsometry (SE) and atomic force microscopy (AFM), respectively, the thickness and roughness of the oxide layers were determined supporting the physical interpretation of the voltammetric and EIS data. These measurements clearly showed that oxide layers with different constitution, oxide resistance, flatband potential and doping concentration can be grown by changing the atmosphere

  3. Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating

    International Nuclear Information System (INIS)

    Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Diaz Leon, Juan J.; Oye, Michael M.; Kobayashi, Nobuhiko P.; Wei, Min

    2014-01-01

    This paper describes our finding that optical properties of semiconductor nanowires were modified by depositing a thin layer of metal oxide. Indium phosphide nanowires were grown by metal organic chemical vapor deposition on silicon substrates with gold catalyst resulting in three-dimensional nanowire networks, and optical properties were obtained from the collective nanowire networks. The networks were coated with an aluminum oxide thin film deposited by plasma-enhanced atomic layer deposition. We studied the dependence of the peak wavelength of photoluminescence spectra on the thickness of the oxide coatings. A continuous blue shift in photoluminescence spectra was observed when the thickness of the oxide coating was increased. The observed blue shift is attributed to the Burstein-Moss effect due to increased carrier concentration in the nanowire cores caused by repulsion from intrinsic negative fixed charges located at the inner oxide surface. Samples were further characterized by scanning electron microscopy, Raman spectroscopy, transmission electron microscopy, and selective area diffractometry to better understand the physical mechanisms for the blue shift. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating

    Energy Technology Data Exchange (ETDEWEB)

    Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Diaz Leon, Juan J.; Oye, Michael M.; Kobayashi, Nobuhiko P. [Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California, Santa Cruz, CA (United States); Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA (United States); NASA Ames Research Center, Moffett Field, CA (United States); Wei, Min [Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA (United States); School of Micro-Electronics and Solid-Electronics, University of Electronic Science and Technology of China, Chengdu (China)

    2014-07-15

    This paper describes our finding that optical properties of semiconductor nanowires were modified by depositing a thin layer of metal oxide. Indium phosphide nanowires were grown by metal organic chemical vapor deposition on silicon substrates with gold catalyst resulting in three-dimensional nanowire networks, and optical properties were obtained from the collective nanowire networks. The networks were coated with an aluminum oxide thin film deposited by plasma-enhanced atomic layer deposition. We studied the dependence of the peak wavelength of photoluminescence spectra on the thickness of the oxide coatings. A continuous blue shift in photoluminescence spectra was observed when the thickness of the oxide coating was increased. The observed blue shift is attributed to the Burstein-Moss effect due to increased carrier concentration in the nanowire cores caused by repulsion from intrinsic negative fixed charges located at the inner oxide surface. Samples were further characterized by scanning electron microscopy, Raman spectroscopy, transmission electron microscopy, and selective area diffractometry to better understand the physical mechanisms for the blue shift. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. 2,6-Bis(benzo[b]thiophen-2-yl-3,7-dipentadecyltetrathienoacene (DBT-TTAR2 as an Alternative of Highly Soluble p-type Organic Semiconductor for Organic Thin Film Transistor (OTFT Application

    Directory of Open Access Journals (Sweden)

    Mery B. Supriadi

    2013-03-01

    Full Text Available A new compound of organic semiconductor based on tetrathienoacene (TTA derivatives, DBT-TTAR2 was synthesized and characterized. The corporation of dibenzo[b,d]thiophene (DBT group and alkyl substituent in both ends of TTA core have a significant effect on their π-π molecular conjugation length, energy gaps value and solubility properties. DBT-TTAR2 is fabricated as p-type organic semiconductor of organic thin film transistor (OTFT by solution process at Industrial Technology Research Institute, Taiwan. A good optical, electrochemical, and thermal properties of DBT-TTAR2 showed that its exhibits a better performance as highly soluble p-type organic semiconductor.

  6. Differential reflectometry of thin film metal oxides on copper, tungsten, molybdenum and chromium

    International Nuclear Information System (INIS)

    Urban, F.K. III; Hummel, R.E.; Verink, E.D. Jr.

    1982-01-01

    A differential reflectometry study was undertaken to investigate the characteristics of thin oxide films on metal substrates. The oxides were produced by heating pure metals of copper, tungsten, molybdenum and chromium in dry oxygen. A new 'halfpolishing' technique was applied to obtain specimens with a step in oxide thickness in order to make them suitable for differential reflectometry. It was found that oxides formed this way yielded the same differential reflectograms as by electrochemical oxidation. A mathematical model involving the interaction of light with a thin corrosion product on metal substrates was applied to generate computer calculated differential reflectograms utilizing various optical constants and thicknesses of the assumed film. Three different thickness ranges have been identified. (a) For large film thicknesses, the differential reflectograms are distinguished by a sequence of interference peaks. (b) If the product of thickness and refraction index of the films is smaller than about 40 nm, no interference peaks are present. Any experimentally observed peaks in differential reflectograms of these films are caused entirely by electron interband transitions. (c) In an intermediate thickness range, superposition of interference and interband peaks are observed. (author)

  7. Indium oxide thin film based ammonia gas and ethanol vapour sensor

    Indian Academy of Sciences (India)

    Unknown

    acetone and dried under an electric lamp (100 W). Thin films of indium oxide ... A λ-19, UV–VIS Spectrophotometer (Perkin Elmer, USA) was used for measuring .... tion of ammonia is observed through glowing of LED. LM3914, LED driver is ...

  8. Finite element simulations of electrostatic dopant potentials in thin semiconductor specimens for electron holography

    Energy Technology Data Exchange (ETDEWEB)

    Somodi, P.K.; Twitchett-Harrison, A.C.; Midgley, P.A. [Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Street, Cambridge CB2 3QZ (United Kingdom); Kardynał, B.E. [Peter Grünberg Institute 9, Forschungszentrum Jülich, D-52425 Jülich (Germany); Barnes, C.H.W. [Department of Physics, University of Cambridge, Madingley Road, Cambridge CB3 0HE (United Kingdom); Dunin-Borkowski, R.E., E-mail: rafaldb@gmail.com [Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons and Peter Grünberg Institute 5, Forschungszentrum Jülich, D-52425 Jülich (Germany)

    2013-11-15

    Two-dimensional finite element simulations of electrostatic dopant potentials in parallel-sided semiconductor specimens that contain p–n junctions are used to assess the effect of the electrical state of the surface of a thin specimen on projected potentials measured using off-axis electron holography in the transmission electron microscope. For a specimen that is constrained to have an equipotential surface, the simulations show that the step in the projected potential across a p–n junction is always lower than would be predicted from the properties of the bulk device, but is relatively insensitive to the value of the surface state energy, especially for thicker specimens and higher dopant concentrations. The depletion width measured from the projected potential, however, has a complicated dependence on specimen thickness. The results of the simulations are of broader interest for understanding the influence of surfaces and interfaces on electrostatic potentials in nanoscale semiconductor devices. - Highlights: • Finite element simulations are performed to calculate electrostatic dopant potentials in TEM specimens that contain p–n junctions. • The effect of the electrical state of the specimen surface on the projected potential is assessed for equipotential specimen surfaces. • The step in projected potential is always found to be lower than the step in potential in the bulk device. • The step in projected potential is least sensitive to surface state energy for thicker specimens and higher dopant concentrations. • The depletion width measured from the projected potential has a complicated dependence on specimen thickness.

  9. Finite element simulations of electrostatic dopant potentials in thin semiconductor specimens for electron holography

    International Nuclear Information System (INIS)

    Somodi, P.K.; Twitchett-Harrison, A.C.; Midgley, P.A.; Kardynał, B.E.; Barnes, C.H.W.; Dunin-Borkowski, R.E.

    2013-01-01

    Two-dimensional finite element simulations of electrostatic dopant potentials in parallel-sided semiconductor specimens that contain p–n junctions are used to assess the effect of the electrical state of the surface of a thin specimen on projected potentials measured using off-axis electron holography in the transmission electron microscope. For a specimen that is constrained to have an equipotential surface, the simulations show that the step in the projected potential across a p–n junction is always lower than would be predicted from the properties of the bulk device, but is relatively insensitive to the value of the surface state energy, especially for thicker specimens and higher dopant concentrations. The depletion width measured from the projected potential, however, has a complicated dependence on specimen thickness. The results of the simulations are of broader interest for understanding the influence of surfaces and interfaces on electrostatic potentials in nanoscale semiconductor devices. - Highlights: • Finite element simulations are performed to calculate electrostatic dopant potentials in TEM specimens that contain p–n junctions. • The effect of the electrical state of the specimen surface on the projected potential is assessed for equipotential specimen surfaces. • The step in projected potential is always found to be lower than the step in potential in the bulk device. • The step in projected potential is least sensitive to surface state energy for thicker specimens and higher dopant concentrations. • The depletion width measured from the projected potential has a complicated dependence on specimen thickness

  10. Key Topics in Producing New Ultraviolet Led and Laser Devices Based on Transparent Semiconductor Zinc Oxide

    International Nuclear Information System (INIS)

    Tuezemen, S.

    2004-01-01

    Recently, it has been introduced that ZnO as II-VI semiconductor is promising various technological applications, especially for optoelectronic short wavelength light emitting devices due to its wide and direct band gap profile. The most important advantage of ZnO over the other currently used wide band gap semiconductors such as GaN is that its nearly 3 times higher exciton binding energy (60 meV), which permits efficient excitonic emission at room temperature and above. As-grown ZnO is normally n-type because of the Zn-rich defects such as zinc interstitials (Zn i ) oxygen vacancies (Vo), natively acting as shallow donors and main source of n-type conductivity in as-grown material. Therefore, making p-type ZnO has been more difficult due to unintentional compensation of possible acceptors by these residual donors. In order to develop electro luminescent and laser devices based on the ultraviolet (UV) exciton emission of ZnO, it will be important to fabricate good p-n junctions. Attempts to observe p-type conductivity in ours and our collaborators' laboratories in USA, either by co-doping with N or tuning O pressure have been first successful achievements, resulting in hole concentrations up to 10 1 9 cm - 3 in reactively sputtered thin layers of ZnO. Moreover, in order to produce ZnO based quantum well lasers similar to the previously introduced n-AlGaAs/GaAs/p-AlGaAs structures; we have attempted to grow Zn 1 -xSn x O thin films to enlarge the band gap energy. An increase up to 170 meV has been observed in Zn 1 -xSn x O thin films and this is enough barrier to be able to trap electron-hole pairs in quantum well structures. As a result, two important key issues; p-type conductivity and enhancement of the band gap energy in order to step forward towards the production of electro luminescent UV LEDs and quantum well lasers have been investigated and will be presented in this study

  11. Thermoelectric effects of amorphous Ga-Sn-O thin film

    Science.gov (United States)

    Matsuda, Tokiyoshi; Uenuma, Mutsunori; Kimura, Mutsumi

    2017-07-01

    The thermoelectric effects of an amorphous Ga-Sn-O (a-GTO) thin film have been evaluated as a physical parameter of a novel oxide semiconductor. Currently, a-GTO thin films are greatly desired not only because they do not contain rare metals and are therefore free from problems on the exhaustion of resources and the increase in cost but also because their initial characteristics and performance stabilities are excellent when they are used in thin-film transistors. In this study, an a-GTO thin film was deposited on a quartz substrate by RF magnetron sputtering and postannealing was performed in air at 350 °C for 1 h using an annealing furnace. The Seebeck coefficient and electrical conductivity of the a-GTO thin film were -137 µV/K and 31.8 S/cm at room temperature, and -183 µV/K and 43.8 S/cm at 397 K, respectively, and as a result, the power factor was 1.47 µW/(cm·K2) at 397 K; these values were roughly as high as those of amorphous In-Ga-Zn-O (a-IGZO) thin films. Therefore, a-GTO thin films will be a candidate material for thermoelectric devices fabricated in a large area at a low cost by controlling the carrier mobility, carrier density, device structures, and so forth.

  12. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  13. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  14. The TDDB Characteristics of Ultra-Thin Gate Oxide MOS Capacitors under Constant Voltage Stress and Substrate Hot-Carrier Injection

    Directory of Open Access Journals (Sweden)

    Jingyu Shen

    2018-01-01

    Full Text Available The breakdown characteristics of ultra-thin gate oxide MOS capacitors fabricated in 65 nm CMOS technology under constant voltage stress and substrate hot-carrier injection are investigated. Compared to normal thick gate oxide, the degradation mechanism of time-dependent dielectric breakdown (TDDB of ultra-thin gate oxide is found to be different. It is found that the gate current (Ig of ultra-thin gate oxide MOS capacitor is more likely to be induced not only by Fowler-Nordheim (F-N tunneling electrons, but also by electrons surmounting barrier and penetrating electrons in the condition of constant voltage stress. Moreover it is shown that the time to breakdown (tbd under substrate hot-carrier injection is far less than that under constant voltage stress when the failure criterion is defined as a hard breakdown according to the experimental results. The TDDB mechanism of ultra-thin gate oxide will be detailed. The differences in TDDB characteristics of MOS capacitors induced by constant voltage stress and substrate hot-carrier injection will be also discussed.

  15. Stannic Oxide-Titanium Dioxide Coupled Semiconductor Photocatalyst Loaded with Polyaniline for Enhanced Photocatalytic Oxidation of 1-Octene

    Directory of Open Access Journals (Sweden)

    Hadi Nur

    2007-01-01

    Full Text Available Stannic oxide-titanium dioxide (SnO2–TiO2 coupled semiconductor photocatalyst loaded with polyaniline (PANI, a conducting polymer, possesses a high photocatalytic activity in oxidation of 1-octene to 1,2-epoxyoctane with aqueous hydrogen peroxide. The photocatalyst was prepared by impregnation of SnO2 and followed by attachment of PANI onto a TiO2 powder to give sample PANI-SnO2–TiO2. The electrical conductivity of the system becomes high in the presence of PANI. Enhanced photocatalytic activity was observed in the case of PANI-SnO2–TiO2 compared to PANI-TiO2, SnO2–TiO2, and TiO2. A higher photocatalytic activity in the oxidation of 1-octene on PANI-SnO2–TiO2 than SnO2–TiO2, PANI-TiO2, and TiO2 can be considered as an evidence of enhanced charge separation of PANI-SnO2–TiO2 photocatalyst as confirmed by photoluminescence spectroscopy. It suggests that photoinjected electrons are tunneled from TiO2 to SnO2 and then to PANI in order to allow wider separation of excited carriers.

  16. Effect of post annealing treatment on electrochromic properties of spray deposited niobium oxide thin films

    International Nuclear Information System (INIS)

    Mujawar, S.H.; Inamdar, A.I.; Betty, C.A.; Ganesan, V.; Patil, P.S.

    2007-01-01

    Niobium oxide thin films were deposited on the glass and fluorine doped tin oxide (FTO) coated glass substrates using simple and inexpensive spray pyrolysis technique. During deposition of the films various process parameters like nozzle to substrate distance, spray rate, concentration of sprayed solution were optimized to obtain well adherent and transparent films. The films prepared were further annealed and effect of post annealing on the structural, morphological, optical and electrochromic properties was studied. Structural and morphological characterizations of the films were carried out using scanning electron microscopy, atomic force microscopy and X-ray diffraction techniques. Electrochemical properties of the niobium oxide thin films were studied by using cyclic-voltammetry, chronoamperometry and chronocoulometry

  17. Low temperature (< 100 °C) deposited P-type cuprous oxide thin films: Importance of controlled oxygen and deposition energy

    International Nuclear Information System (INIS)

    Li, Flora M.; Waddingham, Rob; Milne, William I.; Flewitt, Andrew J.; Speakman, Stuart; Dutson, James; Wakeham, Steve; Thwaites, Mike

    2011-01-01

    With the emergence of transparent electronics, there has been considerable advancement in n-type transparent semiconducting oxide (TSO) materials, such as ZnO, InGaZnO, and InSnO. Comparatively, the availability of p-type TSO materials is more scarce and the available materials are less mature. The development of p-type semiconductors is one of the key technologies needed to push transparent electronics and systems to the next frontier, particularly for implementing p–n junctions for solar cells and p-type transistors for complementary logic/circuits applications. Cuprous oxide (Cu 2 O) is one of the most promising candidates for p-type TSO materials. This paper reports the deposition of Cu 2 O thin films without substrate heating using a high deposition rate reactive sputtering technique, called high target utilisation sputtering (HiTUS). This technique allows independent control of the remote plasma density and the ion energy, thus providing finer control of the film properties and microstructure as well as reducing film stress. The effect of deposition parameters, including oxygen flow rate, plasma power and target power, on the properties of Cu 2 O films are reported. It is known from previously published work that the formation of pure Cu 2 O film is often difficult, due to the more ready formation or co-formation of cupric oxide (CuO). From our investigation, we established two key concurrent criteria needed for attaining Cu 2 O thin films (as opposed to CuO or mixed phase CuO/Cu 2 O films). First, the oxygen flow rate must be kept low to avoid over-oxidation of Cu 2 O to CuO and to ensure a non-oxidised/non-poisoned metallic copper target in the reactive sputtering environment. Secondly, the energy of the sputtered copper species must be kept low as higher reaction energy tends to favour the formation of CuO. The unique design of the HiTUS system enables the provision of a high density of low energy sputtered copper radicals/ions, and when combined with a

  18. One-dimensional self-confinement promotes polymorph selection in large-area organic semiconductor thin films

    KAUST Repository

    Giri, Gaurav; Li, Ruipeng; Smilgies, Detlef Matthias; Li, Erqiang; Diao, Ying; Lenn, Kristina M.; Chiu, Melanie; Lin, Debora W.; Allen, Ranulfo A.; Reinspach, Julia A.; Mannsfeld, Stefan C B; Thoroddsen, Sigurdur T; Clancy, Paulette; Bao, Zhenan; Amassian, Aram

    2014-01-01

    A crystal's structure has significant impact on its resulting biological, physical, optical and electronic properties. In organic electronics, 6,13(bis-triisopropylsilylethynyl)pentacene (TIPS-pentacene), a small-molecule organic semiconductor, adopts metastable polymorphs possessing significantly faster charge transport than the equilibrium crystal when deposited using the solution-shearing method. Here, we use a combination of high-speed polarized optical microscopy, in situ microbeam grazing incidence wide-angle X-ray-scattering and molecular simulations to understand the mechanism behind formation of metastable TIPS-pentacene polymorphs. We observe that thin-film crystallization occurs first at the air-solution interface, and nanoscale vertical spatial confinement of the solution results in formation of metastable polymorphs, a one-dimensional and large-area analogy to crystallization of polymorphs in nanoporous matrices. We demonstrate that metastable polymorphism can be tuned with unprecedented control and produced over large areas by either varying physical confinement conditions or by tuning energetic conditions during crystallization through use of solvent molecules of various sizes. © 2014 Macmillan Publishers Limited.

  19. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  20. One-dimensional self-confinement promotes polymorph selection in large-area organic semiconductor thin films.

    Science.gov (United States)

    Giri, Gaurav; Li, Ruipeng; Smilgies, Detlef-M; Li, Er Qiang; Diao, Ying; Lenn, Kristina M; Chiu, Melanie; Lin, Debora W; Allen, Ranulfo; Reinspach, Julia; Mannsfeld, Stefan C B; Thoroddsen, Sigurdur T; Clancy, Paulette; Bao, Zhenan; Amassian, Aram

    2014-04-16

    A crystal's structure has significant impact on its resulting biological, physical, optical and electronic properties. In organic electronics, 6,13(bis-triisopropylsilylethynyl)pentacene (TIPS-pentacene), a small-molecule organic semiconductor, adopts metastable polymorphs possessing significantly faster charge transport than the equilibrium crystal when deposited using the solution-shearing method. Here, we use a combination of high-speed polarized optical microscopy, in situ microbeam grazing incidence wide-angle X-ray-scattering and molecular simulations to understand the mechanism behind formation of metastable TIPS-pentacene polymorphs. We observe that thin-film crystallization occurs first at the air-solution interface, and nanoscale vertical spatial confinement of the solution results in formation of metastable polymorphs, a one-dimensional and large-area analogy to crystallization of polymorphs in nanoporous matrices. We demonstrate that metastable polymorphism can be tuned with unprecedented control and produced over large areas by either varying physical confinement conditions or by tuning energetic conditions during crystallization through use of solvent molecules of various sizes.