WorldWideScience

Sample records for oxide semiconductor sensors

  1. Laser Doppler perfusion imaging with a complimentary metal oxide semiconductor image sensor

    NARCIS (Netherlands)

    Serov, Alexander; Steenbergen, Wiendelt; de Mul, F.F.M.

    2002-01-01

    We utilized a complimentary metal oxide semiconductor video camera for fast f low imaging with the laser Doppler technique. A single sensor is used for both observation of the area of interest and measurements of the interference signal caused by dynamic light scattering from moving particles inside

  2. An Ultrasensitive Organic Semiconductor NO2 Sensor Based on Crystalline TIPS-Pentacene Films.

    Science.gov (United States)

    Wang, Zi; Huang, Lizhen; Zhu, Xiaofei; Zhou, Xu; Chi, Lifeng

    2017-10-01

    Organic semiconductor gas sensor is one of the promising candidates of room temperature operated gas sensors with high selectivity. However, for a long time the performance of organic semiconductor sensors, especially for the detection of oxidizing gases, is far behind that of the traditional metal oxide gas sensors. Although intensive attempts have been made to address the problem, the performance and the understanding of the sensing mechanism are still far from sufficient. Herein, an ultrasensitive organic semiconductor NO 2 sensor based on 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS-petacene) is reported. The device achieves a sensitivity over 1000%/ppm and fast response/recovery, together with a low limit of detection (LOD) of 20 ppb, all of which reach the level of metal oxide sensors. After a comprehensive analysis on the morphology and electrical properties of the organic films, it is revealed that the ultrahigh performance is largely related to the film charge transport ability, which was less concerned in the studies previously. And the combination of efficient charge transport and low original charge carrier concentration is demonstrated to be an effective access to obtain high performance organic semiconductor gas sensors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. DNA-decorated carbon-nanotube-based chemical sensors on complementary metal oxide semiconductor circuitry

    International Nuclear Information System (INIS)

    Chen, Chia-Ling; Yang, Chih-Feng; Dokmeci, Mehmet R; Agarwal, Vinay; Sonkusale, Sameer; Kim, Taehoon; Busnaina, Ahmed; Chen, Michelle

    2010-01-01

    We present integration of single-stranded DNA (ss-DNA)-decorated single-walled carbon nanotubes (SWNTs) onto complementary metal oxide semiconductor (CMOS) circuitry as nanoscale chemical sensors. SWNTs were assembled onto CMOS circuitry via a low voltage dielectrophoretic (DEP) process. Besides, bare SWNTs are reported to be sensitive to various chemicals, and functionalization of SWNTs with biomolecular complexes further enhances the sensing specificity and sensitivity. After decorating ss-DNA on SWNTs, we have found that the sensing response of the gas sensor was enhanced (up to ∼ 300% and ∼ 250% for methanol vapor and isopropanol alcohol vapor, respectively) compared with bare SWNTs. The SWNTs coupled with ss-DNA and their integration on CMOS circuitry demonstrates a step towards realizing ultra-sensitive electronic nose applications.

  4. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  5. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  6. On-chip growth of semiconductor metal oxide nanowires for gas sensors: A review

    Directory of Open Access Journals (Sweden)

    Chu Manh Hung

    2017-09-01

    Full Text Available Semiconductor metal oxide nanowires (SMO-NWs show great potential for novel gas sensor applications because of their distinct properties, such as a high surface area to volume aspect ratio, high crystallinity and perfect pathway for electron transfer (length of NW. SMO-NW sensors can be configured as resistors or field-effect transistors for gas detection and different configurations, such as a single NW, multiple NWs, and networked NW films, have been established. Surface-functionalizing NWs with catalyst elements and self-heating NWs provide additional advantages for highly selective and low-power consumption gas sensors. However, an appropriate design of SMO-NWs is of practical importance in enhancing the gas-sensing performance of SMO-NW sensors. The on-chip growth of SMO-NWs possesses many advantages which can thus be effectively used for the large-scale fabrication of SMO-NW sensors with improved gas response and stability. This review aims to provide up-to-date information on the on-chip fabrication of SnO2, ZnO, WO3, CuO, and other SMO-NW sensors. It also discusses a variety of promising approaches that help advance the on-chip fabrication of SMO-NW-based gas sensors and other NW-based devices.

  7. Amphoteric oxide semiconductors for energy conversion devices: a tutorial review.

    Science.gov (United States)

    Singh, Kalpana; Nowotny, Janusz; Thangadurai, Venkataraman

    2013-03-07

    In this tutorial review, we discuss the defect chemistry of selected amphoteric oxide semiconductors in conjunction with their significant impact on the development of renewable and sustainable solid state energy conversion devices. The effect of electronic defect disorders in semiconductors appears to control the overall performance of several solid-state ionic devices that include oxide ion conducting solid oxide fuel cells (O-SOFCs), proton conducting solid oxide fuel cells (H-SOFCs), batteries, solar cells, and chemical (gas) sensors. Thus, the present study aims to assess the advances made in typical n- and p-type metal oxide semiconductors with respect to their use in ionic devices. The present paper briefly outlines the key challenges in the development of n- and p-type materials for various applications and also tries to present the state-of-the-art of defect disorders in technologically related semiconductors such as TiO(2), and perovskite-like and fluorite-type structure metal oxides.

  8. Effect of Water Vapor and Surface Morphology on the Low Temperature Response of Metal Oxide Semiconductor Gas Sensors

    Directory of Open Access Journals (Sweden)

    Konrad Maier

    2015-09-01

    Full Text Available In this work the low temperature response of metal oxide semiconductor gas sensors is analyzed. Important characteristics of this low-temperature response are a pronounced selectivity to acid- and base-forming gases and a large disparity of response and recovery time constants which often leads to an integrator-type of gas response. We show that this kind of sensor performance is related to the trend of semiconductor gas sensors to adsorb water vapor in multi-layer form and that this ability is sensitively influenced by the surface morphology. In particular we show that surface roughness in the nanometer range enhances desorption of water from multi-layer adsorbates, enabling them to respond more swiftly to changes in the ambient humidity. Further experiments reveal that reactive gases, such as NO2 and NH3, which are easily absorbed in the water adsorbate layers, are more easily exchanged across the liquid/air interface when the humidity in the ambient air is high.

  9. Chip-scale fluorescence microscope based on a silo-filter complementary metal-oxide semiconductor image sensor.

    Science.gov (United States)

    Ah Lee, Seung; Ou, Xiaoze; Lee, J Eugene; Yang, Changhuei

    2013-06-01

    We demonstrate a silo-filter (SF) complementary metal-oxide semiconductor (CMOS) image sensor for a chip-scale fluorescence microscope. The extruded pixel design with metal walls between neighboring pixels guides fluorescence emission through the thick absorptive filter to the photodiode of a pixel. Our prototype device achieves 13 μm resolution over a wide field of view (4.8 mm × 4.4 mm). We demonstrate bright-field and fluorescence longitudinal imaging of living cells in a compact, low-cost configuration.

  10. Semiconductor sensors

    International Nuclear Information System (INIS)

    Hartmann, Frank

    2011-01-01

    Semiconductor sensors have been around since the 1950s and today, every high energy physics experiment has one in its repertoire. In Lepton as well as Hadron colliders, silicon vertex and tracking detectors led to the most amazing physics and will continue doing so in the future. This contribution tries to depict the history of these devices exemplarily without being able to honor all important developments and installations. The current understanding of radiation damage mechanisms and recent R and D topics demonstrating the future challenges and possible technical solutions for the SLHC detectors are presented. Consequently semiconductor sensor candidates for an LHC upgrade and a future linear collider are also briefly introduced. The work presented here is a collage of the work of many individual silicon experts spread over several collaborations across the world.

  11. Low Power Operation of Temperature-Modulated Metal Oxide Semiconductor Gas Sensors.

    Science.gov (United States)

    Burgués, Javier; Marco, Santiago

    2018-01-25

    Mobile applications based on gas sensing present new opportunities for low-cost air quality monitoring, safety, and healthcare. Metal oxide semiconductor (MOX) gas sensors represent the most prominent technology for integration into portable devices, such as smartphones and wearables. Traditionally, MOX sensors have been continuously powered to increase the stability of the sensing layer. However, continuous power is not feasible in many battery-operated applications due to power consumption limitations or the intended intermittent device operation. This work benchmarks two low-power, duty-cycling, and on-demand modes against the continuous power one. The duty-cycling mode periodically turns the sensors on and off and represents a trade-off between power consumption and stability. On-demand operation achieves the lowest power consumption by powering the sensors only while taking a measurement. Twelve thermally modulated SB-500-12 (FIS Inc. Jacksonville, FL, USA) sensors were exposed to low concentrations of carbon monoxide (0-9 ppm) with environmental conditions, such as ambient humidity (15-75% relative humidity) and temperature (21-27 °C), varying within the indicated ranges. Partial Least Squares (PLS) models were built using calibration data, and the prediction error in external validation samples was evaluated during the two weeks following calibration. We found that on-demand operation produced a deformation of the sensor conductance patterns, which led to an increase in the prediction error by almost a factor of 5 as compared to continuous operation (2.2 versus 0.45 ppm). Applying a 10% duty-cycling operation of 10-min periods reduced this prediction error to a factor of 2 (0.9 versus 0.45 ppm). The proposed duty-cycling powering scheme saved up to 90% energy as compared to the continuous operating mode. This low-power mode may be advantageous for applications that do not require continuous and periodic measurements, and which can tolerate slightly higher

  12. Synthesis, Characterization, and Ultrafast Dynamics of Metal, Metal Oxide, and Semiconductor Nanomaterials

    OpenAIRE

    Wheeler, Damon Andreas

    2013-01-01

    SYNTHESIS, CHARACTERIZATION, AND ULTRAFAST DYNAMICS OF METAL, METAL OXIDE, AND SEMICONDUCTOR NANOMATERIALSABSTRACTThe optical properties of each of the three main classes of inorganic nanomaterials, metals, metal oxides, and semiconductors differ greatly due to the intrinsically different nature of the materials. These optical properties are among the most fascinating and useful aspects of nanomaterials with applications spanning cancer treatment, sensors, lasers, and solar cells. One techn...

  13. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  14. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  15. Where science fiction meets reality? With oxide semiconductors.

    Energy Technology Data Exchange (ETDEWEB)

    Fortunato, E.; Martins, R. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2011-09-15

    Transparent electronics is today one of the most advanced topics for a wide range of device applications, where the key components are wide band gap semiconductors, where oxides of different origin play an important role, not only as passive components but also as active components similar to what we observe in conventional semiconductors. As passive components they include the use of these materials as dielectrics for a wide range of electronic devices and also as transparent electrical conductors for use in several optoelectronic applications, such as liquid crystal displays, organic light emitting diodes, solar cells, optical sensors etc. As active materials, they exploit the use of truly electronic semiconductors where the main emphasis is being put on transparent thin film transistors, light emitting diodes, lasers, ultraviolet sensors and integrated circuits among others. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide-Semiconductor Image Sensors.

    Science.gov (United States)

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-05-02

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components.

  17. Temperature Modulation with Specified Detection Point on Metal Oxide Semiconductor Gas Sensors for E-Nose Application

    Directory of Open Access Journals (Sweden)

    Arief SUDARMAJI

    2015-03-01

    Full Text Available Temperature modulation technique, some called dynamic measurement mode, on Metal-Oxide Semiconductor (MOS/MOX gas sensor has been widely observed and employed in many fields. We present its development, a Specified Detection Point (SDP on modulated sensing element of MOS sensor is applied which associated to its temperature modulation, temperature modulation-SDP so-named. We configured the rectangular modulation signal for MOS gas sensors (TGSs and FISs using PSOC CY8C28445-24PVXI (Programmable System on Chip which also functioned as acquisition unit and interface to a computer. Initial responses and selectivity evaluations were performed using statistical tool and Principal Component Analysis (PCA to differ sample gases (Toluene, Ethanol and Ammonia on dynamic chamber measurement under various frequencies (0.25 Hz, 1 Hz, 4 Hz and duty-cycles (25 %, 50 %, 75 %. We found that at lower frequency the response waveform of the sensors becomes more sloping and distinct, and selected modulations successfully increased the selectivity either on singular or array sensors rather than static temperature measurement.

  18. Low Power Operation of Temperature-Modulated Metal Oxide Semiconductor Gas Sensors

    Directory of Open Access Journals (Sweden)

    Javier Burgués

    2018-01-01

    Full Text Available Mobile applications based on gas sensing present new opportunities for low-cost air quality monitoring, safety, and healthcare. Metal oxide semiconductor (MOX gas sensors represent the most prominent technology for integration into portable devices, such as smartphones and wearables. Traditionally, MOX sensors have been continuously powered to increase the stability of the sensing layer. However, continuous power is not feasible in many battery-operated applications due to power consumption limitations or the intended intermittent device operation. This work benchmarks two low-power, duty-cycling, and on-demand modes against the continuous power one. The duty-cycling mode periodically turns the sensors on and off and represents a trade-off between power consumption and stability. On-demand operation achieves the lowest power consumption by powering the sensors only while taking a measurement. Twelve thermally modulated SB-500-12 (FIS Inc. Jacksonville, FL, USA sensors were exposed to low concentrations of carbon monoxide (0–9 ppm with environmental conditions, such as ambient humidity (15–75% relative humidity and temperature (21–27 °C, varying within the indicated ranges. Partial Least Squares (PLS models were built using calibration data, and the prediction error in external validation samples was evaluated during the two weeks following calibration. We found that on-demand operation produced a deformation of the sensor conductance patterns, which led to an increase in the prediction error by almost a factor of 5 as compared to continuous operation (2.2 versus 0.45 ppm. Applying a 10% duty-cycling operation of 10-min periods reduced this prediction error to a factor of 2 (0.9 versus 0.45 ppm. The proposed duty-cycling powering scheme saved up to 90% energy as compared to the continuous operating mode. This low-power mode may be advantageous for applications that do not require continuous and periodic measurements, and which can tolerate

  19. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  20. Semiconductor Metal Oxide Sensors in Water and Water Based Biological Systems

    Directory of Open Access Journals (Sweden)

    Marina V. Strobkova

    2003-10-01

    Full Text Available The results of implementation of In2O3-based semiconductor sensors for oxygen concentration evaluation in water and the LB-nutrient media (15.5 g/l Luria Broth Base, Miller (Sigma, Lot-1900 and NaCl without bacteria and with E.coli bacteria before and after UV-irradiation are presented.

  1. Study of non-stoichiometric BaSrTiFeO3 oxide dedicated to semiconductor gas sensors

    International Nuclear Information System (INIS)

    Fasquelle, D.; Verbrugghe, N.; Deputier, S.

    2016-01-01

    Developing instrumentation systems compatible with the European RoHS directive (restriction of hazardous substances) to monitor our environment is of great interest for our society. Our research therefore aims at developing innovating integrated systems of detection dedicated to the characterization of various environmental exposures. These systems, which integrate new gas sensors containing lead-free oxides, are dedicated to the detection of flammable and toxic gases. We have firstly chosen to study semiconductor gas sensors implemented with lead-free oxides in view to develop RoHS devices. Therefore thick films deposited by spin-coating and screen-printing have been chosen for their robustness, ease to realize and ease to finally obtain cost-effective sensors. As crystalline defects and ionic vacancies are of great interest for gas detection, we have decided to study a non-stoichiometric composition of the BaSrTiFeO 3 sensible oxide. Nonstoichiometric BaSrTiFeO 3 lead-free oxide thick films were deposited by screen-printing on polycrystalline AFO 3 substrates covered by a layer of Ag-Pd acting as bottom electrode. The physical characterizations have revealed a crystalline structure mainly composed of BaTiO 3 pseudo-cubic phase and Ba 4 Ti 12 O 27 monoclinic phase for the powder, and a porous microstructure for the thick films. When compared to a BSTF thick film with a stoichiometric composition, a notable increase in the BSTF dielectric constant value was observed when taking into account of a similar microstructure and grain size. The loss tangent mean value varies more softly for the non-stoichiometric BaSrTiFeO 3 films than for the perovskite BSTF film as tanδ decreases from 0.45 to 0.04 when the frequency increases from 100 Hz to 1 MHz. (paper)

  2. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    Science.gov (United States)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  3. Practical Use of Metal Oxide Semiconductor Gas Sensors for Measuring Nitrogen Dioxide and Ozone in Urban Environments.

    Science.gov (United States)

    Peterson, Philip J D; Aujla, Amrita; Grant, Kirsty H; Brundle, Alex G; Thompson, Martin R; Vande Hey, Josh; Leigh, Roland J

    2017-07-19

    The potential of inexpensive Metal Oxide Semiconductor (MOS) gas sensors to be used for urban air quality monitoring has been the topic of increasing interest in the last decade. This paper discusses some of the lessons of three years of experience working with such sensors on a novel instrument platform (Small Open General purpose Sensor (SOGS)) in the measurement of atmospheric nitrogen dioxide and ozone concentrations. Analytic methods for increasing long-term accuracy of measurements are discussed, which permit nitrogen dioxide measurements with 95% confidence intervals of 20.0 μ g m - 3 and ozone precision of 26.8 μ g m - 3 , for measurements over a period one month away from calibration, averaged over 18 months of such calibrations. Beyond four months from calibration, sensor drift becomes significant, and accuracy is significantly reduced. Successful calibration schemes are discussed with the use of controlled artificial atmospheres complementing deployment on a reference weather station exposed to the elements. Manufacturing variation in the attributes of individual sensors are examined, an experiment possible due to the instrument being equipped with pairs of sensors of the same kind. Good repeatability (better than 0.7 correlation) between individual sensor elements is shown. The results from sensors that used fans to push air past an internal sensor element are compared with mounting the sensors on the outside of the enclosure, the latter design increasing effective integration time to more than a day. Finally, possible paths forward are suggested for improving the reliability of this promising sensor technology for measuring pollution in an urban environment.

  4. Estimation of the limit of detection in semiconductor gas sensors through linearized calibration models.

    Science.gov (United States)

    Burgués, Javier; Jiménez-Soto, Juan Manuel; Marco, Santiago

    2018-07-12

    The limit of detection (LOD) is a key figure of merit in chemical sensing. However, the estimation of this figure of merit is hindered by the non-linear calibration curve characteristic of semiconductor gas sensor technologies such as, metal oxide (MOX), gasFETs or thermoelectric sensors. Additionally, chemical sensors suffer from cross-sensitivities and temporal stability problems. The application of the International Union of Pure and Applied Chemistry (IUPAC) recommendations for univariate LOD estimation in non-linear semiconductor gas sensors is not straightforward due to the strong statistical requirements of the IUPAC methodology (linearity, homoscedasticity, normality). Here, we propose a methodological approach to LOD estimation through linearized calibration models. As an example, the methodology is applied to the detection of low concentrations of carbon monoxide using MOX gas sensors in a scenario where the main source of error is the presence of uncontrolled levels of humidity. Copyright © 2018 Elsevier B.V. All rights reserved.

  5. Nanomaterials-based electrochemical sensors for nitric oxide

    International Nuclear Information System (INIS)

    Dang, Xueping; Hu, Hui; Wang, Shengfu; Hu, Shengshui

    2015-01-01

    Electrochemical sensing has been demonstrated to represent an efficient way to quantify nitric oxide (NO) in challenging physiological environments. A sensing interface based on nanomaterials opens up new opportunities and broader prospects for electrochemical NO sensors. This review (with 141 refs.) gives a general view of recent advances in the development of electrochemical sensors based on nanomaterials. It is subdivided into sections on (i) carbon derived nanomaterials (such as carbon nanotubes, graphenes, fullerenes), (ii) metal nanoparticles (including gold, platinum and other metallic nanoparticles); (iii) semiconductor metal oxide nanomaterials (including the oxides of titanium, aluminum, iron, and ruthenium); and finally (iv) nanocomposites (such as those formed from carbon nanomaterials with nanoparticles of gold, platinum, NiO or TiO 2 ). The various strategies are discussed, and the advances of using nanomaterials and the trends in NO sensor technology are outlooked in the final section. (author)

  6. A Customized Metal Oxide Semiconductor-Based Gas Sensor Array for Onion Quality Evaluation: System Development and Characterization

    Directory of Open Access Journals (Sweden)

    Tharun Konduru

    2015-01-01

    Full Text Available A gas sensor array, consisting of seven Metal Oxide Semiconductor (MOS sensors that are sensitive to a wide range of organic volatile compounds was developed to detect rotten onions during storage. These MOS sensors were enclosed in a specially designed Teflon chamber equipped with a gas delivery system to pump volatiles from the onion samples into the chamber. The electronic circuit mainly comprised a microcontroller, non-volatile memory chip, and trickle-charge real time clock chip, serial communication chip, and parallel LCD panel. User preferences are communicated with the on-board microcontroller through a graphical user interface developed using LabVIEW. The developed gas sensor array was characterized and the discrimination potential was tested by exposing it to three different concentrations of acetone (ketone, acetonitrile (nitrile, ethyl acetate (ester, and ethanol (alcohol. The gas sensor array could differentiate the four chemicals of same concentrations and different concentrations within the chemical with significant difference. Experiment results also showed that the system was able to discriminate two concentrations (196 and 1964 ppm of methlypropyl sulfide and two concentrations (145 and 1452 ppm of 2-nonanone, two key volatile compounds emitted by rotten onions. As a proof of concept, the gas sensor array was able to achieve 89% correct classification of sour skin infected onions. The customized low-cost gas sensor array could be a useful tool to detect onion postharvest diseases in storage.

  7. Ratiometric, filter-free optical sensor based on a complementary metal oxide semiconductor buried double junction photodiode.

    Science.gov (United States)

    Yung, Ka Yi; Zhan, Zhiyong; Titus, Albert H; Baker, Gary A; Bright, Frank V

    2015-07-16

    We report a complementary metal oxide semiconductor integrated circuit (CMOS IC) with a buried double junction (BDJ) photodiode that (i) provides a real-time output signal that is related to the intensity ratio at two emission wavelengths and (ii) simultaneously eliminates the need for an optical filter to block Rayleigh scatter. We demonstrate the BDJ platform performance for gaseous NH3 and aqueous pH detection. We also compare the BDJ performance to parallel results obtained by using a slew scanned fluorimeter (SSF). The BDJ results are functionally equivalent to the SSF results without the need for any wavelength filtering or monochromators and the BDJ platform is not prone to errors associated with source intensity fluctuations or sensor signal drift. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Semiconductor acceleration sensor

    Science.gov (United States)

    Ueyanagi, Katsumichi; Kobayashi, Mitsuo; Goto, Tomoaki

    1996-09-01

    This paper reports a practical semiconductor acceleration sensor especially suited for automotive air bag systems. The acceleration sensor includes four beams arranged in a swastika structure. Two piezoresistors are formed on each beam. These eight piezoresistors constitute a Wheatstone bridge. The swastika structure of the sensing elements, an upper glass plate and a lower glass plate exhibit the squeeze film effect which enhances air dumping, by which the constituent silicon is prevented from breakdown. The present acceleration sensor has the following features. The acceleration force component perpendicular to the sensing direction can be cancelled. The cross-axis sensitivity is less than 3 percent. And, the erroneous offset caused by the differences between the thermal expansion coefficients of the constituent materials can be canceled. The high aspect ratio configuration realized by plasma etching facilitates reducing the dimensions and improving the sensitivity of the acceleration sensor. The present acceleration sensor is 3.9 mm by 3.9 mm in area and 1.2 mm in thickness. The present acceleration sensor can measure from -50 to +50 G with sensitivity of 0.275 mV/G and with non-linearity of less than 1 percent. The acceleration sensor withstands shock of 3000 G.

  9. Note: A disposable x-ray camera based on mass produced complementary metal-oxide-semiconductor sensors and single-board computers

    Energy Technology Data Exchange (ETDEWEB)

    Hoidn, Oliver R.; Seidler, Gerald T., E-mail: seidler@uw.edu [Physics Department, University of Washington, Seattle, Washington 98195 (United States)

    2015-08-15

    We have integrated mass-produced commercial complementary metal-oxide-semiconductor (CMOS) image sensors and off-the-shelf single-board computers into an x-ray camera platform optimized for acquisition of x-ray spectra and radiographs at energies of 2–6 keV. The CMOS sensor and single-board computer are complemented by custom mounting and interface hardware that can be easily acquired from rapid prototyping services. For single-pixel detection events, i.e., events where the deposited energy from one photon is substantially localized in a single pixel, we establish ∼20% quantum efficiency at 2.6 keV with ∼190 eV resolution and a 100 kHz maximum detection rate. The detector platform’s useful intrinsic energy resolution, 5-μm pixel size, ease of use, and obvious potential for parallelization make it a promising candidate for many applications at synchrotron facilities, in laser-heating plasma physics studies, and in laboratory-based x-ray spectrometry.

  10. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  11. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  12. Sol-gel zinc oxide humidity sensors integrated with a ring oscillator circuit on-a-chip.

    Science.gov (United States)

    Yang, Ming-Zhi; Dai, Ching-Liang; Wu, Chyan-Chyi

    2014-10-28

    The study develops an integrated humidity microsensor fabricated using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The integrated humidity sensor consists of a humidity sensor and a ring oscillator circuit on-a-chip. The humidity sensor is composed of a sensitive film and branch interdigitated electrodes. The sensitive film is zinc oxide prepared by sol-gel method. After completion of the CMOS process, the sensor requires a post-process to remove the sacrificial oxide layer and to coat the zinc oxide film on the interdigitated electrodes. The capacitance of the sensor changes when the sensitive film adsorbs water vapor. The circuit is used to convert the capacitance of the humidity sensor into the oscillation frequency output. Experimental results show that the output frequency of the sensor changes from 84.3 to 73.4 MHz at 30 °C as the humidity increases 40 to 90%RH.

  13. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    Science.gov (United States)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  14. Ultrasensitive mass sensor fully integrated with complementary metal-oxide-semiconductor circuitry

    DEFF Research Database (Denmark)

    Forsén, Esko Sebastian; Abadal, G.; Ghatnekar-Nilsson, S.

    2005-01-01

    Nanomechanical resonators have been monolithically integrated on preprocessed complementary metal-oxide-semiconductor (CMOS) chips. Fabricated resonator systems have been designed to have resonance frequencies up to 1.5 MHz. The systems have been characterized in ambient air and vacuum conditions...... and display ultrasensitive mass detection in air. A mass sensitivity of 4 ag/Hz has been determined in air by placing a single glycerine drop, having a measured weight of 57 fg, at the apex of a cantilever and subsequently measuring a frequency shift of 14.8 kHz. CMOS integration enables electrostatic...

  15. Sol-Gel Zinc Oxide Humidity Sensors Integrated with a Ring Oscillator Circuit On-a-Chip

    Directory of Open Access Journals (Sweden)

    Ming-Zhi Yang

    2014-10-01

    Full Text Available The study develops an integrated humidity microsensor fabricated using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS process. The integrated humidity sensor consists of a humidity sensor and a ring oscillator circuit on-a-chip. The humidity sensor is composed of a sensitive film and branch interdigitated electrodes. The sensitive film is zinc oxide prepared by sol-gel method. After completion of the CMOS process, the sensor requires a post-process to remove the sacrificial oxide layer and to coat the zinc oxide film on the interdigitated electrodes. The capacitance of the sensor changes when the sensitive film adsorbs water vapor. The circuit is used to convert the capacitance of the humidity sensor into the oscillation frequency output. Experimental results show that the output frequency of the sensor changes from 84.3 to 73.4 MHz at 30 °C as the humidity increases 40 to 90%RH.

  16. Development of Ultra-Low Power Metal Oxide Sensors and Arrays for Embedded Applications

    Science.gov (United States)

    Lutz, Brent; Wind, Rikard; Kostelecky, Clayton; Routkevitch, Dmitri; Deininger, Debra

    2011-09-01

    Metal oxide semiconductor sensors are widely used as individual sensors and in arrays, and a variety of designs for low power microhotplates have been demonstrated.1 Synkera Technologies has developed an embeddable chemical microsensor platform, based on a unique ceramic MEMS technology, for practical implementation in cell phones and other mobile electronic devices. Key features of this microsensor platform are (1) small size, (2) ultra-low power consumption, (3) high chemical sensitivity, (4) accurate response to a wide-range of threats, and (5) low cost. The sensor platform is enabled by a combination of advances in ceramic micromachining, and precision deposition of sensing films inside the high aspect ratio pores of anodic aluminum oxide (AAO).

  17. A 94GHz Temperature Compensated Low Noise Amplifier in 45nm Silicon-on-Insulator Complementary Metal-Oxide Semiconductor (SOI CMOS)

    Science.gov (United States)

    2014-01-01

    ring oscillator based temperature sensor will be designed to compensate for gain variations over temperature. For comparison to a competing solution...Simulated (Green) Capacitance of the GSG Pads ........................ 9 Figure 6: Die Picture and Schematic of the L-2L Coplanar Waveguides...complementary metal-oxide-semiconductor (CMOS) technology. A ring oscillator based temperature sensor was designed to compensate for gain variations

  18. Cobalt Oxide Nanosheet and CNT Micro Carbon Monoxide Sensor Integrated with Readout Circuit on Chip

    Directory of Open Access Journals (Sweden)

    Ching-Liang Dai

    2010-03-01

    Full Text Available The study presents a micro carbon monoxide (CO sensor integrated with a readout circuit-on-a-chip manufactured by the commercial 0.35 μm complementary metal oxide semiconductor (CMOS process and a post-process. The sensing film of the sensor is a composite cobalt oxide nanosheet and carbon nanotube (CoOOH/CNT film that is prepared by a precipitation-oxidation method. The structure of the CO sensor is composed of a polysilicon resistor and a sensing film. The sensor, which is of a resistive type, changes its resistance when the sensing film adsorbs or desorbs CO gas. The readout circuit is used to convert the sensor resistance into the voltage output. The post-processing of the sensor includes etching the sacrificial layers and coating the sensing film. The advantages of the sensor include room temperature operation, short response/recovery times and easy post-processing. Experimental results show that the sensitivity of the CO sensor is about 0.19 mV/ppm, and the response and recovery times are 23 s and 34 s for 200 ppm CO, respectively.

  19. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    Science.gov (United States)

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  20. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  1. Ways of providing radiation resistance of magnetic field semiconductor sensors

    CERN Document Server

    Bolshakova, I A; Holyaka, R; Matkovskii, A; Moroz, A

    2001-01-01

    Hall magnetic field sensors resistant to hard ionizing irradiation are being developed for operation under the radiation conditions of space and in charged particle accelerators. Radiation resistance of the sensors is first determined by the properties of semiconductor materials of sensitive elements; we have used microcrystals and thin layers of III-V semiconductors. Applying complex doping by rare-earth elements and isovalent impurities in certain proportions, we have obtained magnetic field sensors resistant to irradiation by fast neutrons and gamma-quanta. Tests of their radiation resistance were carried out at IBR-2 at the Joint Institute for Nuclear Research (Dubna). When exposed to neutrons with E=0.1-13 MeV and intensity of 10 sup 1 sup 0 n cm sup - sup 2 s sup - sup 1 , the main parameter of the sensors - their sensitivity to magnetic fields - changes by no more than 0.1% up to fluences of 10 sup 1 sup 4 n cm sup - sup 2. Further improvement of radiation resistance of sensor materials is expected by ...

  2. Highly Sensitive Sensors Based on Metal-Oxide Nanocolumns for Fire Detection

    Directory of Open Access Journals (Sweden)

    Kwangjae Lee

    2017-02-01

    Full Text Available A fire detector is the most important component in a fire alarm system. Herein, we present the feasibility of a highly sensitive and rapid response gas sensor based on metal oxides as a high performance fire detector. The glancing angle deposition (GLAD technique is used to make the highly porous structure such as nanocolumns (NCs of various metal oxides for enhancing the gas-sensing performance. To measure the fire detection, the interface circuitry for our sensors (NiO, SnO2, WO3 and In2O3 NCs is designed. When all the sensors with various metal-oxide NCs are exposed to fire environment, they entirely react with the target gases emitted from Poly(vinyl chlorides (PVC decomposed at high temperature. Before the emission of smoke from the PVC (a hot-plate temperature of 200 °C, the resistances of the metal-oxide NCs are abruptly changed and SnO2 NCs show the highest response of 2.1. However, a commercial smoke detector did not inform any warning. Interestingly, although the NiO NCs are a p-type semiconductor, they show the highest response of 577.1 after the emission of smoke from the PVC (a hot-plate temperature of 350 °C. The response time of SnO2 NCs is much faster than that of a commercial smoke detector at the hot-plate temperature of 350 °C. In addition, we investigated the selectivity of our sensors by analyzing the responses of all sensors. Our results show the high potential of a gas sensor based on metal-oxide NCs for early fire detection.

  3. CMOS Active-Pixel Image Sensor With Simple Floating Gates

    Science.gov (United States)

    Fossum, Eric R.; Nakamura, Junichi; Kemeny, Sabrina E.

    1996-01-01

    Experimental complementary metal-oxide/semiconductor (CMOS) active-pixel image sensor integrated circuit features simple floating-gate structure, with metal-oxide/semiconductor field-effect transistor (MOSFET) as active circuit element in each pixel. Provides flexibility of readout modes, no kTC noise, and relatively simple structure suitable for high-density arrays. Features desirable for "smart sensor" applications.

  4. Sensor development at the semiconductor laboratory of the Max-Planck-Society

    Science.gov (United States)

    Bähr, A.; Lechner, P.; Ninkovic, J.

    2017-12-01

    For more than twenty years the semiconductor laboratory of the Max-Planck Society (MPG-HLL) is developing high-performing, specialised, scientific silicon sensors including the integration of amplifying electronics on the sensor chip. This paper summarises the actual status of these devices like pnCCDs and DePFET Active Pixel Sensors and their applications.

  5. Enhanced and selective ammonia sensing of reduced graphene oxide based chemo resistive sensor at room temperature

    Science.gov (United States)

    Kumar, Ramesh; Kaur, Amarjeet

    2016-05-01

    The reduced graphene oxide thin films were fabricated by using the spin coating method. The reduced graphene oxide samples were characterised by Raman studies to obtain corresponding D and G bands at 1360 and 1590 cm-1 respectively. Fourier transform infra-red (FTIR) spectra consists of peak corresponds to sp2 hybridisation of carbon atoms at 1560 cm-1. The reduced graphene oxide based chemoresistive sensor exhibited a p-type semiconductor behaviour in ambient conditions and showed good sensitivity to different concentration of ammonia from 25 ppm to 500 ppm and excellent selectivity at room temperature. The sensor displays selectivity to several hazardous vapours such as methanol, ethanol, acetone and hydrazine hydrate. The sensor demonstrated a sensitivity of 9.8 at 25 ppm concentration of ammonia with response time of 163 seconds.

  6. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  7. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won; Rondinone, Adam Justin; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2017-09-19

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  8. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Science.gov (United States)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  9. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    Science.gov (United States)

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  10. Dissolved hydrogen and oxygen sensors using semiconductor devices

    International Nuclear Information System (INIS)

    Hara, Nobuyoshi; Sugimoto, Katsuhisa

    1995-01-01

    The concentrations of DH and DO in aqueous solution are the factors that determine the equilibrium potential of hydrogen and oxygen electrode reactions, respectively, and are the quantities which directly related to the rates of hydrogen generation type and oxygen consumption type corrosion reactions, therefore, they have the important meaning in the electrochemistry of corrosion. In the hydrogen injection into BWR cooling water, the concentration of hydrogen must be controlled strictly, accordingly DH and DO sensors and electrochemical potential sensors are required. For the chemical sensors used in reactor cooling water, the perfectly solid state sensors made of high corrosion resistance materials, which are small size and withstand high temperature and high pressure, must be developed. The structure and the characteristics of the semiconductor devices used as gas sensors, and the principles of DH and DO sensors are described. If the idea of porous or discontinuous membrane gate is developed, the ion sensor of solid structure with one-body reference electrode may be made. (K.I.)

  11. The silicon microstrip sensors of the ATLAS semiconductor tracker

    Energy Technology Data Exchange (ETDEWEB)

    ATLAS SCT Collaboration; Spieler, Helmuth G.

    2007-04-13

    This paper describes the AC-coupled, single-sided, p-in-n silicon microstrip sensors used in the Semiconductor Tracker (SCT) of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The sensor requirements, specifications and designs are discussed, together with the qualification and quality assurance procedures adopted for their production. The measured sensor performance is presented, both initially and after irradiation to the fluence anticipated after 10 years of LHC operation. The sensors are now successfully assembled within the detecting modules of the SCT, and the SCT tracker is completed and integrated within the ATLAS Inner Detector. Hamamatsu Photonics Ltd. supplied 92.2percent of the 15,392 installed sensors, with the remainder supplied by CiS.

  12. The silicon microstrip sensors of the ATLAS semiconductor tracker

    International Nuclear Information System (INIS)

    ATLAS SCT Collaboration; Spieler, Helmuth G.

    2007-01-01

    This paper describes the AC-coupled, single-sided, p-in-n silicon microstrip sensors used in the Semiconductor Tracker (SCT) of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The sensor requirements, specifications and designs are discussed, together with the qualification and quality assurance procedures adopted for their production. The measured sensor performance is presented, both initially and after irradiation to the fluence anticipated after 10 years of LHC operation. The sensors are now successfully assembled within the detecting modules of the SCT, and the SCT tracker is completed and integrated within the ATLAS Inner Detector. Hamamatsu Photonics Ltd. supplied 92.2percent of the 15,392 installed sensors, with the remainder supplied by CiS

  13. Semiconductor photocatalysts for water oxidation: current status and challenges.

    Science.gov (United States)

    Yang, Lingling; Zhou, Han; Fan, Tongxiang; Zhang, Di

    2014-04-21

    Artificial photosynthesis is a highly-promising strategy to convert solar energy into hydrogen energy for the relief of the global energy crisis. Water oxidation is the bottleneck for its kinetic and energetic complexity in the further enhancement of the overall efficiency of the artificial photosystem. Developing efficient and cost-effective photocatalysts for water oxidation is a growing desire, and semiconductor photocatalysts have recently attracted more attention due to their stability and simplicity. This article reviews the recent advancement of semiconductor photocatalysts with a focus on the relationship between material optimization and water oxidation efficiency. A brief introduction to artificial photosynthesis and water oxidation is given first, followed by an explanation of the basic rules and mechanisms of semiconductor particulate photocatalysts for water oxidation as theoretical references for discussions of componential, surface structure, and crystal structure modification. O2-evolving photocatalysts in Z-scheme systems are also introduced to demonstrate practical applications of water oxidation photocatalysts in artificial photosystems. The final part proposes some challenges based on the dynamics and energetics of photoholes which are fundamental to the enhancement of water oxidation efficiency, as well as on the simulation of natural water oxidation that will be a trend in future research.

  14. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  15. Enhanced and selective ammonia sensing of reduced graphene oxide based chemo resistive sensor at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Ramesh, E-mail: rameshphysicsdu@gmail.com; Kaur, Amarjeet, E-mail: amarkaur@physics.du.ac.in [Department of Physics and Astrophysics, University of Delhi, Delhi-110007 (India)

    2016-05-06

    The reduced graphene oxide thin films were fabricated by using the spin coating method. The reduced graphene oxide samples were characterised by Raman studies to obtain corresponding D and G bands at 1360 and 1590 cm{sup −1} respectively. Fourier transform infra-red (FTIR) spectra consists of peak corresponds to sp{sup 2} hybridisation of carbon atoms at 1560 cm{sup −1}. The reduced graphene oxide based chemoresistive sensor exhibited a p-type semiconductor behaviour in ambient conditions and showed good sensitivity to different concentration of ammonia from 25 ppm to 500 ppm and excellent selectivity at room temperature. The sensor displays selectivity to several hazardous vapours such as methanol, ethanol, acetone and hydrazine hydrate. The sensor demonstrated a sensitivity of 9.8 at 25 ppm concentration of ammonia with response time of 163 seconds.

  16. Semiconductor device-based sensors for gas, chemical, and biomedical applications

    CERN Document Server

    Ren, Fan

    2011-01-01

    Sales of U.S. chemical sensors represent the largest segment of the multi-billion-dollar global sensor market, which includes instruments for chemical detection in gases and liquids, biosensors, and medical sensors. Although silicon-based devices have dominated the field, they are limited by their general inability to operate in harsh environments faced with factors such as high temperature and pressure. Exploring how and why these instruments have become a major player, Semiconductor Device-Based Sensors for Gas, Chemical, and Biomedical Applications presents the latest research, including or

  17. Design of Highly Selective Gas Sensors via Physicochemical Modification of Oxide Nanowires: Overview

    Directory of Open Access Journals (Sweden)

    Hyung-Sik Woo

    2016-09-01

    Full Text Available Strategies for the enhancement of gas sensing properties, and specifically the improvement of gas selectivity of metal oxide semiconductor nanowire (NW networks grown by chemical vapor deposition and thermal evaporation, are reviewed. Highly crystalline NWs grown by vapor-phase routes have various advantages, and thus have been applied in the field of gas sensors over the years. In particular, n-type NWs such as SnO2, ZnO, and In2O3 are widely studied because of their simple synthetic preparation and high gas response. However, due to their usually high responses to C2H5OH and NO2, the selective detection of other harmful and toxic gases using oxide NWs remains a challenging issue. Various strategies—such as doping/loading of noble metals, decorating/doping of catalytic metal oxides, and the formation of core–shell structures—have been explored to enhance gas selectivity and sensitivity, and are discussed herein. Additional methods such as the transformation of n-type into p-type NWs and the formation of catalyst-doped hierarchical structures by branch growth have also proven to be promising for the enhancement of gas selectivity. Accordingly, the physicochemical modification of oxide NWs via various methods provides new strategies to achieve the selective detection of a specific gas, and after further investigations, this approach could pave a new way in the field of NW-based semiconductor-type gas sensors.

  18. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  19. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Bratkovsky, A M [Hewlett-Packard Laboratories, 1501 Page Mill Road, MS 1123, Palo Alto, CA 94304 (United States)

    2008-02-15

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  20. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Bratkovsky, A M

    2008-01-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field

  1. Spintronic effects in metallic, semiconductor, metal oxide and metal semiconductor heterostructures

    Science.gov (United States)

    Bratkovsky, A. M.

    2008-02-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  2. Ultrasonic fingerprint sensor using a piezoelectric micromachined ultrasonic transducer array integrated with complementary metal oxide semiconductor electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Fung, S.; Wang, Q.; Horsley, D. A. [Berkeley Sensor and Actuator Center, University of California, Davis, 1 Shields Avenue, Davis, California 95616 (United States); Tang, H.; Boser, B. E. [Berkeley Sensor and Actuator Center, University of California, Berkeley, California 94720 (United States); Tsai, J. M.; Daneman, M. [InvenSense, Inc., 1745 Technology Drive, San Jose, California 95110 (United States)

    2015-06-29

    This paper presents an ultrasonic fingerprint sensor based on a 24 × 8 array of 22 MHz piezoelectric micromachined ultrasonic transducers (PMUTs) with 100 μm pitch, fully integrated with 180 nm complementary metal oxide semiconductor (CMOS) circuitry through eutectic wafer bonding. Each PMUT is directly bonded to a dedicated CMOS receive amplifier, minimizing electrical parasitics and eliminating the need for through-silicon vias. The array frequency response and vibration mode-shape were characterized using laser Doppler vibrometry and verified via finite element method simulation. The array's acoustic output was measured using a hydrophone to be ∼14 kPa with a 28 V input, in reasonable agreement with predication from analytical calculation. Pulse-echo imaging of a 1D steel grating is demonstrated using electronic scanning of a 20 × 8 sub-array, resulting in 300 mV maximum received amplitude and 5:1 contrast ratio. Because the small size of this array limits the maximum image size, mechanical scanning was used to image a 2D polydimethylsiloxane fingerprint phantom (10 mm × 8 mm) at a 1.2 mm distance from the array.

  3. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    Science.gov (United States)

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  4. The Silicon Microstrip Sensors of the ATLAS SemiConductor Tracker

    CERN Document Server

    Ahmad, A; Allport, P P; Alonso, J; Andricek, L; Apsimon, R J; Barr, A J; Bates, R L; Beck, G A; Bell, P J; Belymam, A; Benes, J; Berg, C M; Bernabeu, J; Bethke, S; Bingefors, N; Bizzell, J P; Bohm, J; Brenner, R; Brodbeck, T J; Bruckman De Renstrom, P; Buttar, C M; Campbell, D; Carpentieri, C; Carter, A A; Carter, J R; Charlton, D G; Casse, G-L; Chilingarov, A; Cindro, V; Ciocio, A; Civera, J V; Clark, A G; Colijn, A-P; Costa, M J; Dabrowski, W; Danielsen, K M; Dawson, I; Demirkoz, B; Dervan, P; Dolezal, Z; Dorholt, O; Duerdoth, I P; Dwuznik, M; Eckert, S; Ekelöf, T; Eklund, L; Escobar, C; Fasching, D; Feld, L; Ferguson, D P S; Ferrere, D; Fortin, R; Foster, J M; Fox, H; French, R; Fromant, B P; Fujita, K; Fuster, J; Gadomski, S; Gallop, B J; Garcia, C; Garcia-Navarro, J E; Gibson, M D; Gonzalez, S; Gonzalez-Sevilla, S; Goodrick, M J; Gornicki, E; Green, C; Greenall, A; Grigson, C; Grillo, A A; Grosse-Knetter, J; Haber, C; Handa, T; Hara, K; Harper, R S; Hartjes, F G; Hashizaki, T; Hauff, D; Hessey, N P; Hill, J C; Hollins, T I; Holt, S; Horazdovsky, T; Hornung, M; Hovland, K M; Hughes, G; Huse, T; Ikegami, Y; Iwata, Y; Jackson, J N; Jakobs, K; Jared, R C; Johansen, L G; Jones, R W L; Jones, T J; de Jong, P; Joseph, J; Jovanovic, P; Kaplon, J; Kato, Y; Ketterer, C; Kindervaag, I M; Kodys, P; Koffeman, E; Kohriki, T; Kohout, Z; Kondo, T; Koperny, S; van der Kraaij, E; Kral, V; Kramberger, G; Kudlaty, J; Lacasta, C; Limper, M; Linhart, V; Llosa, G; Lozano, M; Ludwig, I; Ludwig, J; Lutz, G; Macpherson, A; McMahon, S J; Macina, D; Magrath, C A; Malecki, P; Mandic, I; Marti-Garcia, S; Matsuo, T; Meinhardt, J; Mellado, B; Mercer, I J; Mikestikova, M; Mikuz, M; Minano, M; Mistry, J; Mitsou, V; Modesto, P; Mohn, B; Molloy, S D; Moorhead, G; Moraes, A; Morgan, D; Morone, M C; Morris, J; Moser, H-G; Moszczynski, A; Muijs, A J M; Nagai, K; Nakamura, Y; Nakano, I; Nicholson, R; Niinikoski, T; Nisius, R; Ohsugi, T; O'Shea, V; Oye, O K; Parzefall, U; Pater, J R; Pernegger, H; Phillips, P W; Posisil, S; Ratoff, P N; Reznicek, P; Richardson, J D; Richter, R H; Robinson, D; Roe, S; Ruggiero, G; Runge, K; Sadrozinski, H F W; Sandaker, H; Schieck, J; Seiden, A; Shinma, S; Siegrist, J; Sloan, T; Smith, N A; Snow, S W; Solar, M; Solberg, A; Sopko, B; Sospedra, L; Spieler, H; Stanecka, E; Stapnes, S; Stastny, J; Stelzer, F; Stradling, A; Stugu, B; Takashima, R; Tanaka, R; Taylor, G; Terada, S; Thompson, R J; Titov, M; Tomeda, Y; Tovey, D R; Turala, M; Turner, P R; Tyndel, M; Ullan, M; Unno, Y; Vickey, T; Vos, M; Wallny, R; Weilhammer, P; Wells, P S; Wilson, J A; Wolter, M; Wormald, M; Wu, S L; Yamashita, T; Zontar, D; Zsenei, A

    2007-01-01

    This paper describes the AC-coupled, single-sided, p-in-n silicon microstrip sensors used in the SemiConductor Tracker (SCT) of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The sensor requirements, specifications and designs are discussed, together with the qualification and quality assurance procedures adopted for their production. The measured sensor performance is presented, both initially and after irradiation to the fluence anticipated after 10 years of LHC operation. The sensors are now successfully assembled within the detecting modules of the SCT, and the SCT tracker is completed and integrated within the ATLAS Inner Detector. Hamamatsu Photonics Ltd supplied 92.2% of the 15,392 installed sensors, with the remainder supplied by CiS.

  5. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    Science.gov (United States)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  6. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  7. Semiconductor Ceramic Mn0.5Fe1.5O3-Fe2O3 from Natural Minerals as Ethanol Gas Sensors

    Science.gov (United States)

    Aliah, H.; Syarif, D. G.; Iman, R. N.; Sawitri, A.; Sanjaya WS, M.; Nurul Subkhi, M.; Pitriana, P.

    2018-05-01

    In this research, Mn and Fe-based ceramic gas sensing were fabricated and characterized. This research used natural mineral which is widely available in Indonesia and intended to observe the characteristics of Mn and Fe-based semiconducting material. Fabricating process of the thick films started by synthesizing the ceramic powder of Fe(OH)3 and Mn oxide material using the precipitation method. The deposition from precipitation method previously was calcined at a temperature of 800 °C to produce nanoparticle powder. Nanoparticle powder that contains Mn and Fe oxide was mixed with an organic vehicle (OV) to produce a paste. Then, the paste was layered on the alumina substrate by using the screen printing method. XRD method was utilized to characterize the thick film crystal structure that has been produced. XRD spectra showed that the ceramic layer was formed from the solid Mn0.5Fe1.5O3 (bixbyite) and Fe2O3. In addition, the electrical properties (resistance) examination was held in the room that contains air and ethanol to determine the sensor sensitivity of ethanol gas. The sensor resistance decreases as the ethanol gas was added, showing that the sensor was sensitive to ethanol gas and an n-type semiconductor. Gas sensor exhibit sensitive characterization of ethanol gas on the concentration of (100 to 300) ppm at a temperature of (150 to 200) °C. This showed that the Mn0.5Fe1.5O3-Fe2O3 ceramic semiconductor could be utilized as the ethanol gas detector.

  8. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors

    Directory of Open Access Journals (Sweden)

    Jiangwei Liu

    2018-06-01

    Full Text Available Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high-k oxides on hydrogenated-diamond (H-diamond for metal-oxide-semiconductor (MOS capacitors and MOS field-effect transistors (MOSFETs is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High-k oxide insulators are deposited using atomic layer deposition (ALD and sputtering deposition (SD techniques. Electrical properties of the H-diamond MOS capacitors with high-k oxides of ALD-Al2O3, ALD-HfO2, ALD-HfO2/ALD-Al2O3 multilayer, SD-HfO2/ALD-HfO2 bilayer, SD-TiO2/ALD-Al2O3 bilayer, and ALD-TiO2/ALD-Al2O3 bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al2O3/H-diamond and SD-HfO2/ALD-HfO2/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO2/ALD-Al2O3 bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p-type channel characteristics for the ALD-Al2O3/H-diamond, SD-HfO2/ALD-HfO2/H-diamond, and ALD-TiO2/ALD-Al2O3/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high-k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  9. Towards a Chemiresistive Sensor-Integrated Electronic Nose: A Review

    Directory of Open Access Journals (Sweden)

    Kea-Tiong Tang

    2013-10-01

    Full Text Available Electronic noses have potential applications in daily life, but are restricted by their bulky size and high price. This review focuses on the use of chemiresistive gas sensors, metal-oxide semiconductor gas sensors and conductive polymer gas sensors in an electronic nose for system integration to reduce size and cost. The review covers the system design considerations and the complementary metal-oxide-semiconductor integrated technology for a chemiresistive gas sensor electronic nose, including the integrated sensor array, its readout interface, and pattern recognition hardware. In addition, the state-of-the-art technology integrated in the electronic nose is also presented, such as the sensing front-end chip, electronic nose signal processing chip, and the electronic nose system-on-chip.

  10. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Highly Sensitive Flexible Pressure Sensors Based on Printed Organic Transistors with Centro-Apically Self-Organized Organic Semiconductor Microstructures.

    Science.gov (United States)

    Yeo, So Young; Park, Sangsik; Yi, Yeon Jin; Kim, Do Hwan; Lim, Jung Ah

    2017-12-13

    A highly sensitive pressure sensor based on printed organic transistors with three-dimensionally self-organized organic semiconductor microstructures (3D OSCs) was demonstrated. A unique organic transistor with semiconductor channels positioned at the highest summit of printed cylindrical microstructures was achieved simply by printing an organic semiconductor and polymer blend on the plastic substrate without the use of additional etching or replication processes. A combination of the printed organic semiconductor microstructure and an elastomeric top-gate dielectric resulted in a highly sensitive organic field-effect transistor (FET) pressure sensor with a high pressure sensitivity of 1.07 kPa -1 and a rapid response time of <20 ms with a high reliability over 1000 cycles. The flexibility and high performance of the 3D OSC FET pressure sensor were exploited in the successful application of our sensors to real-time monitoring of the radial artery pulse, which is useful for healthcare monitoring, and to touch sensing in the e-skin of a realistic prosthetic hand.

  12. Semiconductor sensor device, diagnostic instrument comprising such a device and method of manufacturing such a device

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor sensor device (10) for sensing a substance comprising at least one mesa- shaped semiconductor region (11) which is formed on a surface of a semiconductor body (12) and which is connected at a first end to a first electrically conducting connection region (13)

  13. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  14. Crystalline mesoporous tungsten oxide nanoplate monoliths synthesized by directed soft template method for highly sensitive NO2 gas sensor applications

    International Nuclear Information System (INIS)

    Hoa, Nguyen Duc; Duy, Nguyen Van; Hieu, Nguyen Van

    2013-01-01

    Graphical abstract: Display Omitted Highlights: ► Mesoporous WO 3 nanoplate monoliths were obtained by direct templating synthesis. ► Enable effective accession of the analytic molecules for the sensor applications. ► The WO 3 sensor exhibited a high performance to NO 2 gas at low temperature. -- Abstract: Controllable synthesis of nanostructured metal oxide semiconductors with nanocrystalline size, porous structure, and large specific surface area is one of the key issues for effective gas sensor applications. In this study, crystalline mesoporous tungsten oxide nanoplate-like monoliths with high specific surface areas were obtained through instant direct-templating synthesis for highly sensitive nitrogen dioxide (NO 2 ) sensor applications. The copolymer soft template was converted into a solid carbon framework by heat treatment in an inert gas prior to calcinations in air to sustain the mesoporous structure of tungsten oxide. The multidirectional mesoporous structures of tungsten oxide with small crystalline size, large specific surface area, and superior physical characteristics enabled the rapid and effective accession of analytic gas molecules. As a result, the sensor response was enhanced and the response and recovery times were reduced, in which the mesoporous tungsten oxide based gas sensor exhibited a superior response of 21,155% to 5 ppm NO 2 . In addition, the developed sensor exhibited selective detection of low NO 2 concentration in ammonia and ethanol at a low temperature of approximately 150 °C.

  15. Electron Band Alignment at Interfaces of Semiconductors with Insulating Oxides: An Internal Photoemission Study

    Directory of Open Access Journals (Sweden)

    Valeri V. Afanas'ev

    2014-01-01

    Full Text Available Evolution of the electron energy band alignment at interfaces between different semiconductors and wide-gap oxide insulators is examined using the internal photoemission spectroscopy, which is based on observations of optically-induced electron (or hole transitions across the semiconductor/insulator barrier. Interfaces of various semiconductors ranging from the conventional silicon to the high-mobility Ge-based (Ge, Si1-xGex, Ge1-xSnx and AIIIBV group (GaAs, InxGa1-xAs, InAs, GaP, InP, GaSb, InSb materials were studied revealing several general trends in the evolution of band offsets. It is found that in the oxides of metals with cation radii larger than ≈0.7 Å, the oxide valence band top remains nearly at the same energy (±0.2 eV irrespective of the cation sort. Using this result, it becomes possible to predict the interface band alignment between oxides and semiconductors as well as between dissimilar insulating oxides on the basis of the oxide bandgap width which are also affected by crystallization. By contrast, oxides of light elements, for example, Be, Mg, Al, Si, and Sc exhibit significant shifts of the valence band top. General trends in band lineup variations caused by a change in the composition of semiconductor photoemission material are also revealed.

  16. Influence of semiconductor/metal interface geometry in an EMR sensor

    KAUST Repository

    Sun, Jian

    2013-02-01

    The extraordinary magnetoresistance (EMR) is well known to be strongly dependent on geometric parameters. While the influence of the aspect ratios of the metal and semiconductor areas has been thoroughly investigated, the geometry of the semiconductor/metal interface has been neglected so far. However, from a fabrication point of view, this part plays a crucial role. In this paper, the performance of a bar-type hybrid EMR sensor is investigated by means of finite element method and experiments with respect to the hybrid interface geometry. A 3-D model has been developed, which simulates the EMR effect in case of fields in different directions. The semiconductor/metal interface has been investigated in terms of different layer thicknesses and overlaps. The results show that those parameters can cause a change in the output sensitivity of 2%-10%. In order to maintain a high sensitivity and keep the fabrication relatively simple and at low cost, a device with a thin metal shunt having a large overlap on the top of the semiconductor bar would provide the best solution. © 2001-2012 IEEE.

  17. Semiconductor Sensors for Studying the Heterogeneous Destruction of Ozone at Low Concentrations

    Science.gov (United States)

    Obvintseva, L. A.; Sharova, T. B.; Avetisov, A. K.; Sukhareva, I. P.

    2018-06-01

    Prospects for the use of semiconductor resistive sensors in studies of the heterogeneous destruction of ozone at low concentrations (5-400 μg/m3) were shown. The influence of various factors (sensor temperature, gas flow rate, ozone concentration) on the results of ozone concentration measurements with sensors of various types was studied. Methods for forming a sensitive layer of In2O3(3% Fe2O3) sensors with specified parameters of calibration curves were proposed. The optimum conditions for the operation of sensors in a flow mode were formulated. The results of the study of heterogeneous destruction of ozone on microfiber polymer and natural disperse (sand, coals) materials obtained by the developed method were presented.

  18. Beta particle detection efficiency of the radiation sensor made from a mixture of polyaniline and titanium oxide

    International Nuclear Information System (INIS)

    Tamura, M.; Miyata, H.; Katsumata, M.; Matsuda, K.; Ueno, T.; Ito, D.; Suzuki, T.

    2016-01-01

    We developed a new real-time radiation sensor using an organic semiconductor and measured its β-particle detection sensitivity. This sensor is fabricated by simply combining a p-type semiconductor, polyaniline (Pani), with an n-type semiconductor, TiO_2, and processing the compound. Since Pani and TiO_2 are both inexpensive materials, the sensor can be fabricated at a lower cost than inorganic semiconductor sensors. The signal of each fabricated sensor was measured by a charge sensitive ADC for the irradiation of β-particles. The response signal data of the ADC for each irradiation was measured to calculate the detection efficiency of the detector. The maximum detection efficiency measured as β-particle sensitivity of the sensor was 1%. This β-particle sensitivity is higher than that reported of Pani sensors in the past.

  19. Positron studies of metal-oxide-semiconductor structures

    Science.gov (United States)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  20. Highly sensitive methanol chemical sensor based on undoped silver oxide nanoparticles prepared by a solution method

    International Nuclear Information System (INIS)

    Rahman, M.M.; Khan, S.B.; Asiri, A.M.; Jamal, A.; Faisal, M.

    2012-01-01

    We have prepared silver oxide nanoparticles (NPs) by a simple solution method using reducing agents in alkaline medium. The resulting NPs were characterized by UV-vis and FT-IR spectroscopy, X-ray powder diffraction, and field-emission scanning electron microscopy. They were deposited on a glassy carbon electrode to give a sensor with a fast response towards methanol in liquid phase. The sensor also displays good sensitivity and long-term stability, and enhanced electrochemical response. The calibration plot is linear (r 2 = 0.8294) over the 0.12 mM to 0.12 M methanol concentration range. The sensitivity is ∼ 2.65 μAcm -2 mM -1 , and the detection limit is 36.0 μM (at a SNR of 3). We also discuss possible future prospective uses of this metal oxide semiconductor nanomaterial in terms of chemical sensing. (author)

  1. Flexible Synthetic Semiconductor Applied in Optoelectronic Organic Sensor

    Directory of Open Access Journals (Sweden)

    Andre F. S. Guedes

    2017-06-01

    Full Text Available The synthesis and application of new nanostructured organic materials, for the development of technology based on organic devices, have taken great interest from the scientific community. The greatest interest in studying organic semiconductor materials has been connected to its already known potential applications, such as: batteries, organic solar cells, flexible organic solar cells, organic light emitting diodes, organic sensors and others. Phototherapy makes use of different radiation sources, and the treatment of hyperbilirubinemia the most common therapeutic intervention occurs in the neonatal period. In this work we developed an organic optoelectronic sensor capable of detecting and determining the radiation dose rate emitted by the radiation source of neonatal phototherapy equipment. The sensors were developed using optically transparent substrate with Nanostructured thin film layers of Poly(9-Vinylcarbazole covered by a layer of Poly(P-Phenylene Vinylene. The samples were characterized by UV-Vis Spectroscopy, Electrical Measurements and SEM. With the results obtained from this study can be developed dosimeters organics to the neonatal phototherapy equipment.

  2. Crystalline mesoporous tungsten oxide nanoplate monoliths synthesized by directed soft template method for highly sensitive NO{sub 2} gas sensor applications

    Energy Technology Data Exchange (ETDEWEB)

    Hoa, Nguyen Duc, E-mail: ndhoa@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology (HUST) (Viet Nam); Duy, Nguyen Van [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology (HUST) (Viet Nam); Hieu, Nguyen Van, E-mail: hieu@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology (HUST) (Viet Nam)

    2013-02-15

    Graphical abstract: Display Omitted Highlights: ► Mesoporous WO{sub 3} nanoplate monoliths were obtained by direct templating synthesis. ► Enable effective accession of the analytic molecules for the sensor applications. ► The WO{sub 3} sensor exhibited a high performance to NO{sub 2} gas at low temperature. -- Abstract: Controllable synthesis of nanostructured metal oxide semiconductors with nanocrystalline size, porous structure, and large specific surface area is one of the key issues for effective gas sensor applications. In this study, crystalline mesoporous tungsten oxide nanoplate-like monoliths with high specific surface areas were obtained through instant direct-templating synthesis for highly sensitive nitrogen dioxide (NO{sub 2}) sensor applications. The copolymer soft template was converted into a solid carbon framework by heat treatment in an inert gas prior to calcinations in air to sustain the mesoporous structure of tungsten oxide. The multidirectional mesoporous structures of tungsten oxide with small crystalline size, large specific surface area, and superior physical characteristics enabled the rapid and effective accession of analytic gas molecules. As a result, the sensor response was enhanced and the response and recovery times were reduced, in which the mesoporous tungsten oxide based gas sensor exhibited a superior response of 21,155% to 5 ppm NO{sub 2}. In addition, the developed sensor exhibited selective detection of low NO{sub 2} concentration in ammonia and ethanol at a low temperature of approximately 150 °C.

  3. CO2 sensor versus Volatile Organic Compounds (VOC) sensor – analysis of field measurement data and implications for demand controlled ventilation

    DEFF Research Database (Denmark)

    Kolarik, Jakub

    2014-01-01

    The study investigated performance of two commercially available non-selective metal oxide semiconductor VOC sensors and two commercially available non dispersive infrared CO2 sensors installed in one person office. The office was equipped with demand controlled ventilation. The signals from VOC...

  4. Development of semiconductor radiation sensors for portable alarm-dosimeter

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Y. K.; Moon, B. S.; Chung, C. E.; Hong, S. B.; Kim, J. Y.; Kim, J. B.; Han, S. H.; Lee, W. G. [Korea Atomic Energy Research Institute, Taejeon (Korea)

    2001-01-01

    We studied Semiconductor Radiation Sensors for Portable Alarm-Dosimeter. We calculated response functions for gamma energy 0.021, 0.122, 0.662, 0.835, 1.2 MeV using EGS4 codes. When we measured at various distance from source to detector, the detection efficiency of Si semiconductor detector was better than that of GM tube. The linear absorption coefficients of steel and aluminum plate were measured. These experimental results of the response of detector for intensity of radiation field coincide to the theoretical expectation. The count value of Si detector was changed with changing thickness of steel as changing threshold voltage of discriminator, and the linear absorption coefficient increased with increasing threshold voltage. Radiation detection efficiency shows difference at each threshold voltage condition. This results coincided to the theoretical simulation. 33 refs., 27 figs., 8 tabs. (Author)

  5. Origin of high photoconductive gain in fully transparent heterojunction nanocrystalline oxide image sensors and interconnects.

    Science.gov (United States)

    Jeon, Sanghun; Song, Ihun; Lee, Sungsik; Ryu, Byungki; Ahn, Seung-Eon; Lee, Eunha; Kim, Young; Nathan, Arokia; Robertson, John; Chung, U-In

    2014-11-05

    A technique for invisible image capture using a photosensor array based on transparent conducting oxide semiconductor thin-film transistors and transparent interconnection technologies is presented. A transparent conducting layer is employed for the sensor electrodes as well as interconnection in the array, providing about 80% transmittance at visible-light wavelengths. The phototransistor is a Hf-In-Zn-O/In-Zn-O heterostructure yielding a high quantum-efficiency in the visible range. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Multichannel, time-resolved picosecond laser ultrasound imaging and spectroscopy with custom complementary metal-oxide-semiconductor detector

    International Nuclear Information System (INIS)

    Smith, Richard J.; Light, Roger A.; Johnston, Nicholas S.; Pitter, Mark C.; Somekh, Mike G.; Sharples, Steve D.

    2010-01-01

    This paper presents a multichannel, time-resolved picosecond laser ultrasound system that uses a custom complementary metal-oxide-semiconductor linear array detector. This novel sensor allows parallel phase-sensitive detection of very low contrast modulated signals with performance in each channel comparable to that of a discrete photodiode and a lock-in amplifier. Application of the instrument is demonstrated by parallelizing spatial measurements to produce two-dimensional thickness maps on a layered sample, and spectroscopic parallelization is demonstrated by presenting the measured Brillouin oscillations from a gallium arsenide wafer. This paper demonstrates the significant advantages of our approach to pump probe systems, especially picosecond ultrasonics.

  7. Multichannel, time-resolved picosecond laser ultrasound imaging and spectroscopy with custom complementary metal-oxide-semiconductor detector

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Richard J.; Light, Roger A.; Johnston, Nicholas S.; Pitter, Mark C.; Somekh, Mike G. [Institute of Biophysics, Imaging and Optical Science, University of Nottingham, Nottinghamshire NG7 2RD (United Kingdom); Sharples, Steve D. [Applied Optics Group, Electrical Systems and Optics Research Division, University of Nottingham, Nottinghamshire NG7 2RD (United Kingdom)

    2010-02-15

    This paper presents a multichannel, time-resolved picosecond laser ultrasound system that uses a custom complementary metal-oxide-semiconductor linear array detector. This novel sensor allows parallel phase-sensitive detection of very low contrast modulated signals with performance in each channel comparable to that of a discrete photodiode and a lock-in amplifier. Application of the instrument is demonstrated by parallelizing spatial measurements to produce two-dimensional thickness maps on a layered sample, and spectroscopic parallelization is demonstrated by presenting the measured Brillouin oscillations from a gallium arsenide wafer. This paper demonstrates the significant advantages of our approach to pump probe systems, especially picosecond ultrasonics.

  8. Metal oxide gas sensors on the nanoscale

    Science.gov (United States)

    Plecenik, A.; Haidry, A. A.; Plecenik, T.; Durina, P.; Truchly, M.; Mosko, M.; Grancic, B.; Gregor, M.; Roch, T.; Satrapinskyy, L.; Moskova, A.; Mikula, M.; Kus, P.

    2014-06-01

    Low cost, low power and highly sensitive gas sensors operating at room temperature are very important devices for controlled hydrogen gas production and storage. One of the disadvantages of chemosensors is their high operating temperature (usually 200 - 400 °C), which excludes such type of sensors from usage in explosive environment. In this report, a new concept of gas chemosensors operating at room temperature based on TiO2 thin films is discussed. Integration of such sensor is fully compatible with sub-100 nm semiconductor technology and could be transferred directly from labor to commercial sphere.

  9. Surface Embedded Metal Oxide Sensors (SEMOS)

    DEFF Research Database (Denmark)

    Jespersen, Jesper Lebæk; Talat Ali, Syed; Pleth Nielsen, Lars

    SEMOS is a joint project between Aalborg University, Danish Technological Institute and Danish Technical University in which micro temperature sensors and metal oxide-based gas sensors are developed and tested in a simulated fuel cell environment as well as in actual working fuel cells. Initially......, sensors for measuring the temperatures in an operating HT-PEM (High Temperature-Proton Exchange Membrane) fuel cell are developed for detecting in-plane temperature variations. 5 different tracks for embedded thermal sensors are investigated. The fuel cell MEA (Membrane Electrode Assembly) is quite...... complex and sensors are not easily implemented in the construction. Hence sensor interface and sensor position must therefore be chosen carefully in order to make the sensors as non-intrusive as possible. Metal Oxide Sensors (MOX) for measuring H2, O2 and CO concentration in a fuel cell environment...

  10. Long-Term Stability of Oxide Nanowire Sensors via Heavily Doped Oxide Contact.

    Science.gov (United States)

    Zeng, Hao; Takahashi, Tsunaki; Kanai, Masaki; Zhang, Guozhu; He, Yong; Nagashima, Kazuki; Yanagida, Takeshi

    2017-12-22

    Long-term stability of a chemical sensor is an essential quality for long-term collection of data related to exhaled breath, environmental air, and other sources in the Internet of things (IoT) era. Although an oxide nanowire sensor has shown great potential as a chemical sensor, the long-term stability of sensitivity has not been realized yet due to electrical degradation under harsh sensing conditions. Here, we report a rational concept to accomplish long-term electrical stability of metal oxide nanowire sensors via introduction of a heavily doped metal oxide contact layer. Antimony-doped SnO 2 (ATO) contacts on SnO 2 nanowires show much more stable and lower electrical contact resistance than conventional Ti contacts for high temperature (200 °C) conditions, which are required to operate chemical sensors. The stable and low contact resistance of ATO was confirmed for at least 1960 h under 200 °C in open air. This heavily doped oxide contact enables us to realize the long-term stability of SnO 2 nanowire sensors while maintaining the sensitivity for both NO 2 gas and light (photo) detections. The applicability of our method is confirmed for sensors on a flexible polyethylene naphthalate (PEN) substrate. Since the proposed fundamental concept can be applied to various oxide nanostructures, it will give a foundation for designing long-term stable oxide nanomaterial-based IoT sensors.

  11. CMOS Active-Pixel Image Sensor With Intensity-Driven Readout

    Science.gov (United States)

    Langenbacher, Harry T.; Fossum, Eric R.; Kemeny, Sabrina

    1996-01-01

    Proposed complementary metal oxide/semiconductor (CMOS) integrated-circuit image sensor automatically provides readouts from pixels in order of decreasing illumination intensity. Sensor operated in integration mode. Particularly useful in number of image-sensing tasks, including diffractive laser range-finding, three-dimensional imaging, event-driven readout of sparse sensor arrays, and star tracking.

  12. Cannula sensor for nitric oxide detection

    Energy Technology Data Exchange (ETDEWEB)

    Glazier, S.A. [National Institute of Standard and Technology, Gaithersburg, MD (United States)

    1995-12-31

    Nitric oxide (NO) has received much attention because of its numerous roles in mammalian systems. It has been found in the brain and nervous system to act as a neurotransmitter, in blood vessels as a blood pressure regulator, in the immune system to act as a bactericide and tumorcide, and in other postulated roles as well. Nitric oxide is produced in mammalian cells by the enzyme nitric oxide synthetase. Once produced, NO is oxidized or reacts rapidly with components in living systems and hence has a short half-life. Only a few sensors have been constructed which can detect NO at nanomolar to micromolar levels found in these systems. We are currently examining the use of a cannula sensor employing oxyhemoglobin for NO detection. This sensor continuously draws in liquid sample at a low rate and immediately reacts it with oxyhemoglobin. The absorbance changes which accompany the reaction are monitored. The sensor has a linear response range from approximately 50 to 1000 nM of NO in aqueous solution. Its utility in monitoring NO produced by stimulated murine macrophage cells (RAW 264.7) in culture is currently being examined. The sensor design is generic in that it can also employ fluorescence and chemiluminescence detection chemistries which may allow lower detection limits to be achieved. Details of the sensor`s performance will be given.

  13. Hydrogen ion sensors based on indium tin oxide thin film using radio frequency sputtering system

    International Nuclear Information System (INIS)

    Chiang, Jung-Lung; Jhan, Syun-Sheng; Hsieh, Shu-Chen; Huang, An-Li

    2009-01-01

    Indium tin oxide (ITO) thin films were deposited onto Si and SiO 2 /Si substrates using a radio frequency sputtering system with a grain size of 30-50 nm and thickness of 270-280 nm. ITO/Si and ITO/SiO 2 /Si sensing structures were achieved and connected to a standard metal-oxide-semiconductor field-effect transistor (MOSFET) as an ITO pH extended-gate field-effect transistor (ITO pH-EGFET). The semiconductor parameter analysis measurement (Keithley 4200) was utilized to measure the current-voltage (I-V) characteristics curves and study the sensing properties of the ITO pH-EGFET. The linear pH voltage sensitivities were about 41.43 and 43.04 mV/pH for the ITO/Si and ITO/SiO 2 /Si sensing structures, respectively. At the same time, both pH current sensitivities were about 49.86 and 51.73 μA/pH, respectively. Consequently, both sensing structures can be applied as extended-gate sensing heads. The separative structure is suitable for application as a disposable pH sensor.

  14. Measurement of reaction heats using a polysilicon-based microcalorimetric sensor

    NARCIS (Netherlands)

    Vereshchagina, E.; Wolters, Robertus A.M.; Gardeniers, Johannes G.E.

    2011-01-01

    In this work we present a low-cost, low-power, small sample volume microcalorimetric sensor for the measurement of reaction heats. The polysilicon-based microcalorimetric sensor combines several advantages: (i) complementary metal oxide semiconductor technology (CMOS) for future integration; (ii)

  15. Widely bandgap tunable amorphous Cd–Ga–O oxide semiconductors exhibiting electron mobilities ≥10 cm{sup 2 }V{sup −1 }s{sup −1}

    Energy Technology Data Exchange (ETDEWEB)

    Yanagi, Hiroshi, E-mail: hyanagi@yamanashi.ac.jp [Graduate Faculty of Interdisciplinary Research, University of Yamanashi, 4-4-37 Takeda, Kofu, Yamanashi 400-8510 (Japan); Sato, Chiyuki; Kimura, Yota [Interdisciplinary Graduate School of Medicine and Engineering, University of Yamanashi, 4-4-37 Takeda, Kofu, Yamanashi 400-8510 (Japan); Suzuki, Issei; Omata, Takahisa [Division of Material and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox S2-16, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Hosono, Hideo [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox S2-16, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Frontier Research Center, Tokyo Institute of Technology, Mailbox S2-16, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan)

    2015-02-23

    Amorphous oxide semiconductors exhibit large electron mobilities; however, their bandgaps are either too large for solar cells or too small for deep ultraviolet applications depending on the materials system. Herein, we demonstrate that amorphous Cd–Ga–O semiconductors display bandgaps covering the entire 2.5–4.3 eV region while maintaining large electron mobilities ≥10 cm{sup 2 }V{sup −1 }s{sup −1}. The band alignment diagram obtained by ultraviolet photoemission spectroscopy and the bandgap values reveal that these semiconductors form type-II heterojunctions with p-type Cu{sub 2}O, which is suitable for solar cells and solar-blind ultraviolet sensors.

  16. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    Science.gov (United States)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  17. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  18. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    Science.gov (United States)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  19. Evaluation of semiconductor gas sensor system for ethanol determination during fermentation processes

    Energy Technology Data Exchange (ETDEWEB)

    Picque, D; Corrieu, G

    1988-10-01

    Using commercial gas sensitive semi-conductors, an ethanol sensor has been constructed which operates by direct immersion in fermentation media. The calibration range of 0.1 to 10 or 13 % depending on the component. However, they are very often subjected to considerable drift (in the same case up to 10 %/h of the measured value). The electrical resistance of component may vary by a factor of 1 to 5 for a well-defined ethanol concentration. The effects of temperature changes in fermentation media are easily compensated. Other volatile compounds (methanol, ammonia,...) substantially affect component responses. Thus, all work on sensors requires careful calibration. Wine fermentation processes can be monitored satisfactorily, providing the sensor is recalibrated about every six hours.

  20. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  1. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  2. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    Science.gov (United States)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  3. Fabrication of SnO2-Reduced Graphite Oxide Monolayer-Ordered Porous Film Gas Sensor with Tunable Sensitivity through Ultra-Violet Light Irradiation

    Science.gov (United States)

    Xu, Shipu; Sun, Fengqiang; Yang, Shumin; Pan, Zizhao; Long, Jinfeng; Gu, Fenglong

    2015-01-01

    A new graphene-based composite structure, monolayer-ordered macroporous film composed of a layer of orderly arranged macropores, was reported. As an example, SnO2-reduced graphite oxide monolayer-ordered macroporous film was fabricated on a ceramic tube substrate under the irradiation of ultra-violet light (UV), by taking the latex microsphere two-dimensional colloid crystal as a template. Graphite oxide sheets dispersed in SnSO4 aqueous solution exhibited excellent affinity with template microspheres and were in situ incorporated into the pore walls during UV-induced growth of SnO2. The growing and the as-formed SnO2, just like other photocatalytic semiconductor, could be excited to produce electrons and holes under UV irradiation. Electrons reduced GO and holes adsorbed corresponding negative ions, which changed the properties of the composite film. This film was directly used as gas-sensor and was able to display high sensitivity in detecting ethanol gas. More interestingly, on the basis of SnO2-induced photochemical behaviours, this sensor demonstrated tunable sensitivity when UV irradiation time was controlled during the fabrication process and post in water, respectively. This study provides efficient ways of conducting the in situ fabrication of a semiconductor-reduced graphite oxide film device with uniform surface structure and controllable properties. PMID:25758292

  4. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  5. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  6. Conductivity in transparent oxide semiconductors.

    Science.gov (United States)

    King, P D C; Veal, T D

    2011-08-24

    Despite an extensive research effort for over 60 years, an understanding of the origins of conductivity in wide band gap transparent conducting oxide (TCO) semiconductors remains elusive. While TCOs have already found widespread use in device applications requiring a transparent contact, there are currently enormous efforts to (i) increase the conductivity of existing materials, (ii) identify suitable alternatives, and (iii) attempt to gain semiconductor-engineering levels of control over their carrier density, essential for the incorporation of TCOs into a new generation of multifunctional transparent electronic devices. These efforts, however, are dependent on a microscopic identification of the defects and impurities leading to the high unintentional carrier densities present in these materials. Here, we review recent developments towards such an understanding. While oxygen vacancies are commonly assumed to be the source of the conductivity, there is increasing evidence that this is not a sufficient mechanism to explain the total measured carrier concentrations. In fact, many studies suggest that oxygen vacancies are deep, rather than shallow, donors, and their abundance in as-grown material is also debated. We discuss other potential contributions to the conductivity in TCOs, including other native defects, their complexes, and in particular hydrogen impurities. Convincing theoretical and experimental evidence is presented for the donor nature of hydrogen across a range of TCO materials, and while its stability and the role of interstitial versus substitutional species are still somewhat open questions, it is one of the leading contenders for yielding unintentional conductivity in TCOs. We also review recent work indicating that the surfaces of TCOs can support very high carrier densities, opposite to the case for conventional semiconductors. In thin-film materials/devices and, in particular, nanostructures, the surface can have a large impact on the total

  7. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  8. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  9. Real-time two-dimensional imaging of potassium ion distribution using an ion semiconductor sensor with charged coupled device technology.

    Science.gov (United States)

    Hattori, Toshiaki; Masaki, Yoshitomo; Atsumi, Kazuya; Kato, Ryo; Sawada, Kazuaki

    2010-01-01

    Two-dimensional real-time observation of potassium ion distributions was achieved using an ion imaging device based on charge-coupled device (CCD) and metal-oxide semiconductor technologies, and an ion selective membrane. The CCD potassium ion image sensor was equipped with an array of 32 × 32 pixels (1024 pixels). It could record five frames per second with an area of 4.16 × 4.16 mm(2). Potassium ion images were produced instantly. The leaching of potassium ion from a 3.3 M KCl Ag/AgCl reference electrode was dynamically monitored in aqueous solution. The potassium ion selective membrane on the semiconductor consisted of plasticized poly(vinyl chloride) (PVC) with bis(benzo-15-crown-5). The addition of a polyhedral oligomeric silsesquioxane to the plasticized PVC membrane greatly improved adhesion of the membrane onto Si(3)N(4) of the semiconductor surface, and the potential response was stabilized. The potential response was linear from 10(-2) to 10(-5) M logarithmic concentration of potassium ion. The selectivity coefficients were K(K(+),Li(+))(pot) = 10(-2.85), K(K(+),Na(+))(pot) = 10(-2.30), K(K(+),Rb(+))(pot) =10(-1.16), and K(K(+),Cs(+))(pot) = 10(-2.05).

  10. Low Power and High Sensitivity MOSFET-Based Pressure Sensor

    International Nuclear Information System (INIS)

    Zhang Zhao-Hua; Ren Tian-Ling; Zhang Yan-Hong; Han Rui-Rui; Liu Li-Tian

    2012-01-01

    Based on the metal-oxide-semiconductor field effect transistor (MOSFET) stress sensitive phenomenon, a low power MOSFET pressure sensor is proposed. Compared with the traditional piezoresistive pressure sensor, the present pressure sensor displays high performances on sensitivity and power consumption. The sensitivity of the MOSFET sensor is raised by 87%, meanwhile the power consumption is decreased by 20%. (cross-disciplinary physics and related areas of science and technology)

  11. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  12. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  13. Semiconductor sensor for optically measuring polarization rotation of optical wavefronts using rare earth iron garnets

    Science.gov (United States)

    Duncan, Paul G.

    2002-01-01

    Described are the design of a rare earth iron garnet sensor element, optical methods of interrogating the sensor element, methods of coupling the optical sensor element to a waveguide, and an optical and electrical processing system for monitoring the polarization rotation of a linearly polarized wavefront undergoing external modulation due to magnetic field or electrical current fluctuation. The sensor element uses the Faraday effect, an intrinsic property of certain rare-earth iron garnet materials, to rotate the polarization state of light in the presence of a magnetic field. The sensor element may be coated with a thin-film mirror to effectively double the optical path length, providing twice the sensitivity for a given field strength or temperature change. A semiconductor sensor system using a rare earth iron garnet sensor element is described.

  14. CMOS Integrated Carbon Nanotube Sensor

    International Nuclear Information System (INIS)

    Perez, M. S.; Lerner, B.; Boselli, A.; Lamagna, A.; Obregon, P. D. Pareja; Julian, P. M.; Mandolesi, P. S.; Buffa, F. A.

    2009-01-01

    Recently carbon nanotubes (CNTs) have been gaining their importance as sensors for gases, temperature and chemicals. Advances in fabrication processes simplify the formation of CNT sensor on silicon substrate. We have integrated single wall carbon nanotubes (SWCNTs) with complementary metal oxide semiconductor process (CMOS) to produce a chip sensor system. The sensor prototype was designed and fabricated using a 0.30 um CMOS process. The main advantage is that the device has a voltage amplifier so the electrical measure can be taken and amplified inside the sensor. When the conductance of the SWCNTs varies in response to media changes, this is observed as a variation in the output tension accordingly.

  15. Meso-/Nanoporous Semiconducting Metal Oxides for Gas Sensor Applications

    Directory of Open Access Journals (Sweden)

    Nguyen Duc Hoa

    2015-01-01

    Full Text Available Development and/or design of new materials and/or structures for effective gas sensor applications with fast response and high sensitivity, selectivity, and stability are very important issues in the gas sensor technology. This critical review introduces our recent progress in the development of meso-/nanoporous semiconducting metal oxides and their applications to gas sensors. First, the basic concepts of resistive gas sensors and the recent synthesis of meso-/nanoporous metal oxides for gas sensor applications are introduced. The advantages of meso-/nanoporous metal oxides are also presented, taking into account the crystallinity and ordered/disordered porous structures. Second, the synthesis methods of meso-/nanoporous metal oxides including the soft-template, hard-template, and temple-free methods are introduced, in which the advantages and disadvantages of each synthetic method are figured out. Third, the applications of meso-/nanoporous metal oxides as gas sensors are presented. The gas nanosensors are designed based on meso-/nanoporous metal oxides for effective detection of toxic gases. The sensitivity, selectivity, and stability of the meso-/nanoporous gas nanosensors are also discussed. Finally, some conclusions and an outlook are presented.

  16. Water quality assessment by an integrated multi-sensor based on semiconductor RuO2 nanostructures

    International Nuclear Information System (INIS)

    Zhuiykov, Serge; O'Brien, David; Best, Michael

    2009-01-01

    A multi-sensor based on a nanostructured semiconductor ruthenium oxide (RuO 2 ) sensing electrode (RuO 2 -SE) deposited on an alumina substrate and capable of being coupled with a simple turbidity sensor has been evaluated for long-term pH stability during a 12-month non-stop trial. The multi-sensor is designed to detect the main parameters of water quality: pH, dissolved oxygen (DO), temperature, conductivity and turbidity over a temperature range of 9–30 °C. The morphology of the film SE used in the sensor structure was investigated by scanning electron microscopy and energy dispersive x-ray-analysis at the beginning of the trial and after 12 months of service. It was found that both morphology and surface compositions of nanostructured RuO 2 -SEs did not change significantly. They keep their high sensitivity to adsorption of superoxide ions (O 2 − ) despite heavy depositions of bio-fouling. The sensors with a RuO 2 -SE have demonstrated a stable Nernstian response to pH from 2.0 to 13.0 and were also capable of measuring DO in the range of 0.6–8.0 ppm. The measurement results show very good linearity, and excellent reproducibility was obtained during the trial. The Nernstian slope was approximately 58 mV pH −1 at a temperature of 23 °C. Although RuO 2 -SEs have been shown to exhibit very good response time for pH changes, within a few seconds at a temperature of 23 °C, as the water temperature cooled down, the sensor response time increased significantly and was about 8–10 min or longer at a temperature of 9 °C. The influence of hydrogen ion (H + ) diffusion in nanostructured RuO 2 films on the output emf drift during pH measurements was also investigated. Additional turbidity and conductivity measurements revealed that the multi-sensor is capable of measuring both high and low ranges at different temperatures, exhibiting a high linearity of characteristics

  17. Epitaxy of Polar Oxides and Semiconductors

    Science.gov (United States)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  18. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  19. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  20. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  1. Binary copper oxide semiconductors: From materials towards devices

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; Heiliger, C.; Heinemann, M. [1. Physics Institute, Justus-Liebig University of Giessen (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics (IEP), Otto-von-Guericke University Magdeburg (Germany); Shokovets, S. [Institute of Physics, Ilmenau University of Technology (Germany); Mueller, C.; Ronning, C. [Institute of Solid State Physics, Friedrich Schiller University Jena (Germany)

    2012-08-15

    Copper-oxide compound semiconductors provide a unique possibility to tune the optical and electronic properties from insulating to metallic conduction, from bandgap energies of 2.1 eV to the infrared at 1.40 eV, i.e., right into the middle of the efficiency maximum for solar-cell applications. Three distinctly different phases, Cu{sub 2}O, Cu{sub 4}O{sub 3}, and CuO, of this binary semiconductor can be prepared by thin-film deposition techniques, which differ in the oxidation state of copper. Their material properties as far as they are known by experiment or predicted by theory are reviewed. They are supplemented by new experimental results from thin-film growth and characterization, both will be critically discussed and summarized. With respect to devices the focus is on solar-cell performances based on Cu{sub 2}O. It is demonstrated by photoelectron spectroscopy (XPS) that the heterojunction system p-Cu{sub 2}O/n-AlGaN is much more promising for the application as efficient solar cells than that of p-Cu{sub 2}O/n-ZnO heterojunction devices that have been favored up to now. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Energy efficiency enhancements for semiconductors, communications, sensors and software achieved in cool silicon cluster project

    Science.gov (United States)

    Ellinger, Frank; Mikolajick, Thomas; Fettweis, Gerhard; Hentschel, Dieter; Kolodinski, Sabine; Warnecke, Helmut; Reppe, Thomas; Tzschoppe, Christoph; Dohl, Jan; Carta, Corrado; Fritsche, David; Tretter, Gregor; Wiatr, Maciej; Detlef Kronholz, Stefan; Mikalo, Ricardo Pablo; Heinrich, Harald; Paulo, Robert; Wolf, Robert; Hübner, Johannes; Waltsgott, Johannes; Meißner, Klaus; Richter, Robert; Michler, Oliver; Bausinger, Markus; Mehlich, Heiko; Hahmann, Martin; Möller, Henning; Wiemer, Maik; Holland, Hans-Jürgen; Gärtner, Roberto; Schubert, Stefan; Richter, Alexander; Strobel, Axel; Fehske, Albrecht; Cech, Sebastian; Aßmann, Uwe; Pawlak, Andreas; Schröter, Michael; Finger, Wolfgang; Schumann, Stefan; Höppner, Sebastian; Walter, Dennis; Eisenreich, Holger; Schüffny, René

    2013-07-01

    An overview about the German cluster project Cool Silicon aiming at increasing the energy efficiency for semiconductors, communications, sensors and software is presented. Examples for achievements are: 1000 times reduced gate leakage in transistors using high-fc (HKMG) materials compared to conventional poly-gate (SiON) devices at the same technology node; 700 V transistors integrated in standard 0.35 μm CMOS; solar cell efficiencies above 19% at cars Contribution to the Topical Issue “International Semiconductor Conference Dresden-Grenoble - ISCDG 2012”, Edited by Gérard Ghibaudo, Francis Balestra and Simon Deleonibus.

  3. Indium Tin Oxide Resistor-Based Nitric Oxide Microsensors

    Science.gov (United States)

    Xu, Jennifer C.; Hunter, Gary W.; Gonzalez, Jose M., III; Liu, Chung-Chiun

    2012-01-01

    A sensitive resistor-based NO microsensor, with a wide detection range and a low detection limit, has been developed. Semiconductor microfabrication techniques were used to create a sensor that has a simple, robust structure with a sensing area of 1.10 0.99 mm. A Pt interdigitated structure was used for the electrodes to maximize the sensor signal output. N-type semiconductor indium tin oxide (ITO) thin film was sputter-deposited as a sensing material on the electrode surface, and between the electrode fingers. Alumina substrate (250 m in thickness) was sequentially used for sensor fabrication. The resulting sensor was tested by applying a voltage across the two electrodes and measuring the resulting current. The sensor was tested at different concentrations of NO-containing gas at a range of temperatures. Preliminary results showed that the sensor had a relatively high sensitivity to NO at 450 C and 1 V. NO concentrations from ppm to ppb ranges were detected with the low limit of near 159 ppb. Lower NO concentrations are being tested. Two sensing mechanisms were involved in the NO gas detection at ppm level: adsorption and oxidation reactions, whereas at ppb level of NO, only one sensing mechanism of adsorption was involved. The NO microsensor has the advantages of high sensitivity, small size, simple batch fabrication, high sensor yield, low cost, and low power consumption due to its microsize. The resistor-based thin-film sensor is meant for detection of low concentrations of NO gas, mainly in the ppb or lower range, and is being developed concurrently with other sensor technology for multispecies detection. This development demonstrates that ITO is a sensitive sensing material for NO detection. It also provides crucial information for future selection of nanostructured and nanosized NO sensing materials, which are expected to be more sensitive and to consume less power.

  4. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    Science.gov (United States)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  5. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  6. Inhomogeneous Oxygen Vacancy Distribution in Semiconductor Gas Sensors: Formation, Migration and Determination on Gas Sensing Characteristics.

    Science.gov (United States)

    Liu, Jianqiao; Gao, Yinglin; Wu, Xu; Jin, Guohua; Zhai, Zhaoxia; Liu, Huan

    2017-08-10

    The density of oxygen vacancies in semiconductor gas sensors was often assumed to be identical throughout the grain in the numerical discussion of the gas-sensing mechanism of the devices. In contrast, the actual devices had grains with inhomogeneous distribution of oxygen vacancy under non-ideal conditions. This conflict between reality and discussion drove us to study the formation and migration of the oxygen defects in semiconductor grains. A model of the gradient-distributed oxygen vacancy was proposed based on the effects of cooling rate and re-annealing on semiconductive thin films. The model established the diffusion equations of oxygen vacancy according to the defect kinetics of diffusion and exclusion. We described that the steady-state and transient-state oxygen vacancy distributions, which were used to calculate the gas-sensing characteristics of the sensor resistance and response to reducing gases under two different conditions. The gradient-distributed oxygen vacancy model had the applications in simulating the sensor performances, such as the power law, the grain size effect and the effect of depletion layer width.

  7. Inhomogeneous Oxygen Vacancy Distribution in Semiconductor Gas Sensors: Formation, Migration and Determination on Gas Sensing Characteristics

    Directory of Open Access Journals (Sweden)

    Jianqiao Liu

    2017-08-01

    Full Text Available The density of oxygen vacancies in semiconductor gas sensors was often assumed to be identical throughout the grain in the numerical discussion of the gas-sensing mechanism of the devices. In contrast, the actual devices had grains with inhomogeneous distribution of oxygen vacancy under non-ideal conditions. This conflict between reality and discussion drove us to study the formation and migration of the oxygen defects in semiconductor grains. A model of the gradient-distributed oxygen vacancy was proposed based on the effects of cooling rate and re-annealing on semiconductive thin films. The model established the diffusion equations of oxygen vacancy according to the defect kinetics of diffusion and exclusion. We described that the steady-state and transient-state oxygen vacancy distributions, which were used to calculate the gas-sensing characteristics of the sensor resistance and response to reducing gases under two different conditions. The gradient-distributed oxygen vacancy model had the applications in simulating the sensor performances, such as the power law, the grain size effect and the effect of depletion layer width.

  8. LaF3 thin films as chemically sensitive material for semiconductor sensors

    International Nuclear Information System (INIS)

    Szeponik, J.; Moritz, W.; Sellam, F.

    1991-01-01

    A new kind of semiconductor based fluoride sensor was prepared by growing thin polycrystalline LaF 3 films directly on silicon substrates using vacuum vapour deposition technique. The EICS (Electrolyte Ion Conductor Semiconductor) structure was investigated by means of impedance spectroscopy, C-V measurements and exchange measurements with labeled ions ( 18 F). Whereas charge and potential conditions at the LaF 3 /electrolyte interface are governed by the fast fluoride exchange the LaF 3 bulk and the blocked Si/LaF 3 interface determine the electrical behavior. Although the Si/LaF 3 contact is not reversible the potential stability of the EICS structure is surprisingly high. Additional results at epitaxial LaF 3 layers, prepared by MBE, were taken into account for comparision with those at polycrystalline layers. (orig.)

  9. Ultrawide band gap amorphous oxide semiconductor, Ga–Zn–O

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Junghwan, E-mail: JH.KIM@lucid.msl.titech.ac.jp [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Miyokawa, Norihiko; Sekiya, Takumi; Ide, Keisuke [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Toda, Yoshitake [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan)

    2016-09-01

    We fabricated amorphous oxide semiconductor films, a-(Ga{sub 1–x}Zn{sub x})O{sub y}, at room temperature on glass, which have widely tunable band gaps (E{sub g}) ranging from 3.47–4.12 eV. The highest electron Hall mobility ~ 7 cm{sup 2} V{sup −1} s{sup −1} was obtained for E{sub g} = ~ 3.8 eV. Ultraviolet photoemission spectroscopy revealed that the increase in E{sub g} with increasing the Ga content comes mostly from the deepening of the valence band maximum level while the conduction band minimum level remains almost unchanged. These characteristics are explained by their electronic structures. As these films can be fabricated at room temperature on plastic, this achievement extends the applications of flexible electronics to opto-electronic integrated circuits associated with deep ultraviolet region. - Highlights: • Incorporation of H/H{sub 2}O stabilizes the amorphous phase. • Ultrawide band gap (~ 3.8 eV) amorphous oxide semiconductor was fabricated. • The increase in band gap comes mostly from the deepening of the valence band maximum level. • Donor level is more likely aligned to the valence band maximum level.

  10. Gas Selectivity Control in Co3O4 Sensor via Concurrent Tuning of Gas Reforming and Gas Filtering using Nanoscale Hetero-Overlayer of Catalytic Oxides.

    Science.gov (United States)

    Jeong, Hyun-Mook; Jeong, Seong-Yong; Kim, Jae-Hyeok; Kim, Bo-Young; Kim, Jun-Sik; Abdel-Hady, Faissal; Wazzan, Abdulaziz A; Al-Turaif, Hamad Ali; Jang, Ho Won; Lee, Jong-Heun

    2017-11-29

    Co 3 O 4 sensors with a nanoscale TiO 2 or SnO 2 catalytic overlayer were prepared by screen-printing of Co 3 O 4 yolk-shell spheres and subsequent e-beam evaporation of TiO 2 and SnO 2 . The Co 3 O 4 sensors with 5 nm thick TiO 2 and SnO 2 overlayers showed high responses (resistance ratios) to 5 ppm xylene (14.5 and 28.8) and toluene (11.7 and 16.2) at 250 °C with negligible responses to interference gases such as ethanol, HCHO, CO, and benzene. In contrast, the pure Co 3 O 4 sensor did not show remarkable selectivity toward any specific gas. The response and selectivity to methylbenzenes and ethanol could be systematically controlled by selecting the catalytic overlayer material, varying the overlayer thickness, and tuning the sensing temperature. The significant enhancement of the selectivity for xylene and toluene was attributed to the reforming of less reactive methylbenzenes into more reactive and smaller species and oxidative filtering of other interference gases, including ubiquitous ethanol. The concurrent control of the gas reforming and oxidative filtering processes using a nanoscale overlayer of catalytic oxides provides a new, general, and powerful tool for designing highly selective and sensitive oxide semiconductor gas sensors.

  11. New Digital Metal-Oxide (MOx Sensor Platform

    Directory of Open Access Journals (Sweden)

    Daniel Rüffer

    2018-03-01

    Full Text Available The application of metal oxide gas sensors in Internet of Things (IoT devices and mobile platforms like wearables and mobile phones offers new opportunities for sensing applications. Metal-oxide (MOx sensors are promising candidates for such applications, thanks to the scientific progresses achieved in recent years. For the widespread application of MOx sensors, viable commercial offerings are required. In this publication, the authors show that with the new Sensirion Gas Platform (SGP a milestone in the commercial application of MOx technology has been reached. The architecture of the new platform and its performance in selected applications are presented.

  12. A drift free nernstian iridium oxide PH sensor

    NARCIS (Netherlands)

    Hendrikse, J.; Olthuis, Wouter; Bergveld, Piet

    1997-01-01

    A novel way of eliminating drift problems in metal oxide pH sensors is presented. The method employs a FET-structure under the electrode that uses the metal oxide as a gate contact. In addition to the enhanced drift properties, the new sensor has an almost ideal nernstian response. First a

  13. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    Science.gov (United States)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  14. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  15. Color-selective photodetection from intermediate colloidal quantum dots buried in amorphous-oxide semiconductors.

    Science.gov (United States)

    Cho, Kyung-Sang; Heo, Keun; Baik, Chan-Wook; Choi, Jun Young; Jeong, Heejeong; Hwang, Sungwoo; Lee, Sang Yeol

    2017-10-10

    We report color-selective photodetection from intermediate, monolayered, quantum dots buried in between amorphous-oxide semiconductors. The proposed active channel in phototransistors is a hybrid configuration of oxide-quantum dot-oxide layers, where the gate-tunable electrical property of silicon-doped, indium-zinc-oxide layers is incorporated with the color-selective properties of quantum dots. A remarkably high detectivity (8.1 × 10 13 Jones) is obtained, along with three major findings: fast charge separation in monolayered quantum dots; efficient charge transport through high-mobility oxide layers (20 cm 2  V -1  s -1 ); and gate-tunable drain-current modulation. Particularly, the fast charge separation rate of 3.3 ns -1 measured with time-resolved photoluminescence is attributed to the intermediate quantum dots buried in oxide layers. These results facilitate the realization of efficient color-selective detection exhibiting a photoconductive gain of 10 7 , obtained using a room-temperature deposition of oxide layers and a solution process of quantum dots. This work offers promising opportunities in emerging applications for color detection with sensitivity, transparency, and flexibility.The development of highly sensitive photodetectors is important for image sensing and optical communication applications. Cho et al., report ultra-sensitive photodetectors based on monolayered quantum dots buried in between amorphous-oxide semiconductors and demonstrate color-detecting logic gates.

  16. Chemical Sensors Based on Metal Oxide Nanostructures

    Science.gov (United States)

    Hunter, Gary W.; Xu, Jennifer C.; Evans, Laura J.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Mike J.; Liu, Chung-Chiun

    2006-01-01

    This paper is an overview of sensor development based on metal oxide nanostructures. While nanostructures such as nanorods show significan t potential as enabling materials for chemical sensors, a number of s ignificant technical challenges remain. The major issues addressed in this work revolve around the ability to make workable sensors. This paper discusses efforts to address three technical barriers related t o the application of nanostructures into sensor systems: 1) Improving contact of the nanostructured materials with electrodes in a microse nsor structure; 2) Controling nanostructure crystallinity to allow co ntrol of the detection mechanism; and 3) Widening the range of gases that can be detected by using different nanostructured materials. It is concluded that while this work demonstrates useful tools for furt her development, these are just the beginning steps towards realizati on of repeatable, controlled sensor systems using oxide based nanostr uctures.

  17. Methods and apparatuses for detection of radiation with semiconductor image sensors

    Science.gov (United States)

    Cogliati, Joshua Joseph

    2018-04-10

    A semiconductor image sensor is repeatedly exposed to high-energy photons while a visible light obstructer is in place to block visible light from impinging on the sensor to generate a set of images from the exposures. A composite image is generated from the set of images with common noise substantially removed so the composite image includes image information corresponding to radiated pixels that absorbed at least some energy from the high-energy photons. The composite image is processed to determine a set of bright points in the composite image, each bright point being above a first threshold. The set of bright points is processed to identify lines with two or more bright points that include pixels therebetween that are above a second threshold and identify a presence of the high-energy particles responsive to a number of lines.

  18. Development and characterization of a semi-conductor laser sensor for real time measurement and identification of atmospheric pollutants

    International Nuclear Information System (INIS)

    Boulos, F.; Zaatar, Y.; Atanas, J.P.; Bechara, J.

    2004-01-01

    Full text.Tunable diode laser absorption spectroscopy (TDLAS) in the near infrared (NIR) using semiconductor lasers of compounds between elements of group III (Ga, Al and In) and group V (P, As and Sb) is being increasingly used in various environmental and industrial process control applications. This technique exploits the unique properties of these laser materials i.e., high coherence, high monochromaticity, low divergence and high brightness to permit rapid sensitive detection with high selectivity and spectral resolution. A computer-interfaced near infrared semiconductor laser sensor has been developed in our laboratory for spectroscopic applications in air pollution monitoring. The sensor can be operated in two configurations: open path free beam coupled to a multiple pass White cell and fiber optic guided beam coupled to an evanescent wave sensor. This paper will present an overview of the system's modulation, sensing and data acquisition methods and some recent measurement results, together with a description of ongoing research and development for the improvement of the system's performance and sensitivity

  19. Group IIB-VIA semiconductor oxide cluster ions

    Science.gov (United States)

    Jayasekharan, Thankan

    2018-05-01

    Metal oxide cluster ions, MnOm± (M = Zn, Cd) and HgnOm- of various stoichiometry have been generated from solid IIB-VIA semiconductor oxides targets, (ZnO(s), CdO(s), and HgO(s)) by using pulse laser desorption ionization time of flight mass spectrometry with a laser of λ = 355 nm. Analysis of mass spectral data indicates the formation of stoichiometric cluster ions viz., (ZnO)n=1-30+ and (CdO)n=1-40+ along with -O bound anions, (ZnO)n=1-30O-, (CdO)n=1-40O- and (HgO)n=1-36O- from their respective solids. Further, metal oxoanions such as ZnOn=2,3-, CdOn=2,3,6-, and HgOn=2,3,6,7- have also been noted signifying the higher coordination ability of both Cd and Hg with O/O2/O3 species.

  20. Microfabricated Chemical Gas Sensors and Sensor Arrays for Aerospace Applications

    Science.gov (United States)

    Hunter, Gary W.

    2005-01-01

    Aerospace applications require the development of chemical sensors with capabilities beyond those of commercially available sensors. In particular, factors such as minimal sensor size, weight, and power consumption are particularly important. Development areas which have potential aerospace applications include launch vehicle leak detection, engine health monitoring, and fire detection. Sensor development for these applications is based on progress in three types of technology: 1) Micromachining and microfabrication (Microsystem) technology to fabricate miniaturized sensors; 2) The use of nanocrystalline materials to develop sensors with improved stability combined with higher sensitivity; 3) The development of high temperature semiconductors, especially silicon carbide. This presentation discusses the needs of space applications as well as the point-contact sensor technology and sensor arrays being developed to address these needs. Sensors to measure hydrogen, hydrocarbons, nitrogen oxides (NO,), carbon monoxide, oxygen, and carbon dioxide are being developed as well as arrays for leak, fire, and emissions detection. Demonstrations of the technology will also be discussed. It is concluded that microfabricated sensor technology has significant potential for use in a range of aerospace applications.

  1. Toward CMOS image sensor based glucose monitoring.

    Science.gov (United States)

    Devadhasan, Jasmine Pramila; Kim, Sanghyo

    2012-09-07

    Complementary metal oxide semiconductor (CMOS) image sensor is a powerful tool for biosensing applications. In this present study, CMOS image sensor has been exploited for detecting glucose levels by simple photon count variation with high sensitivity. Various concentrations of glucose (100 mg dL(-1) to 1000 mg dL(-1)) were added onto a simple poly-dimethylsiloxane (PDMS) chip and the oxidation of glucose was catalyzed with the aid of an enzymatic reaction. Oxidized glucose produces a brown color with the help of chromogen during enzymatic reaction and the color density varies with the glucose concentration. Photons pass through the PDMS chip with varying color density and hit the sensor surface. Photon count was recognized by CMOS image sensor depending on the color density with respect to the glucose concentration and it was converted into digital form. By correlating the obtained digital results with glucose concentration it is possible to measure a wide range of blood glucose levels with great linearity based on CMOS image sensor and therefore this technique will promote a convenient point-of-care diagnosis.

  2. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  3. Metal oxide nanostructures as gas sensing devices

    CERN Document Server

    Eranna, G

    2016-01-01

    Metal Oxide Nanostructures as Gas Sensing Devices explores the development of an integrated micro gas sensor that is based on advanced metal oxide nanostructures and is compatible with modern semiconductor fabrication technology. This sensor can then be used to create a compact, low-power, handheld device for analyzing air ambience. The book first covers current gas sensing tools and discusses the necessity for miniaturized sensors. It then focuses on the materials, devices, and techniques used for gas sensing applications, such as resistance and capacitance variations. The author addresses the issues of sensitivity, concentration, and temperature dependency as well as the response and recovery times crucial for sensors. He also presents techniques for synthesizing different metal oxides, particularly those with nanodimensional structures. The text goes on to highlight the gas sensing properties of many nanostructured metal oxides, from aluminum and cerium to iron and titanium to zinc and zirconium. The final...

  4. Bi-component semiconductor oxide photoanodes for the photoelectrocatalytic oxidation of organic solutes and vapours: a short review with emphasis to TiO2-WO3 photoanodes.

    Science.gov (United States)

    Georgieva, J; Valova, E; Armyanov, S; Philippidis, N; Poulios, I; Sotiropoulos, S

    2012-04-15

    The use of binary semiconductor oxide anodes for the photoelectrocatalytic oxidation of organic species (both in solution and gas phase) is reviewed. In the first part of the review, the principle of electrically assisted photocatalysis is presented, the preparation methods for the most common semiconductor oxide catalysts are briefly mentioned, while the advantages of appropriately chosen semiconductor combinations for efficient UV and visible (vis) light utilization are highlighted. The second part of the review focuses on the discussion of TiO(2)-WO(3) photoanodes (among the most studied bi-component semiconductor oxide systems) and in particular on coatings prepared by electrodeposition/electrosynthesis or powder mixtures (the focus of the authors' research during recent years). Studies concerning the microscopic, spectroscopic and photoelectrochemical characterization of the catalysts are presented and examples of photoanode activity towards typical dissolved organic contaminants as well as organic vapours are given. Particular emphasis is paid to: (a) The dependence of photoactivity on catalyst morphology and composition and (b) the possibility of carrying out photoelectrochemistry in all-solid cells, thus opening up the opportunity for photoelectrocatalytic air treatment. Copyright © 2011 Elsevier B.V. All rights reserved.

  5. Adsorption smoke detector made of thin-film metal-oxide semiconductor sensor

    International Nuclear Information System (INIS)

    Adamian, A.Z.; Adamian, Z.N.; Aroutiounian, V.M.

    2001-01-01

    Based on results of investigations of the thin-film smoke sensors made of Bi 2 O 3 , irresponsive to a change in relative humidity of the environment, an absorption smoke detector processing circuit, where investigated sensor is used as a sensitive element, is proposed. It is shown that such smoke detector is able to function reliably under conditions of high relative humidity of the environment (up to 100%) and it considerably exceeds the known smoke detectors by the sensitivity threshold

  6. Adsorption smoke detector made of thin-film metal-oxide semiconductor sensor

    CERN Document Server

    Adamian, A Z; Aroutiounian, V M

    2001-01-01

    Based on results of investigations of the thin-film smoke sensors made of Bi sub 2 O sub 3 , irresponsive to a change in relative humidity of the environment, an absorption smoke detector processing circuit, where investigated sensor is used as a sensitive element, is proposed. It is shown that such smoke detector is able to function reliably under conditions of high relative humidity of the environment (up to 100%) and it considerably exceeds the known smoke detectors by the sensitivity threshold.

  7. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  8. Thermal Flow Sensors for Harsh Environments.

    Science.gov (United States)

    Balakrishnan, Vivekananthan; Phan, Hoang-Phuong; Dinh, Toan; Dao, Dzung Viet; Nguyen, Nam-Trung

    2017-09-08

    Flow sensing in hostile environments is of increasing interest for applications in the automotive, aerospace, and chemical and resource industries. There are thermal and non-thermal approaches for high-temperature flow measurement. Compared to their non-thermal counterparts, thermal flow sensors have recently attracted a great deal of interest due to the ease of fabrication, lack of moving parts and higher sensitivity. In recent years, various thermal flow sensors have been developed to operate at temperatures above 500 °C. Microelectronic technologies such as silicon-on-insulator (SOI), and complementary metal-oxide semiconductor (CMOS) have been used to make thermal flow sensors. Thermal sensors with various heating and sensing materials such as metals, semiconductors, polymers and ceramics can be selected according to the targeted working temperature. The performance of these thermal flow sensors is evaluated based on parameters such as thermal response time, flow sensitivity. The data from thermal flow sensors reviewed in this paper indicate that the sensing principle is suitable for the operation under harsh environments. Finally, the paper discusses the packaging of the sensor, which is the most important aspect of any high-temperature sensing application. Other than the conventional wire-bonding, various novel packaging techniques have been developed for high-temperature application.

  9. Thermal Flow Sensors for Harsh Environments

    Directory of Open Access Journals (Sweden)

    Vivekananthan Balakrishnan

    2017-09-01

    Full Text Available Flow sensing in hostile environments is of increasing interest for applications in the automotive, aerospace, and chemical and resource industries. There are thermal and non-thermal approaches for high-temperature flow measurement. Compared to their non-thermal counterparts, thermal flow sensors have recently attracted a great deal of interest due to the ease of fabrication, lack of moving parts and higher sensitivity. In recent years, various thermal flow sensors have been developed to operate at temperatures above 500 °C. Microelectronic technologies such as silicon-on-insulator (SOI, and complementary metal-oxide semiconductor (CMOS have been used to make thermal flow sensors. Thermal sensors with various heating and sensing materials such as metals, semiconductors, polymers and ceramics can be selected according to the targeted working temperature. The performance of these thermal flow sensors is evaluated based on parameters such as thermal response time, flow sensitivity. The data from thermal flow sensors reviewed in this paper indicate that the sensing principle is suitable for the operation under harsh environments. Finally, the paper discusses the packaging of the sensor, which is the most important aspect of any high-temperature sensing application. Other than the conventional wire-bonding, various novel packaging techniques have been developed for high-temperature application.

  10. JPL CMOS Active Pixel Sensor Technology

    Science.gov (United States)

    Fossum, E. R.

    1995-01-01

    This paper will present the JPL-developed complementary metal- oxide-semiconductor (CMOS) active pixel sensor (APS) technology. The CMOS APS has achieved performance comparable to charge coupled devices, yet features ultra low power operation, random access readout, on-chip timing and control, and on-chip analog to digital conversion. Previously published open literature will be reviewed.

  11. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    Science.gov (United States)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  12. Microfabricated Chemical Sensors for Safety and Emission Control Applications

    Science.gov (United States)

    Hunter, G. W.; Neudeck, P. G.; Chen, L.-Y.; Knight, D.; Liu, C. C.; Wu, Q. H.

    1998-01-01

    Chemical sensor technology is being developed for leak detection, emission monitoring, and fire safety applications. The development of these sensors is based on progress in two types of technology: 1) Micromachining and microfabrication (MicroElectroMechanical Systems (MEMS)-based) technology to fabricate miniaturized sensors. 2) The development of high temperature semiconductors, especially silicon carbide. Using these technologies, sensors to measure hydrogen, hydrocarbons, nitrogen oxides, carbon monoxide, oxygen, and carbon dioxide are being developed. A description is given of each sensor type and its present stage of development. It is concluded that microfabricated sensor technology has significant potential for use in a range of aerospace applications.

  13. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  14. Highly Sensitive and Selective Sensor Chips with Graphene-Oxide Linking Layer

    DEFF Research Database (Denmark)

    Stebunov, Yury V.; Aftenieva, Olga A.; Arsenin, Aleksey V.

    2015-01-01

    sensor chip for SPR biosensors based on graphene-oxide linking layers. The biosensing assay model was based on a graphene oxide film containing streptavidin. The proposed sensor chip has three times higher sensitivity than the carboxymethylated dextran surface of a commercial sensor chip. Moreover...

  15. Empowering smartphone users with sensor node for air quality measurement

    International Nuclear Information System (INIS)

    Oletic, Dinko; Bilas, Vedran

    2013-01-01

    We present an architecture of a sensor node developed for use with smartphones for participatory sensing of air quality in urban environments. Our solution features inexpensive metal-oxide semiconductor gas sensors (MOX) for measurement of CO, O 3 , NO 2 and VOC, along with sensors for ambient temperature and humidity. We focus on our design of sensor interface consisting of power-regulated heater temperature control, and the design of resistance sensing circuit. Accuracy of the sensor interface is characterized. Power consumption of the sensor node is analysed. Preliminary data obtained from the CO gas sensors in laboratory conditions and during the outdoor field-test is shown.

  16. Empowering smartphone users with sensor node for air quality measurement

    Science.gov (United States)

    Oletic, Dinko; Bilas, Vedran

    2013-06-01

    We present an architecture of a sensor node developed for use with smartphones for participatory sensing of air quality in urban environments. Our solution features inexpensive metal-oxide semiconductor gas sensors (MOX) for measurement of CO, O3, NO2 and VOC, along with sensors for ambient temperature and humidity. We focus on our design of sensor interface consisting of power-regulated heater temperature control, and the design of resistance sensing circuit. Accuracy of the sensor interface is characterized. Power consumption of the sensor node is analysed. Preliminary data obtained from the CO gas sensors in laboratory conditions and during the outdoor field-test is shown.

  17. Planar Indium Tin Oxide Heater for Improved Thermal Distribution for Metal Oxide Micromachined Gas Sensors

    Directory of Open Access Journals (Sweden)

    M. Cihan Çakır

    2016-09-01

    Full Text Available Metal oxide gas sensors with integrated micro-hotplate structures are widely used in the industry and they are still being investigated and developed. Metal oxide gas sensors have the advantage of being sensitive to a wide range of organic and inorganic volatile compounds, although they lack selectivity. To introduce selectivity, the operating temperature of a single sensor is swept, and the measurements are fed to a discriminating algorithm. The efficiency of those data processing methods strongly depends on temperature uniformity across the active area of the sensor. To achieve this, hot plate structures with complex resistor geometries have been designed and additional heat-spreading structures have been introduced. In this work we designed and fabricated a metal oxide gas sensor integrated with a simple square planar indium tin oxide (ITO heating element, by using conventional micromachining and thin-film deposition techniques. Power consumption–dependent surface temperature measurements were performed. A 420 °C working temperature was achieved at 120 mW power consumption. Temperature distribution uniformity was measured and a 17 °C difference between the hottest and the coldest points of the sensor at an operating temperature of 290 °C was achieved. Transient heat-up and cool-down cycle durations are measured as 40 ms and 20 ms, respectively.

  18. Planar Indium Tin Oxide Heater for Improved Thermal Distribution for Metal Oxide Micromachined Gas Sensors.

    Science.gov (United States)

    Çakır, M Cihan; Çalışkan, Deniz; Bütün, Bayram; Özbay, Ekmel

    2016-09-29

    Metal oxide gas sensors with integrated micro-hotplate structures are widely used in the industry and they are still being investigated and developed. Metal oxide gas sensors have the advantage of being sensitive to a wide range of organic and inorganic volatile compounds, although they lack selectivity. To introduce selectivity, the operating temperature of a single sensor is swept, and the measurements are fed to a discriminating algorithm. The efficiency of those data processing methods strongly depends on temperature uniformity across the active area of the sensor. To achieve this, hot plate structures with complex resistor geometries have been designed and additional heat-spreading structures have been introduced. In this work we designed and fabricated a metal oxide gas sensor integrated with a simple square planar indium tin oxide (ITO) heating element, by using conventional micromachining and thin-film deposition techniques. Power consumption-dependent surface temperature measurements were performed. A 420 °C working temperature was achieved at 120 mW power consumption. Temperature distribution uniformity was measured and a 17 °C difference between the hottest and the coldest points of the sensor at an operating temperature of 290 °C was achieved. Transient heat-up and cool-down cycle durations are measured as 40 ms and 20 ms, respectively.

  19. Chemically-modified electrodes in photoelectrochemical cells. [Tin oxide and TiO/sub 2/ semiconductor electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fox, M A; Hohman, J R; Kamat, P V

    1893-01-01

    Tin oxide and titanium dioxide semiconductor electrodes hae been covalently modified by the attachment of functionalized olefins and arenes through surface silanation or via a cyanuric chloride linkage. The excited state and electrochemical properties of the molecules so attached are significantly affected by the semiconductor. Photocurrent measurements and time-resolved laser coulostatic monitoring have been employed to elucidate the mechanism of charge injection on these modified surfaces. 17 references, 7 figures.

  20. All-Optical Graphene Oxide Humidity Sensors

    Directory of Open Access Journals (Sweden)

    Weng Hong Lim

    2014-12-01

    Full Text Available The optical characteristics of graphene oxide (GO were explored to design and fabricate a GO-based optical humidity sensor. GO film was coated onto a SU8 polymer channel waveguide using the drop-casting technique. The proposed sensor shows a high TE-mode absorption at 1550 nm. Due to the dependence of the dielectric properties of the GO film on water content, this high TE-mode absorption decreases when the ambient relative humidity increases. The proposed sensor shows a rapid response (<1 s to periodically interrupted humid air flow. The transmission of the proposed sensor shows a linear response of 0.553 dB/% RH in the range of 60% to 100% RH.

  1. All-optical graphene oxide humidity sensors.

    Science.gov (United States)

    Lim, Weng Hong; Yap, Yuen Kiat; Chong, Wu Yi; Ahmad, Harith

    2014-12-17

    The optical characteristics of graphene oxide (GO) were explored to design and fabricate a GO-based optical humidity sensor. GO film was coated onto a SU8 polymer channel waveguide using the drop-casting technique. The proposed sensor shows a high TE-mode absorption at 1550 nm. Due to the dependence of the dielectric properties of the GO film on water content, this high TE-mode absorption decreases when the ambient relative humidity increases. The proposed sensor shows a rapid response (<1 s) to periodically interrupted humid air flow. The transmission of the proposed sensor shows a linear response of 0.553 dB/% RH in the range of 60% to 100% RH.

  2. Microfluidic electrochemical sensor for on-line monitoring of aerosol oxidative activity.

    Science.gov (United States)

    Sameenoi, Yupaporn; Koehler, Kirsten; Shapiro, Jeff; Boonsong, Kanokporn; Sun, Yele; Collett, Jeffrey; Volckens, John; Henry, Charles S

    2012-06-27

    Particulate matter (PM) air pollution has a significant impact on human morbidity and mortality; however, the mechanisms of PM-induced toxicity are poorly defined. A leading hypothesis states that airborne PM induces harm by generating reactive oxygen species in and around human tissues, leading to oxidative stress. We report here a system employing a microfluidic electrochemical sensor coupled directly to a particle-into-liquid sampler (PILS) system to measure aerosol oxidative activity in an on-line format. The oxidative activity measurement is based on the dithiothreitol (DTT) assay, where, after being oxidized by PM, the remaining reduced DTT is analyzed by the microfluidic sensor. The sensor consists of an array of working, reference, and auxiliary electrodes fabricated in a poly(dimethylsiloxane)-based microfluidic device. Cobalt(II) phthalocyanine-modified carbon paste was used as the working electrode material, allowing selective detection of reduced DTT. The electrochemical sensor was validated off-line against the traditional DTT assay using filter samples taken from urban environments and biomass burning events. After off-line characterization, the sensor was coupled to a PILS to enable on-line sampling/analysis of aerosol oxidative activity. Urban dust and industrial incinerator ash samples were aerosolized in an aerosol chamber and analyzed for their oxidative activity. The on-line sensor reported DTT consumption rates (oxidative activity) in good correlation with aerosol concentration (R(2) from 0.86 to 0.97) with a time resolution of approximately 3 min.

  3. Flexible MEMS: A novel technology to fabricate flexible sensors and electronics

    Science.gov (United States)

    Tu, Hongen

    This dissertation presents the design and fabrication techniques used to fabricate flexible MEMS (Micro Electro Mechanical Systems) devices. MEMS devices and CMOS(Complementary Metal-Oxide-Semiconductor) circuits are traditionally fabricated on rigid substrates with inorganic semiconductor materials such as Silicon. However, it is highly desirable that functional elements like sensors, actuators or micro fluidic components to be fabricated on flexible substrates for a wide variety of applications. Due to the fact that flexible substrate is temperature sensitive, typically only low temperature materials, such as polymers, metals, and organic semiconductor materials, can be directly fabricated on flexible substrates. A novel technology based on XeF2(xenon difluoride) isotropic silicon etching and parylene conformal coating, which is able to monolithically incorporate high temperature materials and fluidic channels, was developed at Wayne State University. The technology was first implemented in the development of out-of-plane parylene microneedle arrays that can be individually addressed by integrated flexible micro-channels. These devices enable the delivery of chemicals with controlled temporal and spatial patterns and allow us to study neurotransmitter-based retinal prosthesis. The technology was further explored by adopting the conventional SOI-CMOS processes. High performance and high density CMOS circuits can be first fabricated on SOI wafers, and then be integrated into flexible substrates. Flexible p-channel MOSFETs (Metal-Oxide-Semiconductor Field-Effect-Transistors) were successfully integrated and tested. Integration of pressure sensors and flow sensors based on single crystal silicon has also been demonstrated. A novel smart yarn technology that enables the invisible integration of sensors and electronics into fabrics has been developed. The most significant advantage of this technology is its post-MEMS and post-CMOS compatibility. Various high

  4. P-type Oxide Semiconductors for Transparent & Energy Efficient Electronics

    KAUST Repository

    Wang, Zhenwei

    2018-03-11

    Emerging transparent semiconducting oxide (TSO) materials have achieved their initial commercial success in the display industry. Due to the advanced electrical performance, TSOs have been adopted either to improve the performance of traditional displays or to demonstrate the novel transparent and flexible displays. However, due to the lack of feasible p-type TSOs, the applications of TSOs is limited to unipolar (n-type TSOs) based devices. Compared with the prosperous n-type TSOs, the performance of p-type counterparts is lag behind. However, after years of discovery, several p-type TSOs are confirmed with promising performance, for example, tin monoxide (SnO). By using p-type SnO, excellent transistor field-effect mobility of 6.7 cm2 V-1 s-1 has been achieved. Motivated by this encouraging performance, this dissertation is devoted to further evaluate the feasibility of integrating p-type SnO in p-n junctions and complementary metal oxide semiconductor (CMOS) devices. CMOS inverters are fabricated using p-type SnO and in-situ formed n-type tin dioxide (SnO2). The semiconductors are simultaneously sputtered, which simplifies the process of CMOS inverters. The in-situ formation of SnO2 phase is achieved by selectively sputtering additional capping layer, which serves as oxygen source and helps to balance the process temperature for both types of semiconductors. Oxides based p-n junctions are demonstrated between p-type SnO and n-type SnO2 by magnetron sputtering method. Diode operating ideality factor of 3.4 and rectification ratio of 103 are achieved. A large temperature induced knee voltage shift of 20 mV oC-1 is observed, and explained by the large band gap and shallow states in SnO, which allows minor adjustment of band structure in response to the temperature change. Finally, p-type SnO is used to demonstrating the hybrid van der Waals heterojunctions (vdWHs) with two-dimensional molybdenum disulfide (2D MoS2) by mechanical exfoliation. The hybrid vdWHs show

  5. Emission channeling with short-lived isotopes lattice location of impurities in semiconductors and oxides

    CERN Multimedia

    We propose to perform emission channeling lattice location experiments in a number of semiconductor and oxide systems of technological relevance: \\\\- The lattice location of the transition metal probes $^{56}$Mn ($\\textit{t}_{1/2}$=2.6 h), $^{59}$Fe (45 d), $^{61}$Co (1.6 h) and $^{65}$Ni (2.5 h) is to be investigated in materials of interest as dilute magnetic semiconductors, such as GaMnAs, GaMnN, GaFeN, AlGaN, SiC, and in a number of oxides that are candidates for “single ion ferromagnetism”, in particular SrTiO$_3$ and LiNbO$_3$.\\\\- The topic of $\\textit{p}$-type doping of nitride semiconductors shall be addressed by studying the lattice sites of the acceptor dopants Mg and Be in GaN and AlN using the short-lived probes $^{27}$Mg (9.5 min) and $^{11}$Be (13.8 s). The aim is to reach a lattice location precision around 0.05 Å in order to provide critical tests for recent theoretical models which e.g. have predicted displacements of the Mg atom from the ideal substitutional Ga and Al sites of the order...

  6. CMOS Active Pixel Sensors for Low Power, Highly Miniaturized Imaging Systems

    Science.gov (United States)

    Fossum, Eric R.

    1996-01-01

    The complementary metal-oxide-semiconductor (CMOS) active pixel sensor (APS) technology has been developed over the past three years by NASA at the Jet Propulsion Laboratory, and has reached a level of performance comparable to CCDs with greatly increased functionality but at a very reduced power level.

  7. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  8. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    NARCIS (Netherlands)

    Jin, J.W.; Nathan, A.; Barquinha, P.; Pereira, L.; Fortunato, E.; Martins, R.; Cobb, B.

    2016-01-01

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We

  9. Trap state passivation improved hot-carrier instability by zirconium-doping in hafnium oxide in a nanoscale n-metal-oxide semiconductor-field effect transistors with high-k/metal gate

    International Nuclear Information System (INIS)

    Liu, Hsi-Wen; Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Chang, Ting-Chang; Chen, Ching-En; Tseng, Tseung-Yuen; Lin, Chien-Yu; Cheng, Osbert; Huang, Cheng-Tung; Ye, Yi-Han

    2016-01-01

    This work investigates the effect on hot carrier degradation (HCD) of doping zirconium into the hafnium oxide high-k layer in the nanoscale high-k/metal gate n-channel metal-oxide-semiconductor field-effect-transistors. Previous n-metal-oxide semiconductor-field effect transistor studies demonstrated that zirconium-doped hafnium oxide reduces charge trapping and improves positive bias temperature instability. In this work, a clear reduction in HCD is observed with zirconium-doped hafnium oxide because channel hot electron (CHE) trapping in pre-existing high-k bulk defects is the main degradation mechanism. However, this reduced HCD became ineffective at ultra-low temperature, since CHE traps in the deeper bulk defects at ultra-low temperature, while zirconium-doping only passivates shallow bulk defects.

  10. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  11. Chemical sensors for nuclear industry

    International Nuclear Information System (INIS)

    Gnanasekaran, K.I.

    2012-01-01

    Development of chemical sensors for detection of gases at trace levels for applications in nuclear industry will be highlighted. The sensors have to be highly sensitive, reliable and rugged with long term stability to operate in harsh industrial environment. Semiconductor and solid electrolyte based electrochemical sensors satisfy the requirements. Physico-chemical aspects underlying the development of H 2 sensors in sodium and in cover gas circuit of the Fast breeder reactors for its smooth functioning, NH 3 and H 2 S sensors for use in Heavy water production industries and NO x sensors for spent fuel reprocessing plants will be presented. Development of oxygen sensors to monitor the oxygen level in the reactor containments and sodium sensors for detection of sodium leakages will also be discussed. The talk will focus the general aspects of identification of the sensing material for the respective analyte species, development of suitable chemical route for preparing them as fine powders, the need for configuring them in thick film or thin film geometries and their performance. Pulsed laser deposition method, an elegant technique to prepare the high quality thin films of multicomponent oxides is demonstrated for preparation of nanostructured thin films of complex oxides and its use in tailoring the morphology of the complex sensing material in the desired form by optimizing the in-situ growth conditions. (author)

  12. Method to induce a conductivity type in a semiconductor

    International Nuclear Information System (INIS)

    Aboaf, J.A.; Sedgwick, T.O.

    1977-01-01

    The invention deals with a method in which one can produce a region of a desired type of conductivity in a semiconductor as is required for, e.g., field effect transistors. A metal oxide layer combination consisting of several metal oxides is thus deposited on the semiconductor. This is carried out according to the invention in a non-oxidizing atmosphere at temperatures at which the metal oxides do not diffuse into the semiconductor. The sign and degree of the induced conductivity type is adjusted by dosed depositing of the individual metal oxides related to one another. The gaseous metal oxides due to heating, mixed with a non-oxidizing gas are added in compounds to the semiconductor heated to depositing temperature. These compounds decompose at the depositing temperature into the metal oxide and a gaseous residual component. The semiconductor consists of silicon, and nitrogen is used as carrier gas; when depositing aluminium oxide, gaseous aluminium isopropoxide is added; when depositing silicon dioxide, gaseous tetra-ethyl orthosilicate. (ORU) [de

  13. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  14. Low-noise Magnetic Sensors

    KAUST Repository

    Kosel, Jü rgen; Sun, Jian

    2014-01-01

    Magnetic sensors are disclosed, as well as methods for fabricating and using the same. In some embodiments, an EMR effect sensor includes a semiconductor layer. In some embodiments, the EMR effect sensor may include a conductive layer substantially coupled to the semiconductor layer. In some embodiments, the EMR effect sensor may include a voltage lead coupled to the conductive layer. In some embodiments, the voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. In some embodiments, the EMR effect sensor may include a second voltage lead coupled to the semiconductor layer. In some embodiments, the second voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. Embodiments of a Hall effect sensor having the same or similar structure are also disclosed.

  15. Low-noise Magnetic Sensors

    KAUST Repository

    Kosel, Jurgen

    2014-03-27

    Magnetic sensors are disclosed, as well as methods for fabricating and using the same. In some embodiments, an EMR effect sensor includes a semiconductor layer. In some embodiments, the EMR effect sensor may include a conductive layer substantially coupled to the semiconductor layer. In some embodiments, the EMR effect sensor may include a voltage lead coupled to the conductive layer. In some embodiments, the voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. In some embodiments, the EMR effect sensor may include a second voltage lead coupled to the semiconductor layer. In some embodiments, the second voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. Embodiments of a Hall effect sensor having the same or similar structure are also disclosed.

  16. A Zinc Oxide Nanorod Ammonia Microsensor Integrated with a Readout Circuit on-a-Chip

    Directory of Open Access Journals (Sweden)

    Chyan-Chyi Wu

    2011-11-01

    Full Text Available A zinc oxide nanorod ammonia microsensor integrated with a readout circuit on-a-chip fabricated using the commercial 0.35 mm complementary metal oxide semiconductor (CMOS process was investigated. The structure of the ammonia sensor is composed of a sensitive film and polysilicon electrodes. The ammonia sensor requires a post-process to etch the sacrificial layer, and to coat the sensitive film on the polysilicon electrodes. The sensitive film that is prepared by a hydrothermal method is made of zinc oxide. The sensor resistance changes when the sensitive film adsorbs or desorbs ammonia gas. The readout circuit is used to convert the sensor resistance into the voltage output. Experiments show that the ammonia sensor has a sensitivity of about 1.5 mV/ppm at room temperature.

  17. CO_2 gas sensors based on rare earth oxycarbonates

    International Nuclear Information System (INIS)

    Haensch, Alexander

    2016-01-01

    This title presents a new type of CO_2 gas sensor, that allows the measurement of CO_2 gas with very low effort. The measurement principle is based on two semiconducting materials. One the ''receptor'' and a ''transducer'' form a semiconductor junction. Electronic changes in the receptor change the electrical resistance in the transducer and therefor allow the easy electrical measurement. The reactivity and the reaction mechanism is thoroughly studied. In the first part the basics and resistance measurements are presented. A comparison between different mixtures is done. The main part studies the surface chemistry with operando DRIFT spectroscopy. The chemical reactivity of different target gases and background gases is studied thoroughly. The electronic properties of Oxycarbonates and the combination of oxycarbonate and tin oxide were studied using operando Kelvin probes measurements. The result is that CO_2 alters the electron affinity of the material. Once moisture is present, an additional band bending is visible. The band bending dominated in a humid atmosphere, the work function changes. The electronic connection of oxycarbonate and tin oxide, the work function change of Oxycarbonates can be transferred to the tin oxide. Using the collected data, a basic idea of the operation will be presented by a two-semiconductor materials gas sensor.

  18. Cu2O-based solar cells using oxide semiconductors

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu 2 O heterojunction solar cells fabricated using p-type Cu 2 O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu 2 O sheets under various deposition conditions using a pulsed laser deposition method. In Cu 2 O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa 2 O 4 thin-film layer. In most of the Cu 2 O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga 2 O 3 -Al 2 O 3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (V oc ) were obtained by using a relatively small amount of MgO or Al 2 O 3 , e.g., (ZnO) 0.91 –(MgO) 0.09 and (Ga 2 O 3 ) 0.975 –(Al 2 O 3 ) 0.025 , respectively. When Cu 2 O-based heterojunction solar cells were fabricated using Al 2 O 3 –Ga 2 O 3 –MgO–ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high V oc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu 2 O heterojunction solar cells fabricated using Na-doped Cu 2 O (Cu 2 O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a V oc of 0.84 V were obtained in a MgF 2 /AZO/n-(Ga 2 O 3 –Al 2 O 3 )/p-Cu 2 O:Na heterojunction solar cell fabricated using

  19. Cu2O-based solar cells using oxide semiconductors

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu2O heterojunction solar cells fabricated using p-type Cu2O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu2O sheets under various deposition conditions using a pulsed laser deposition method. In Cu2O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa2O4 thin-film layer. In most of the Cu2O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga2O3-Al2O3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (Voc) were obtained by using a relatively small amount of MgO or Al2O3, e.g., (ZnO)0.91-(MgO)0.09 and (Ga2O3)0.975-(Al2O3)0.025, respectively. When Cu2O-based heterojunction solar cells were fabricated using Al2O3-Ga2O3-MgO-ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high Voc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu2O heterojunction solar cells fabricated using Na-doped Cu2O (Cu2O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a Voc of 0.84 V were obtained in a MgF2/AZO/n-(Ga2O3-Al2O3)/p-Cu2O:Na heterojunction solar cell fabricated using a Cu2O:Na sheet with a resistivity of approximately 10 Ω·cm and a (Ga0.975Al0

  20. Efficient demodulation scheme for rolling-shutter-patterning of CMOS image sensor based visible light communications.

    Science.gov (United States)

    Chen, Chia-Wei; Chow, Chi-Wai; Liu, Yang; Yeh, Chien-Hung

    2017-10-02

    Recently even the low-end mobile-phones are equipped with a high-resolution complementary-metal-oxide-semiconductor (CMOS) image sensor. This motivates using a CMOS image sensor for visible light communication (VLC). Here we propose and demonstrate an efficient demodulation scheme to synchronize and demodulate the rolling shutter pattern in image sensor based VLC. The implementation algorithm is discussed. The bit-error-rate (BER) performance and processing latency are evaluated and compared with other thresholding schemes.

  1. A New Approach to Joining Dissimilar Ceramic Oxides for Chemical Sensors

    International Nuclear Information System (INIS)

    Zhuiykov, Serge

    2009-01-01

    Conventional joining of dissimilar oxides for sensing electrodes (SE) of chemical sensors has been pivotal to the development of various sensors and is vital to their further development. However, it is shown that the uncertainty (of a fundamental nature) in the properties of dissimilar oxides in SE causes the determination of their sensing characteristics to be ambiguous. Characteristics are different for such controlled parameters as pyrolysis temperature, crystal structure, particle's morphology and size, chemical and phase composition, the coefficient of thermal expansion (CTE), surface architecture, the bulk and surface stoichiometry and type and conductivity of additives. Here, we provide an alternative approach for joining dissimilar metal-oxides for chemical sensors SE. The approach relies on the development of at least one transient liquid oxide phase on the ceramic-SE interface. These results constitute key points relevant to selection oxides for joining, sintering temperatures and heating/cooling temperature rates.

  2. Optical and Electric Multifunctional CMOS Image Sensors for On-Chip Biosensing Applications

    Directory of Open Access Journals (Sweden)

    Kiyotaka Sasagawa

    2010-12-01

    Full Text Available In this review, the concept, design, performance, and a functional demonstration of multifunctional complementary metal-oxide-semiconductor (CMOS image sensors dedicated to on-chip biosensing applications are described. We developed a sensor architecture that allows flexible configuration of a sensing pixel array consisting of optical and electric sensing pixels, and designed multifunctional CMOS image sensors that can sense light intensity and electric potential or apply a voltage to an on-chip measurement target. We describe the sensors’ architecture on the basis of the type of electric measurement or imaging functionalities.

  3. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  4. Field-effect gas sensors and their application in exhaust treatment systems; Feldeffekt-Gassensoren und ihre Anwendung in Abgasnachbehandlungssystemen

    Energy Technology Data Exchange (ETDEWEB)

    Schalwig, Jan

    2002-07-01

    Tightening environmental constraints on exhaust gas emissions of gasoline and Diesel engines led to a growing interest in new and highly sophisticated gas sensors. Such sensors will be required in future exhaust gas aftertreatment systems for the selective real time detection of pollutants such as nitric oxides, hydrocarbons and carbon monoxide. Restrictions on cost and device dimensions imposed by the automobile industry make semiconductor gas sensors promising candidates for the realization of cheap and small-size sensor devices. This work deals with semiconductor field effect devices with catalytically active platinum (Pt) electrodes and potential applications of such devices in automotive exhaust gas aftertreatment systems. To allow for continuous operation at high temperatures, silicon carbide (SiC) and group III-nitrides such as GaN and AlGaN were used as semiconductor materials. Different devices have been realized with such materials: SiC based MOS capacitors (MOSiC), GaN Schottky diodes and GaN/AlGaN high electron mobility transistors (HEMT). The principle feasibility of SiC and GaN based field effect gas sensors for automotive applications was tested under laboratory conditions using synthetic gas mixtures. Exhaust gas components such as carbon monoxide (CO), nitric oxides (NO and NO{sub 2}), various saturated and unsaturated hydro-carbons as well as water vapor, oxygen (O{sub 2}) and hydrogen (H{sub 2}) were used as test gases in appropriate concentrations with the sensor devices being operated in a range of temperatures extending from room temperature up to 600{sup o}C. (orig.)

  5. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  6. Broadband image sensor array based on graphene-CMOS integration

    Science.gov (United States)

    Goossens, Stijn; Navickaite, Gabriele; Monasterio, Carles; Gupta, Shuchi; Piqueras, Juan José; Pérez, Raúl; Burwell, Gregory; Nikitskiy, Ivan; Lasanta, Tania; Galán, Teresa; Puma, Eric; Centeno, Alba; Pesquera, Amaia; Zurutuza, Amaia; Konstantatos, Gerasimos; Koppens, Frank

    2017-06-01

    Integrated circuits based on complementary metal-oxide-semiconductors (CMOS) are at the heart of the technological revolution of the past 40 years, enabling compact and low-cost microelectronic circuits and imaging systems. However, the diversification of this platform into applications other than microcircuits and visible-light cameras has been impeded by the difficulty to combine semiconductors other than silicon with CMOS. Here, we report the monolithic integration of a CMOS integrated circuit with graphene, operating as a high-mobility phototransistor. We demonstrate a high-resolution, broadband image sensor and operate it as a digital camera that is sensitive to ultraviolet, visible and infrared light (300-2,000 nm). The demonstrated graphene-CMOS integration is pivotal for incorporating 2D materials into the next-generation microelectronics, sensor arrays, low-power integrated photonics and CMOS imaging systems covering visible, infrared and terahertz frequencies.

  7. Piezoelectric Zinc Oxide Based MEMS Acoustic Sensor

    Directory of Open Access Journals (Sweden)

    Aarti Arora

    2008-04-01

    Full Text Available An acoustic sensors exhibiting good sensitivity was fabricated using MEMS technology having piezoelectric zinc oxide as a dielectric between two plates of capacitor. Thin film zinc oxide has structural, piezoelectric and optical properties for surface acoustic wave (SAW and bulk acoustic wave (BAW devices. Oxygen effficient films are transparent and insulating having wide applications for sensors and transducers. A rf sputtered piezoelectric ZnO layer transforms the mechanical deflection of a thin etched silicon diaphragm into a piezoelectric charge. For 25-micron thin diaphragm Si was etched in tetramethylammonium hydroxide solution using bulk micromachining. This was followed by deposition of sandwiched structure composed of bottom aluminum electrode, sputtered 3 micron ZnO film and top aluminum electrode. A glass having 1 mm diameter hole was bonded on backside of device to compensate sound pressure in side the cavity. The measured value of central capacitance and dissipation factor of the fabricated MEMS acoustic sensor was found to be 82.4pF and 0.115 respectively, where as the value of ~176 pF was obtained for the rim capacitance with a dissipation factor of 0.138. The response of the acoustic sensors was reproducible for the devices prepared under similar processing conditions under different batches. The acoustic sensor was found to be working from 30Hz to 8KHz with a sensitivity of 139µV/Pa under varying acoustic pressure.

  8. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  9. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  10. Conductometric gas sensors based on metal oxides modified with gold nanoparticles: a review

    International Nuclear Information System (INIS)

    Korotcenkov, Ghenadii; Cho, Beong K.; Brinzari, Vladimir

    2016-01-01

    This review (with 170 refs.) discusses approaches towards surface functionalizaton of metal oxides by gold nanoparticles, and the application of the resulting nanomaterials in resistive gas sensors. The articles is subdivided into sections on (a) methods for modification of metal oxides with gold nanoparticles; (b) the response of gold nanoparticle-modified metal oxide sensors to gaseous species, (c) a discussion of the limitations of such sensors, and (d) a discussion on future tasks and trends along with an outlook. It is shown that, in order to achieve significant improvements in sensor parameters, it is necessary to warrant a good control the size and density of gold nanoparticles on the surface of metal oxide crystallites, the state of gold in the cluster, and the properties of the metal oxide support. Current challenges include an improved reproducibility of sensor preparation, better long-term stabilities, and a better resistance to sintering and poisoning of gold clusters during operation. Additional research focused on better understanding the role of gold clusters and nanoparticles in gas-sensing effects is also required. (author)

  11. Influence of semiconductor barrier tunneling on the current-voltage characteristics of tunnel metal-oxide-semiconductor diodes

    DEFF Research Database (Denmark)

    Nielsen, Otto M.

    1983-01-01

    of multistep tunneling recombination current and injected minority carrier diffusion current. This can explain the observed values of the diode quality factor n. The results also show that the voltage drop across the oxide Vox is increased with increased NA, with the result that the lowering of the minority...... carrier diode current Jmin is greater than in the usual theory. The conclusion drawn is that the increase in Vox and lowering of Jmin is due to multistep tunneling of majority carriers through the semiconductor barrier. Journal of Applied Physics is copyrighted by The American Institute of Physics.......Current–voltage characteristics have been examined for Al–SiO2–pSi diodes with an interfacial oxide thickness of delta[approximately-equal-to]20 Å. The diodes were fabricated on and oriented substrates with an impurity concentration in the range of NA=1014–1016 cm−3. The results show that for low...

  12. Silicon Carbide-Based Hydrogen Gas Sensors for High-Temperature Applications

    Directory of Open Access Journals (Sweden)

    Sangchoel Kim

    2013-10-01

    Full Text Available We investigated SiC-based hydrogen gas sensors with metal-insulator-semiconductor (MIS structure for high temperature process monitoring and leak detection applications in fields such as the automotive, chemical and petroleum industries. In this work, a thin tantalum oxide (Ta2O5 layer was exploited with the purpose of sensitivity improvement, because tantalum oxide has good stability at high temperature with high permeability for hydrogen gas. Silicon carbide (SiC was used as a substrate for high-temperature applications. We fabricated Pd/Ta2O5/SiC-based hydrogen gas sensors, and the dependence of their I-V characteristics and capacitance response properties on hydrogen concentrations were analyzed in the temperature range from room temperature to 500 °C. According to the results, our sensor shows promising performance for hydrogen gas detection at high temperatures.

  13. Development of Microfabricated Chemical Gas Sensors and Sensor Arrays for Aerospace Applications

    Science.gov (United States)

    Hunter, G. W.; Neudeck, P. G.; Fralick, G.; Thomas, V.; Liu, C. C.; Wu, W. H.; Ward, B.; Makel, D.

    2002-01-01

    Aerospace applications require the development of chemical sensors with capabilities beyond those of commercially available sensors. In particular, factors such as minimal sensor size, weight, and power consumption are particularly important. Development areas which have potential aerospace applications include launch vehicle leak detection, engine health monitoring, fire detection, and environmental monitoring. Sensor development for these applications is based on progress in three types of technology: 1) Micromachining and microfabrication (Microsystem) technology to fabricate miniaturized sensors. 2) The use of nanocrystalline materials to develop sensors with improved stability combined with higher sensitivity. 3) The development of high temperature semiconductors, especially silicon carbide. However, due to issues of selectivity and cross-sensitivity, individual sensors are limited in the amount of information that they can provide in environments that contain multiple chemical species. Thus, sensor arrays are being developed to address detection needs in such multi-species environments. This paper discusses the needs of space applications as well as the point-contact sensor technology and sensor arrays being developed to address these needs. Sensors to measure hydrogen, hydrocarbons, hydrazine, nitrogen oxides (NO,), carbon monoxide, oxygen, and carbon dioxide are being developed as well as arrays for leak, fire, and emissions detection. Demonstrations of the technology will also be discussed. It is concluded that microfabricated sensor technology has significant potential for use in a range of aerospace applications.

  14. Stable and Fast-Response Capacitive Humidity Sensors Based on a ZnO Nanopowder/PVP-RGO Multilayer

    Directory of Open Access Journals (Sweden)

    Hui Yang

    2017-10-01

    Full Text Available In this paper, capacitive-type humidity sensors were prepared by sequentially drop-coating the aqueous suspensions of zinc oxide (ZnO nanopowders and polyvinyl pyrrolidone–reduced graphene oxide (PVP-RGO nanocomposites onto interdigitated electrodes. Significant improvements in both sensitivity and linearity were achieved for the ZnO/PVP-RGO sensors compared with the PVP-RGO/ZnO, PVP-RGO, and ZnO counterparts. Moreover, the produced ZnO/PVP-RGO sensors exhibited rather small hysteresis, fast response-recovery time, and long-term stability. Based on morphological and structural analyses, it can be inferred that the excellent humidity sensing properties of the ZnO/PVP-RGO sensors may be attributed to the high surface-to-volume ratio of the multilayer structure and the supporting roles of the PVP-RGO nanocomposites. The results in this work hence provide adequate guidelines for designing high-performance humidity sensors that make use of the multilayer structure of semiconductor oxide materials and PVP-RGO nanocomposites.

  15. Imprinted Oxide and MIP/Oxide Hybrid Nanomaterials for Chemical Sensors †.

    Science.gov (United States)

    Afzal, Adeel; Dickert, Franz L

    2018-04-20

    The oxides of transition, post-transition and rare-earth metals have a long history of robust and fast responsive recognition elements for electronic, optical, and gravimetric devices. A wide range of applications successfully utilized pristine or doped metal oxides and polymer-oxide hybrids as nanostructured recognition elements for the detection of biologically relevant molecules, harmful organic substances, and drugs as well as for the investigative process control applications. An overview of the selected recognition applications of molecularly imprinted sol-gel phases, metal oxides and hybrid nanomaterials composed of molecularly imprinted polymers (MIP) and metal oxides is presented herein. The formation and fabrication processes for imprinted sol-gel layers, metal oxides, MIP-coated oxide nanoparticles and other MIP/oxide nanohybrids are discussed along with their applications in monitoring bioorganic analytes and processes. The sensor characteristics such as dynamic detection range and limit of detection are compared as the performance criterion and the miniaturization and commercialization possibilities are critically discussed.

  16. CMOS SPAD-based image sensor for single photon counting and time of flight imaging

    OpenAIRE

    Dutton, Neale Arthur William

    2016-01-01

    The facility to capture the arrival of a single photon, is the fundamental limit to the detection of quantised electromagnetic radiation. An image sensor capable of capturing a picture with this ultimate optical and temporal precision is the pinnacle of photo-sensing. The creation of high spatial resolution, single photon sensitive, and time-resolved image sensors in complementary metal oxide semiconductor (CMOS) technology offers numerous benefits in a wide field of applications....

  17. CMOS MEMS capacitive absolute pressure sensor

    International Nuclear Information System (INIS)

    Narducci, M; Tsai, J; Yu-Chia, L; Fang, W

    2013-01-01

    This paper presents the design, fabrication and characterization of a capacitive pressure sensor using a commercial 0.18 µm CMOS (complementary metal–oxide–semiconductor) process and postprocess. The pressure sensor is capacitive and the structure is formed by an Al top electrode enclosed in a suspended SiO 2 membrane, which acts as a movable electrode against a bottom or stationary Al electrode fixed on the SiO 2 substrate. Both the movable and fixed electrodes form a variable parallel plate capacitor, whose capacitance varies with the applied pressure on the surface. In order to release the membranes the CMOS layers need to be applied postprocess and this mainly consists of four steps: (1) deposition and patterning of PECVD (plasma-enhanced chemical vapor deposition) oxide to protect CMOS pads and to open the pressure sensor top surface, (2) etching of the sacrificial layer to release the suspended membrane, (3) deposition of PECVD oxide to seal the etching holes and creating vacuum inside the gap, and finally (4) etching of the passivation oxide to open the pads and allow electrical connections. This sensor design and fabrication is suitable to obey the design rules of a CMOS foundry and since it only uses low-temperature processes, it allows monolithic integration with other types of CMOS compatible sensors and IC (integrated circuit) interface on a single chip. Experimental results showed that the pressure sensor has a highly linear sensitivity of 0.14 fF kPa −1 in the pressure range of 0–300 kPa. (paper)

  18. Design of nanophotonic, hot-electron solar-blind ultraviolet detectors with a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Wang, Zhiyuan; Wang, Xiaoxin; Liu, Jifeng

    2014-01-01

    Solar-blind ultraviolet (UV) detection refers to photon detection specifically in the wavelength range of 200 nm–320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. The most commonly used solid state devices for this application are wide band gap (WBG) semiconductor photodetectors (Eg > 3.5 eV). However, WBG semiconductors are difficult to grow and integrate with Si readout integrated circuits (ROICs). In this paper, we design a nanophotonic metal-oxide-semiconductor structure on Si for solar-blind UV detectors. Instead of using semiconductors as the active absorber, we use Sn nano-grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between the metal and the n-type Si region upon UV excitation. Moreover, the transported hot electron has an excess kinetic energy >3 eV, large enough to induce impact ionization and generate another free electron in the conduction band of n-Si. This process doubles the quantum efficiency. On the other hand, the large metal/oxide interfacial energy barrier (>3.5 eV) also enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, ∼75% UV absorption and hot electron excitation can be achieved within the mean free path of ∼20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. The simple geometry of the Sn nano-gratings and the MOS structure make it easy to fabricate and integrate with Si ROICs compared to existing solar-blind UV detection schemes. The presented device structure also breaks through the conventional notion that photon absorption by metal is always a loss in solid-state photodetectors, and it can potentially be extended to other active metal photonic devices. (paper)

  19. SnO2 Nanostructure as Pollutant Gas Sensors: Synthesis, Sensing Performances, and Mechanism

    Directory of Open Access Journals (Sweden)

    Brian Yuliarto

    2015-01-01

    Full Text Available A significant amount of pollutants is produced from factories and motor vehicles in the form of gas. Their negative impact on the environment is well known; therefore detection with effective gas sensors is important as part of pollution prevention efforts. Gas sensors use a metal oxide semiconductor, specifically SnO2 nanostructures. This semiconductor is interesting and worthy of further investigation because of its many uses, for example, as lithium battery electrode, energy storage, catalyst, and transistor, and has potential as a gas sensor. In addition, there has to be a discussion of the use of SnO2 as a pollutant gas sensor especially for waste products such as CO, CO2, SO2, and NOx. In this paper, the development of the fabrication of SnO2 nanostructures synthesis will be described as it relates to the performances as pollutant gas sensors. In addition, the functionalization of SnO2 as a gas sensor is extensively discussed with respect to the theory of gas adsorption, the surface features of SnO2, the band gap theory, and electron transfer.

  20. Hysteresis phenomena at metal-semiconductor phase transformation in vanadium oxides

    International Nuclear Information System (INIS)

    Lanskaya, T.G.; Merkulov, I.A.; Chudnovski , F.A.

    1978-01-01

    The hysteresis phenomena during the metal-semiconductor phase transformation (MSPT) in vanadium oxides are investigated. It is shown experimentally that the hysteresis effects during MSPT in vanadium oxides are associated not only with the martensite nature of the transformation, but also with activation processes. It is shown that the hysteresis phenomena during MSPT may be described by the distribution function of microregions of the crystal in the phase transformation temperature T 0 and the coercive temperature Tsub(c). An experimental method for constructing this distribution function was worked out. An analysis of the experimental data shows that finely dispersed films are characterized by a wide range of values of T 0 and Tsub(c) (55 deg C 0 <65 deg C, 6 deg C< Tsub(c)<12 deg C). The peculiarities of the optical recording of information on monocrystal and finely dispersed films are considered

  1. Fabrication of a P3HT-ZnO Nanowires Gas Sensor Detecting Ammonia Gas

    Directory of Open Access Journals (Sweden)

    Chin-Guo Kuo

    2017-12-01

    Full Text Available In this study, an organic-inorganic semiconductor gas sensor was fabricated to detect ammonia gas. An inorganic semiconductor was a zinc oxide (ZnO nanowire array produced by atomic layer deposition (ALD while an organic material was a p-type semiconductor, poly(3-hexylthiophene (P3HT. P3HT was suitable for the gas sensing application due to its high hole mobility, good stability, and good electrical conductivity. In this work, P3HT was coated on the zinc oxide nanowires by the spin coating to form an organic-inorganic heterogeneous interface of the gas sensor for detecting ammonia gas. The thicknesses of the P3HT were around 462 nm, 397 nm, and 277 nm when the speeds of the spin coating were 4000 rpm, 5000 rpm, and 6000 rpm, respectively. The electrical properties and sensing characteristics of the gas sensing device at room temperature were evaluated by Hall effect measurement and the sensitivity of detecting ammonia gas. The results of Hall effect measurement for the P3HT-ZnO nanowires semiconductor with 462 nm P3HT film showed that the carrier concentration and the mobility were 2.7 × 1019 cm−3 and 24.7 cm2∙V−1∙s−1 respectively. The gas sensing device prepared by the P3HT-ZnO nanowires semiconductor had better sensitivity than the device composed of the ZnO film and P3HT film. Additionally, this gas sensing device could reach a maximum sensitivity around 11.58 per ppm.

  2. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  3. Chemical Gas Sensors for Aeronautic and Space Applications

    Science.gov (United States)

    Hunter, Gary W.; Chen, Liang-Yu; Neudeck, Philip G.; Knight, Dak; Liu, Chung-Chiun; Wu, Quing-Hai; Zhou, Huan-Jun

    1997-01-01

    Aeronautic and space applications require the development of chemical sensors with capabilities beyond those of commercially available sensors. Two areas of particular interest are safety monitoring and emission monitoring. In safety monitoring, detection of low concentrations of hydrogen at potentially low temperatures is important while for emission monitoring the detection of nitrogen oxides, hydrogen, hydrocarbons and oxygen is of interest. This paper discusses the needs of aeronautic and space applications and the point-contact sensor technology being developed to address these needs. The development of these sensors is based on progress in two types of technology: (1) Micromachining and microfabrication technology to fabricate miniaturized sensors. (2) The development of high temperature semiconductors, especially silicon carbide. The detection of each type of gas involves its own challenges in the fields of materials science and fabrication technology. The number of dual-use commercial applications of this microfabricated gas sensor technology make this general area of sensor development a field of significant interest.

  4. Electrosprayed Metal Oxide Semiconductor Films for Sensitive and Selective Detection of Hydrogen Sulfide

    Directory of Open Access Journals (Sweden)

    Maryam Siadat

    2009-11-01

    Full Text Available Semiconductor metal oxide films of copper-doped tin oxide (Cu-SnO2, tungsten oxide (WO3 and indium oxide (In2O3 were deposited on a platinum coated alumina substrate employing the electrostatic spray deposition technique (ESD. The morphology studied with scanning electron microscopy (SEM and atomic force microscopy (AFM shows porous homogeneous films comprising uniformly distributed aggregates of nano particles. The X-ray diffraction technique (XRD proves the formation of crystalline phases with no impurities. Besides, the Raman cartographies provided information about the structural homogeneity. Some of the films are highly sensitive to low concentrations of H2S (10 ppm at low operating temperatures (100 and 200 °C and the best response in terms of Rair/Rgas is given by Cu-SnO2 films (2500 followed by WO3 (1200 and In2O3 (75. Moreover, all the films exhibit no cross-sensitivity to other reducing (SO2 or oxidizing (NO2 gases.

  5. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    Science.gov (United States)

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  6. Modelling of Leakage Current Through Double Dielectric Gate Stack in Metal Oxide Semiconductor Capacitor

    International Nuclear Information System (INIS)

    Fatimah A Noor; Mikrajuddin Abdullah; Sukirno; Khairurrijal

    2008-01-01

    In this paper, we have derived analytical expression of leakage current through double barriers in Metal Oxide Semiconductor (MOS) capacitor. Initially, electron transmittance through the MOS capacitor was derived by including the coupling between the transverse and longitudinal energies. The transmittance was then employed to obtain leakage current through the double barrier. In this model, we observed the effect of electron velocity due to the coupling effect and the oxide thickness to the leakage current. The calculated results showed that the leakage current decreases as the electron velocity increases. (author)

  7. Radiation effects in metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Collins, J.L.

    1987-01-01

    The effects of various radiations on commercially made Al-SiO 2 -Si Capacitors (MOSCs) have been investigated. Intrinsic dielectric breakdown in MOSCs has been shown to be a two-stage process dominated by charge injection in a pre-breakdown stage; this is associated with localised high-field injection of carriers from the semiconductor substrate to interfacial and bulk charge traps which, it is proposed, leads to the formation of conducting channels through the dielectric with breakdown occurring as a result of the dissipation of the conduction band energy. A study of radiation-induced dielectric breakdown has revealed the possibility of anomalous hot-electron injection to an excess of bulk oxide traps in the ionization channel produced by very heavily ionizing radiation, which leads to intrinsic breakdown in high-field stressed devices. This is interpreted in terms of a modified model for radiation-induced dielectric breakdown based upon the primary dependence of breakdown on charge injection rather than high-field mechanisms. A detailed investigation of charge trapping and interface state generation due to various radiations has revealed evidence of neutron induced interface states, and the generation of positive oxide charge in devices due to all the radiations tested. The greater the linear energy transfer of the radiation, the greater the magnitude of charge trapped in the oxide and the number of interface states generated. This is interpreted in terms of Si-H and Si-OH bond-breaking at the Si-SiO 2 interface which is enhanced by charge carrier transfer to the interface and by anomalous charge injection to compensate for the excess of charge carriers created by the radiation. (author)

  8. Development of integrated semiconductor optical sensors for functional brain imaging

    Science.gov (United States)

    Lee, Thomas T.

    Optical imaging of neural activity is a widely accepted technique for imaging brain function in the field of neuroscience research, and has been used to study the cerebral cortex in vivo for over two decades. Maps of brain activity are obtained by monitoring intensity changes in back-scattered light, called Intrinsic Optical Signals (IOS), that correspond to fluctuations in blood oxygenation and volume associated with neural activity. Current imaging systems typically employ bench-top equipment including lamps and CCD cameras to study animals using visible light. Such systems require the use of anesthetized or immobilized subjects with craniotomies, which imposes limitations on the behavioral range and duration of studies. The ultimate goal of this work is to overcome these limitations by developing a single-chip semiconductor sensor using arrays of sources and detectors operating at near-infrared (NIR) wavelengths. A single-chip implementation, combined with wireless telemetry, will eliminate the need for immobilization or anesthesia of subjects and allow in vivo studies of free behavior. NIR light offers additional advantages because it experiences less absorption in animal tissue than visible light, which allows for imaging through superficial tissues. This, in turn, reduces or eliminates the need for traumatic surgery and enables long-term brain-mapping studies in freely-behaving animals. This dissertation concentrates on key engineering challenges of implementing the sensor. This work shows the feasibility of using a GaAs-based array of vertical-cavity surface emitting lasers (VCSELs) and PIN photodiodes for IOS imaging. I begin with in-vivo studies of IOS imaging through the skull in mice, and use these results along with computer simulations to establish minimum performance requirements for light sources and detectors. I also evaluate the performance of a current commercial VCSEL for IOS imaging, and conclude with a proposed prototype sensor.

  9. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.; Lin, Yenhung; Zhao, Kui; Li, Ruipeng; Thomas, Stuart R.; Semple, James; Androulidaki, Maria; Sygellou, Lamprini; McLachlan, Martyn A.; Stratakis, Emmanuel; Amassian, Aram; Anthopoulos, Thomas D.

    2015-01-01

    reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization

  10. Optical Fiber Chemical Sensor with Sol-Gel Derived Refractive Material as Transducer for High Temperature Gas Sensing in Clean Coal Technology

    Energy Technology Data Exchange (ETDEWEB)

    Shiquan Tao

    2006-12-31

    The chemistry of sol-gel derived silica and refractive metal oxide has been systematically studied. Sol-gel processes have been developed for preparing porous silica and semiconductor metal oxide materials. Micelle/reversed micelle techniques have been developed for preparing nanometer sized semiconductor metal oxides and noble metal particles. Techniques for doping metal ions, metal oxides and nanosized metal particles into porous sol-gel material have also been developed. Optical properties of sol-gel derived materials in ambient and high temperature gases have been studied by using fiber optic spectroscopic techniques, such as fiber optic ultraviolet/visible absorption spectrometry, fiber optic near infrared absorption spectrometry and fiber optic fluorescence spectrometry. Fiber optic spectrometric techniques have been developed for investigating the optical properties of these sol-gel derived materials prepared as porous optical fibers or as coatings on the surface of silica optical fibers. Optical and electron microscopic techniques have been used to observe the microstructure, such as pore size, pore shape, sensing agent distribution, of sol-gel derived material, as well as the size and morphology of nanometer metal particle doped in sol-gel derived porous silica, the nature of coating of sol-gel derived materials on silica optical fiber surface. In addition, the chemical reactions of metal ion, nanostructured semiconductor metal oxides and nanometer sized metal particles with gas components at room temperature and high temperatures have also been investigated with fiber optic spectrometric methods. Three classes of fiber optic sensors have been developed based on the thorough investigation of sol-gel chemistry and sol-gel derived materials. The first group of fiber optic sensors uses porous silica optical fibers doped with metal ions or metal oxide as transducers for sensing trace NH{sub 3} and H{sub 2}S in high temperature gas samples. The second group of

  11. Progress in the development of semiconducting metal oxide gas sensors: a review

    International Nuclear Information System (INIS)

    Moseley, Patrick T

    2017-01-01

    Since the first suggestion, during the 1950s, that high-surface-area metal oxides could be used as conductometric gas sensors enormous efforts have been made to enhance both the selectivity and the sensitivity of such devices, and to reduce their operational power requirements. This development has involved the exploration of response mechanisms, the selection of the most appropriate oxide compositions, the fabrication of two-phase ‘hetero-structures’, the addition of metallic catalyst particles and the optimisation of the manner in which the materials are presented to the gas—the structure and the nanostructure of the sensing elements. Far more of the scientific literature has been devoted to seeking such improvements in metal oxide gas sensors than has been directed at all other solid-state gas sensors together. Recent progress in the research and development of metal oxide gas sensor technology is surveyed in this invited review. The advances that have been made are quite spectacular and the results of individual pieces of work are drawn together here so that trends can be seen. Emerging features include: the significance of n-type/p-type switching, the enhancement of sensing performance of materials through the incorporation of secondary components and the advantages of interrogating sensors with alternating current rather than direct current. (topical review)

  12. Metal-core@metal oxide-shell nanomaterials for gas-sensing applications: a review

    Energy Technology Data Exchange (ETDEWEB)

    Mirzaei, A.; Janghorban, K.; Hashemi, B. [Shiraz University, Department of Materials Science and Engineering (Iran, Islamic Republic of); Neri, G., E-mail: gneri@unime.it [University of Messina, Department of Electronic Engineering, Chemistry and Industrial Engineering (Italy)

    2015-09-15

    With an ever-increasing number of applications in many advanced fields, gas sensors are becoming indispensable devices in our daily life. Among different types of gas sensors, conductometric metal oxide semiconductor (MOS) gas sensors are found to be the most appealing for advanced applications in the automotive, biomedical, environmental, and safety sectors because of the their high sensitivity, reduced size, and low cost. To improve their sensing characteristics, new metal oxide-based nanostructures have thus been proposed in recent years as sensing materials. In this review, we extensively review gas-sensing properties of core@ shell nanocomposites in which metals as the core and metal oxides as the shell structure, both of nanometer sizes, are assembled into a single metal@metal oxide core–shell. These nanostructures not only combine the properties of both noble metals and metal oxides, but also bring unique synergetic functions in comparison with single-component materials. Up-dated achievements in the synthesis and characterization of metal@metal oxide core–shell nanostructures as well as their use in MOS sensors are here reported with the main objective of providing an overview about their gas-sensing properties.

  13. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  14. Polyaniline-graphene oxide nanocomposite sensor for quantification of calcium channel blocker levamlodipine.

    Science.gov (United States)

    Jain, Rajeev; Sinha, Ankita; Khan, Ab Lateef

    2016-08-01

    A novel polyaniline-graphene oxide nanocomposite (PANI/GO/GCE) sensor has been fabricated for quantification of a calcium channel blocker drug levamlodipine (LAMP). Fabricated sensor has been characterized by electrochemical impedance spectroscopy, square wave and cyclic voltammetry, Raman spectroscopy and Fourier transform infrared (FTIR) spectroscopy. The developed PANI/GO/GCE sensor has excellent analytical performance towards electrocatalytic oxidation as compared to PANI/GCE, GO/GCE and bare GCE. Under optimized experimental conditions, the fabricated sensor exhibits a linear response for LAMP for its oxidation over a concentration range from 1.25μgmL(-1) to 13.25μgmL(-1) with correlation coefficient of 0.9950 (r(2)), detection limit of 1.07ngmL(-1) and quantification limit of 3.57ngmL(-1). The sensor shows an excellent performance for detecting LAMP with reproducibility of 2.78% relative standard deviation (RSD). The proposed method has been successfully applied for LAMP determination in pharmaceutical formulation with a recovery from 99.88% to 101.75%. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Graphenated polyaniline-doped tungsten oxide nanocomposite sensor for real time determination of phenanthrene

    International Nuclear Information System (INIS)

    Tovide, Oluwakemi; Jaheed, Nazeem; Mohamed, Nurali; Nxusani, Ezo; Sunday, Christopher E.; Tsegaye, Abebaw; Ajayi, Rachel F.; Njomo, Njagi; Makelane, Hlamulo; Bilibana, Mawethu; Baker, Priscilla G.; Williams, Avril; Vilakazi, Sibulelo; Tshikhudo, Robert; Iwuoha, Emmanuel I.

    2014-01-01

    A graphenated polyaniline/tungsten oxide (PANI/WO 3 /GR) nanocomposite sensor was prepared by electropolymerisation of a mixture of aniline monomer and tungsten oxide on a graphene-modified glassy carbon electrode (GCE). The PANI/WO 3 /GR/GCE nanocomposite electrode was tested as a sensor for the determination of phenanthrene. The direct electro-oxidation behaviour of phenanthrene on the PANI/WO 3 /GR modified GCE was carefully investigated by cyclic voltammetry. The results indicated that the PANI/WO 3 /GR/GCE sensor was more sensitive to phenanthrene (with a dynamic linear range of 1.0 - 6.0 pM and a detection limit of 0.123 pM.) than GCE, PANI/GCE or PANI/WO 3 /GCE. The sensor exhibited excellent reproducibility and long-term stability. The sensor exhibits lower detection sensitivity than the WHO permissible level of 1.12 nM phenanthrene in wastewater

  16. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  17. A divalent rare earth oxide semiconductor: Yttrium monoxide

    Energy Technology Data Exchange (ETDEWEB)

    Kaminaga, Kenichi; Sei, Ryosuke [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Department of Chemistry, Tohoku University, Sendai 980-8578 (Japan); Hayashi, Kouichi [Department of Environmental and Materials Engineering, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Happo, Naohisa [School of Information Sciences, Hiroshima City University, Hiroshima 731-3194 (Japan); Tajiri, Hiroo [Japan Synchrotron Radiation Research Institute (JASRI)/SPring-8, Sayo 679-5198 (Japan); Oka, Daichi; Fukumura, Tomoteru, E-mail: tomoteru.fukumura.e4@tohoku.ac.jp [Department of Chemistry, Tohoku University, Sendai 980-8578 (Japan); Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan)

    2016-03-21

    Rare earth oxides are usually widegap insulators like Y{sub 2}O{sub 3} with closed shell trivalent rare earth ions. In this study, solid phase rock salt structure yttrium monoxide, YO, with unusual valence of Y{sup 2+} (4d{sup 1}) was synthesized in a form of epitaxial thin film by pulsed laser deposition method. YO has been recognized as gaseous phase in previous studies. In contrast with Y{sub 2}O{sub 3}, YO was dark-brown colored and narrow gap semiconductor. The tunable electrical conductivity ranging from 10{sup −1} to 10{sup 3} Ω{sup −1 }cm{sup −1} was attributed to the presence of oxygen vacancies serving as electron donor. Weak antilocalization behavior observed in magnetoresistance indicated significant role of spin-orbit coupling as a manifestation of 4d electron carrier.

  18. CO{sub 2} gas sensors based on rare earth oxycarbonates

    Energy Technology Data Exchange (ETDEWEB)

    Haensch, Alexander

    2016-07-01

    This title presents a new type of CO{sub 2} gas sensor, that allows the measurement of CO{sub 2} gas with very low effort. The measurement principle is based on two semiconducting materials. One the ''receptor'' and a ''transducer'' form a semiconductor junction. Electronic changes in the receptor change the electrical resistance in the transducer and therefor allow the easy electrical measurement. The reactivity and the reaction mechanism is thoroughly studied. In the first part the basics and resistance measurements are presented. A comparison between different mixtures is done. The main part studies the surface chemistry with operando DRIFT spectroscopy. The chemical reactivity of different target gases and background gases is studied thoroughly. The electronic properties of Oxycarbonates and the combination of oxycarbonate and tin oxide were studied using operando Kelvin probes measurements. The result is that CO{sub 2} alters the electron affinity of the material. Once moisture is present, an additional band bending is visible. The band bending dominated in a humid atmosphere, the work function changes. The electronic connection of oxycarbonate and tin oxide, the work function change of Oxycarbonates can be transferred to the tin oxide. Using the collected data, a basic idea of the operation will be presented by a two-semiconductor materials gas sensor.

  19. Monitoring Apnea in the Elderly by an Electromechanical System with a Carbon Nanotube-based Sensor

    Directory of Open Access Journals (Sweden)

    Hung-Chang Liu

    2013-09-01

    Conclusion: Our results showed that a new device composed of an NEMS by combining an MWCNT sensor and complementary metal-oxide semiconductor (CMOS circuits could be integrated to effectively detect apnea in the elderly. This novel device may improve the pattern of safe respiratory care for the elderly in the future.

  20. Photocatalytic oxidation of organic compounds in a hybrid system composed of a molecular catalyst and visible light-absorbing semiconductor.

    Science.gov (United States)

    Zhou, Xu; Li, Fei; Li, Xiaona; Li, Hua; Wang, Yong; Sun, Licheng

    2015-01-14

    Photocatalytic oxidation of organic compounds proceeded efficiently in a hybrid system with ruthenium aqua complexes as catalysts, BiVO4 as a light absorber, [Co(NH3)5Cl](2+) as a sacrificial electron acceptor and water as an oxygen source. The photogenerated holes in the semiconductor are used to oxidize molecular catalysts into the high-valent Ru(IV)=O intermediates for 2e(-) oxidation.

  1. Electrospray-printed nanostructured graphene oxide gas sensors

    Science.gov (United States)

    Taylor, Anthony P.; Velásquez-García, Luis F.

    2015-12-01

    We report low-cost conductometric gas sensors that use an ultrathin film made of graphene oxide (GO) nanoflakes as transducing element. The devices were fabricated by lift-off metallization and near-room temperature, atmospheric pressure electrospray printing using a shadow mask. The sensors are sensitive to reactive gases at room temperature without requiring any post heat treatment, harsh chemical reduction, or doping with metal nanoparticles. The sensors’ response to humidity at atmospheric pressure tracks that of a commercial sensor, and is linear with changes in humidity in the 10%-60% relative humidity range while consuming recipes yielded nearly identical response characteristics, suggesting that intrinsic properties of the film control the sensing mechanism. The gas sensors successfully detected ammonia at concentrations down to 500 ppm (absolute partial pressure of ˜5 × 10-4 T) at ˜1 T pressure, room temperature conditions. The sensor technology can be used in a great variety of applications including air conditioning and sensing of reactive gas species in vacuum lines and abatement systems.

  2. Porous and Nanoporous Semiconductors and Emerging Applications

    Directory of Open Access Journals (Sweden)

    Helmut Föll

    2006-01-01

    Full Text Available Pores in single-crystalline semiconductors can be produced in a wide range of geometries and morphologies, including the “nanometer” regime. Porous semiconductors may have properties completely different from the bulk, and metamaterials with, for example, optical properties not encountered in natural materials are emerging. Possible applications of porous semiconductors include various novel sensors, but also more “exotic” uses as, for example, high explosives or electrodes for micro-fuel cells. The paper briefly reviews pore formation (including more applied aspects of large area etching, properties of porous semiconductors, and emerging applications.

  3. Characterization study of an intensified complementary metal-oxide-semiconductor active pixel sensor

    Science.gov (United States)

    Griffiths, J. A.; Chen, D.; Turchetta, R.; Royle, G. J.

    2011-03-01

    An intensified CMOS active pixel sensor (APS) has been constructed for operation in low-light-level applications: a high-gain, fast-light decay image intensifier has been coupled via a fiber optic stud to a prototype "VANILLA" APS, developed by the UK based MI3 consortium. The sensor is capable of high frame rates and sparse readout. This paper presents a study of the performance parameters of the intensified VANILLA APS system over a range of image intensifier gain levels when uniformly illuminated with 520 nm green light. Mean-variance analysis shows the APS saturating around 3050 Digital Units (DU), with the maximum variance increasing with increasing image intensifier gain. The system's quantum efficiency varies in an exponential manner from 260 at an intensifier gain of 7.45 × 103 to 1.6 at a gain of 3.93 × 101. The usable dynamic range of the system is 60 dB for intensifier gains below 1.8 × 103, dropping to around 40 dB at high gains. The conclusion is that the system shows suitability for the desired application.

  4. Direct observation of both contact and remote oxygen scavenging of GeO2 in a metal-oxide-semiconductor stack

    International Nuclear Information System (INIS)

    Fadida, S.; Shekhter, P.; Eizenberg, M.; Cvetko, D.; Floreano, L.; Verdini, A.; Nyns, L.; Van Elshocht, S.; Kymissis, I.

    2014-01-01

    In the path to incorporating Ge based metal-oxide-semiconductor into modern nano-electronics, one of the main issues is the oxide-semiconductor interface quality. Here, the reactivity of Ti on Ge stacks and the scavenging effect of Ti were studied using synchrotron X-ray photoelectron spectroscopy measurements, with an in-situ metal deposition and high resolution transmission electron microscopy imaging. Oxygen removal from the Ge surface was observed both in direct contact as well as remotely through an Al 2 O 3 layer. The scavenging effect was studied in situ at room temperature and after annealing. We find that the reactivity of Ti can be utilized for improved scaling of Ge based devices.

  5. Functionalised zinc oxide nanowire gas sensors: Enhanced NO(2) gas sensor response by chemical modification of nanowire surfaces.

    Science.gov (United States)

    Waclawik, Eric R; Chang, Jin; Ponzoni, Andrea; Concina, Isabella; Zappa, Dario; Comini, Elisabetta; Motta, Nunzio; Faglia, Guido; Sberveglieri, Giorgio

    2012-01-01

    Surface coating with an organic self-assembled monolayer (SAM) can enhance surface reactions or the absorption of specific gases and hence improve the response of a metal oxide (MOx) sensor toward particular target gases in the environment. In this study the effect of an adsorbed organic layer on the dynamic response of zinc oxide nanowire gas sensors was investigated. The effect of ZnO surface functionalisation by two different organic molecules, tris(hydroxymethyl)aminomethane (THMA) and dodecanethiol (DT), was studied. The response towards ammonia, nitrous oxide and nitrogen dioxide was investigated for three sensor configurations, namely pure ZnO nanowires, organic-coated ZnO nanowires and ZnO nanowires covered with a sparse layer of organic-coated ZnO nanoparticles. Exposure of the nanowire sensors to the oxidising gas NO(2) produced a significant and reproducible response. ZnO and THMA-coated ZnO nanowire sensors both readily detected NO(2) down to a concentration in the very low ppm range. Notably, the THMA-coated nanowires consistently displayed a small, enhanced response to NO(2) compared to uncoated ZnO nanowire sensors. At the lower concentration levels tested, ZnO nanowire sensors that were coated with THMA-capped ZnO nanoparticles were found to exhibit the greatest enhanced response. ΔR/R was two times greater than that for the as-prepared ZnO nanowire sensors. It is proposed that the ΔR/R enhancement in this case originates from the changes induced in the depletion-layer width of the ZnO nanoparticles that bridge ZnO nanowires resulting from THMA ligand binding to the surface of the particle coating. The heightened response and selectivity to the NO(2) target are positive results arising from the coating of these ZnO nanowire sensors with organic-SAM-functionalised ZnO nanoparticles.

  6. Electro-chemical sensors, sensor arrays and circuits

    Science.gov (United States)

    Katz, Howard E.; Kong, Hoyoul

    2014-07-08

    An electro-chemical sensor includes a first electrode, a second electrode spaced apart from the first electrode, and a semiconductor channel in electrical contact with the first and second electrodes. The semiconductor channel includes a trapping material. The trapping material reduces an ability of the semiconductor channel to conduct a current of charge carriers by trapping at least some of the charge carriers to localized regions within the semiconductor channel. The semiconductor channel includes at least a portion configured to be exposed to an analyte to be detected, and the trapping material, when exposed to the analyte, interacts with the analyte so as to at least partially restore the ability of the semiconductor channel to conduct the current of charge carriers.

  7. Hybrid organic semiconductor lasers for bio-molecular sensing.

    Science.gov (United States)

    Haughey, Anne-Marie; Foucher, Caroline; Guilhabert, Benoit; Kanibolotsky, Alexander L; Skabara, Peter J; Burley, Glenn; Dawson, Martin D; Laurand, Nicolas

    2014-01-01

    Bio-functionalised luminescent organic semiconductors are attractive for biophotonics because they can act as efficient laser materials while simultaneously interacting with molecules. In this paper, we present and discuss a laser biosensor platform that utilises a gain layer made of such an organic semiconductor material. The simple structure of the sensor and its operation principle are described. Nanolayer detection is shown experimentally and analysed theoretically in order to assess the potential and the limits of the biosensor. The advantage conferred by the organic semiconductor is explained, and comparisons to laser sensors using alternative dye-doped materials are made. Specific biomolecular sensing is demonstrated, and routes to functionalisation with nucleic acid probes, and future developments opened up by this achievement, are highlighted. Finally, attractive formats for sensing applications are mentioned, as well as colloidal quantum dots, which in the future could be used in conjunction with organic semiconductors.

  8. Oxide Ferromagnetic Semiconductors for Spin-Electronic Transprt

    International Nuclear Information System (INIS)

    Pandey, R.K.

    2008-01-01

    The objective of this research was to investigate the viability of oxide magnetic semiconductors as potential materials for spintronics. We identified some members of the solid solution series of ilmenite (FeTiO3) and hematite (Fe2O3), abbreviated as (IH) for simplicity, for our investigations based on their ferromagnetic and semiconducting properties. With this objective in focus we limited our investigations to the following members of the modified Fe-titanates: IH33 (ilmenitehematite with 33 atomic percent hematite), IH45 (ilmenite-hematite with 45 atomic percent hematite), Mn-substituted ilmenite (Mn-FeTiO3), and Mn-substituted pseudobrookite (Mn- Fe2TiO5). All of them are: (1) wide bandgap semiconductors with band gaps ranging in values between 2.5 to 3.5 eV; (2) n-type semiconductors; (3) they exhibit well defined magnetic hysteresis loops and (4) their magnetic Curie points are greater than 400K. Ceramic, film and single crystal samples were studied and based on their properties we produced varistors (also known as voltage dependent resistors) for microelectronic circuit protection from power surges, three-terminal microelectronic devices capable of generating bipolar currents, and an integrated structured device with controlled magnetic switching of spins. Eleven refereed journal papers, three refereed conference papers and three invention disclosures resulted from our investigations. We also presented invited papers in three international conferences and one national conference. Furthermore two students graduated with Ph.D. degrees, three with M.S. degrees and one with B.S. degree. Also two post-doctoral fellows were actively involved in this research. We established the radiation hardness of our devices in collaboration with a colleague in an HBCU institution, at the Cyclotron Center at Texas A and M University, and at DOE National Labs (Los Alamos and Brookhaven). It is to be appreciated that we met most of our goals and expanded vastly the scope of

  9. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  10. Semiconductor Sensors Application for Definition of Factor of Ozone Heterogeneous Destruction on Teflon Surface

    Directory of Open Access Journals (Sweden)

    Nataliya V. Finogenova

    2003-12-01

    Full Text Available In our paper we present the results of our research, which was carried out by means of semiconductor sensor techniques (SCS, which allowed evaluating heterogeneous death-rate of ozone (γ Teflon surface. When ozone concentration is near to Ambient Air Standard value, γ is assessed to be equal to 6,57*10-7. High technique response provide possibility to determine ozone contents in the air media and the percentage of ozone, decomposed on the communication surfaces and on the surfaces of installation in the low concentration range (1–100 ppb.

  11. Graphene Oxide in Lossy Mode Resonance-Based Optical Fiber Sensors for Ethanol Detection

    Directory of Open Access Journals (Sweden)

    Miguel Hernaez

    2017-12-01

    Full Text Available The influence of graphene oxide (GO over the features of an optical fiber ethanol sensor based on lossy mode resonances (LMR has been studied in this work. Four different sensors were built with this aim, each comprising a multimode optical fiber core fragment coated with a SnO2 thin film. Layer by layer (LbL coatings made of 1, 2 and 4 bilayers of polyethyleneimine (PEI and graphene oxide were deposited onto three of these devices and their behavior as aqueous ethanol sensors was characterized and compared with the sensor without GO. The sensors with GO showed much better performance with a maximum sensitivity enhancement of 176% with respect to the sensor without GO. To our knowledge, this is the first time that GO has been used to make an optical fiber sensor based on LMR.

  12. Graphene Oxide in Lossy Mode Resonance-Based Optical Fiber Sensors for Ethanol Detection.

    Science.gov (United States)

    Hernaez, Miguel; Mayes, Andrew G; Melendi-Espina, Sonia

    2017-12-27

    The influence of graphene oxide (GO) over the features of an optical fiber ethanol sensor based on lossy mode resonances (LMR) has been studied in this work. Four different sensors were built with this aim, each comprising a multimode optical fiber core fragment coated with a SnO₂ thin film. Layer by layer (LbL) coatings made of 1, 2 and 4 bilayers of polyethyleneimine (PEI) and graphene oxide were deposited onto three of these devices and their behavior as aqueous ethanol sensors was characterized and compared with the sensor without GO. The sensors with GO showed much better performance with a maximum sensitivity enhancement of 176% with respect to the sensor without GO. To our knowledge, this is the first time that GO has been used to make an optical fiber sensor based on LMR.

  13. Real-time biochemical sensor based on Raman scattering with CMOS contact imaging.

    Science.gov (United States)

    Muyun Cao; Yuhua Li; Yadid-Pecht, Orly

    2015-08-01

    This work presents a biochemical sensor based on Raman scattering with Complementary metal-oxide-semiconductor (CMOS) contact imaging. This biochemical optical sensor is designed for detecting the concentration of solutions. The system is built with a laser diode, an optical filter, a sample holder and a commercial CMOS sensor. The output of the system is analyzed by an image processing program. The system provides instant measurements with a resolution of 0.2 to 0.4 Mol. This low cost and easy-operated small scale system is useful in chemical, biomedical and environmental labs for quantitative bio-chemical concentration detection with results reported comparable to a highly cost commercial spectrometer.

  14. Intelligent Design of Metal Oxide Gas Sensor Arrays Using Reciprocal Kernel Support Vector Regression

    Science.gov (United States)

    Dougherty, Andrew W.

    Metal oxides are a staple of the sensor industry. The combination of their sensitivity to a number of gases, and the electrical nature of their sensing mechanism, make the particularly attractive in solid state devices. The high temperature stability of the ceramic material also make them ideal for detecting combustion byproducts where exhaust temperatures can be high. However, problems do exist with metal oxide sensors. They are not very selective as they all tend to be sensitive to a number of reduction and oxidation reactions on the oxide's surface. This makes sensors with large numbers of sensors interesting to study as a method for introducing orthogonality to the system. Also, the sensors tend to suffer from long term drift for a number of reasons. In this thesis I will develop a system for intelligently modeling metal oxide sensors and determining their suitability for use in large arrays designed to analyze exhaust gas streams. It will introduce prior knowledge of the metal oxide sensors' response mechanisms in order to produce a response function for each sensor from sparse training data. The system will use the same technique to model and remove any long term drift from the sensor response. It will also provide an efficient means for determining the orthogonality of the sensor to determine whether they are useful in gas sensing arrays. The system is based on least squares support vector regression using the reciprocal kernel. The reciprocal kernel is introduced along with a method of optimizing the free parameters of the reciprocal kernel support vector machine. The reciprocal kernel is shown to be simpler and to perform better than an earlier kernel, the modified reciprocal kernel. Least squares support vector regression is chosen as it uses all of the training points and an emphasis was placed throughout this research for extracting the maximum information from very sparse data. The reciprocal kernel is shown to be effective in modeling the sensor

  15. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  16. Intelligent Combustion. A gas boiler with a new control and safety device using the signals of a semiconductor-sensor

    International Nuclear Information System (INIS)

    Rusche, S.; Kostrzewa, G.

    1999-01-01

    The present controls of small gas boilers use an actual differential pressure of the flowing air to regulate the gas valve. It is also possible to combine the change of the gas flow rate and the air volume mechanically. In both of these methods, it is neglected that the air volume required for complete combustion is strongly affected by changing gas quality. The article discusses the use of a BaSnO3 semiconductor control sensor, which is heated by the flame and changes electrical resistance with temperature, O2 and CO content in the burning chamber. It also describes a new burner concept using the sensor

  17. Sensing characteristics of nanocrystalline bismuth oxide clad-modified fiber optic gas sensor

    Science.gov (United States)

    Manjula, M.; Karthikeyan, B.; Sastikumar, D.

    2017-08-01

    Gas sensing properties of nanocrystalline bismuth oxide clad - modified fiber optic sensor is reported for ammonia, ethanol, methanol and acetone gasses at room temperature. The output of sensor increases or decreases for certain gasses when the concentration of the gas is increased. The sensor exhibits high response and good selectivity to methanol gas. Time response characteristics of the sensor are also reported.

  18. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    Science.gov (United States)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  19. Electrospray-printed nanostructured graphene oxide gas sensors

    International Nuclear Information System (INIS)

    Taylor, Anthony P; Velásquez-García, Luis F

    2015-01-01

    We report low-cost conductometric gas sensors that use an ultrathin film made of graphene oxide (GO) nanoflakes as transducing element. The devices were fabricated by lift-off metallization and near-room temperature, atmospheric pressure electrospray printing using a shadow mask. The sensors are sensitive to reactive gases at room temperature without requiring any post heat treatment, harsh chemical reduction, or doping with metal nanoparticles. The sensors’ response to humidity at atmospheric pressure tracks that of a commercial sensor, and is linear with changes in humidity in the 10%–60% relative humidity range while consuming <6 μW. Devices with GO layers printed by different deposition recipes yielded nearly identical response characteristics, suggesting that intrinsic properties of the film control the sensing mechanism. The gas sensors successfully detected ammonia at concentrations down to 500 ppm (absolute partial pressure of ∼5 × 10"−"4 T) at ∼1 T pressure, room temperature conditions. The sensor technology can be used in a great variety of applications including air conditioning and sensing of reactive gas species in vacuum lines and abatement systems. (paper)

  20. Solar hydrogen production with semiconductor metal oxides: new directions in experiment and theory

    DEFF Research Database (Denmark)

    Valdes, Alvaro; Brillet, Jeremie; Graetzel, Michael

    2012-01-01

    An overview of a collaborative experimental and theoretical effort toward efficient hydrogen production via photoelectrochemical splitting of water into di-hydrogen and di-oxygen is presented here. We present state-of-the-art experimental studies using hematite and TiO2 functionalized with gold n...... nanoparticles as photoanode materials, and theoretical studies on electro and photo-catalysis of water on a range of metal oxide semiconductor materials, including recently developed implementation of self-interaction corrected energy functionals....

  1. Effects of oxide traps, interface traps, and ''border traps'' on metal-oxide-semiconductor devices

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Reber, R.A. Jr.; Meisenheimer, T.L.; Schwank, J.R.; Shaneyfelt, M.R.; Riewe, L.C.

    1993-01-01

    We have identified several features of the 1/f noise and radiation response of metal-oxide-semiconductor (MOS) devices that are difficult to explain with standard defect models. To address this issue, and in response to ambiguities in the literature, we have developed a revised nomenclature for defects in MOS devices that clearly distinguishes the language used to describe the physical location of defects from that used to describe their electrical response. In this nomenclature, ''oxide traps'' are simply defects in the SiO 2 layer of the MOS structure, and ''interface traps'' are defects at the Si/SiO 2 interface. Nothing is presumed about how either type of defect communicates with the underlying Si. Electrically, ''fixed states'' are defined as trap levels that do not communicate with the Si on the time scale of the measurements, but ''switching states'' can exchange charge with the Si. Fixed states presumably are oxide traps in most types of measurements, but switching states can either be interface traps or near-interfacial oxide traps that can communicate with the Si, i.e., ''border traps'' [D. M. Fleetwood, IEEE Trans. Nucl. Sci. NS-39, 269 (1992)]. The effective density of border traps depends on the time scale and bias conditions of the measurements. We show the revised nomenclature can provide focus to discussions of the buildup and annealing of radiation-induced charge in non-radiation-hardened MOS transistors, and to changes in the 1/f noise of MOS devices through irradiation and elevated-temperature annealing

  2. Color sensor and neural processor on one chip

    Science.gov (United States)

    Fiesler, Emile; Campbell, Shannon R.; Kempem, Lother; Duong, Tuan A.

    1998-10-01

    Low-cost, compact, and robust color sensor that can operate in real-time under various environmental conditions can benefit many applications, including quality control, chemical sensing, food production, medical diagnostics, energy conservation, monitoring of hazardous waste, and recycling. Unfortunately, existing color sensor are either bulky and expensive or do not provide the required speed and accuracy. In this publication we describe the design of an accurate real-time color classification sensor, together with preprocessing and a subsequent neural network processor integrated on a single complementary metal oxide semiconductor (CMOS) integrated circuit. This one-chip sensor and information processor will be low in cost, robust, and mass-producible using standard commercial CMOS processes. The performance of the chip and the feasibility of its manufacturing is proven through computer simulations based on CMOS hardware parameters. Comparisons with competing methodologies show a significantly higher performance for our device.

  3. Integrating Metal-Oxide-Decorated CNT Networks with a CMOS Readout in a Gas Sensor

    Directory of Open Access Journals (Sweden)

    Suhwan Kim

    2012-02-01

    Full Text Available We have implemented a tin-oxide-decorated carbon nanotube (CNT network gas sensor system on a single die. We have also demonstrated the deposition of metallic tin on the CNT network, its subsequent oxidation in air, and the improvement of the lifetime of the sensors. The fabricated array of CNT sensors contains 128 sensor cells for added redundancy and increased accuracy. The read-out integrated circuit (ROIC was combined with coarse and fine time-to-digital converters to extend its resolution in a power-efficient way. The ROIC is fabricated using a 0.35 µm CMOS process, and the whole sensor system consumes 30 mA at 5 V. The sensor system was successfully tested in the detection of ammonia gas at elevated temperatures.

  4. Photoexcited Individual Nanowires: Key Elements in Room Temperature Detection of Oxidizing Gases

    International Nuclear Information System (INIS)

    Prades, J. D.; Jimenez-Diaz, R.; Manzanares, M.; Andreu, T.; Cirera, A.; Romano-Rodriguez, A.; Hernandez-Ramirez, F.; Morante, J. R.

    2009-01-01

    Illuminating metal oxide semiconductors with ultra-violet light is a feasible alternative to activate chemical reactions at their surface and thus, using them as gas sensors without the necessity of heating them. Here, the response at room temperature of individual single-crystalline SnO 2 nanowires towards NO 2 is studied in detail. The results reveal that similar responses to those obtained with thermally activated sensors can be achieved by choosing the optimal illumination conditions. This finding paves the way to the development of conductometric gas sensors operated at room temperature. The power consumption in these devices is in range with conventional micromachined sensors.

  5. Soft Sensor for Oxide Scales on the Steam Side of Superheater Tubes under Uneven Circumferential Load

    Directory of Open Access Journals (Sweden)

    Qing Wei Li

    2015-01-01

    Full Text Available A soft sensor for oxide scales on the steam side of superheater tubes of utility boiler under uneven circumferential loading is proposed for the first time. First finite volume method is employed to simulate oxide scales growth temperature on the steam side of superheater tube. Then appropriate time and spatial intervals are selected to calculate oxide scales thickness along the circumferential direction. On the basis of the oxide scale thickness, the stress of oxide scales is calculated by the finite element method. At last, the oxide scale thickness and stress sensors are established on support vector machine (SMV optimized by particle swarm optimization (PSO with time and circumferential angles as inputs and oxide scale thickness and stress as outputs. Temperature and stress calculation methods are validated by the operation data and experimental data, respectively. The soft sensor is applied to the superheater tubes of some power plant. Results show that the soft sensor can give enough accurate results for oxide scale thickness and stress in reasonable time. The forecasting model provides a convenient way for the research of the oxide scale failure.

  6. pH sensors based on iridium oxide

    International Nuclear Information System (INIS)

    Tarlov, M.J.; Kreider, K.G.; Semancik, S.; Huang, P.

    1990-03-01

    Results are presented on the pH-potential response of dc magnetron reactively sputtered iridium oxide films. The films exhibit a nearly Nernstian response to pH, no hysteresis effects, and minimal response to ionic interferences. Sensitivity to certain redox species is observed, however. In addition, methods are discussed for preparing model iridium oxide sensor surfaces for ultrahigh vacuum surface analytical studies. Stoichiometric IrO 2 -like surfaces are shown to be relatively inert to gas phase water. However, hydroxylation of IrO 2 -like surfaces can be induced by rf water plasma treatment. 17 refs., 5 figs

  7. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    International Nuclear Information System (INIS)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin

    2014-01-01

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  8. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  9. Photochemistry Aspects of the Laser Pyrolysis Addressing the Preparation of Oxide Semiconductor Photocatalysts

    Directory of Open Access Journals (Sweden)

    R. Alexandrescu

    2008-01-01

    Full Text Available The laser pyrolysis is a powerful and a versatile tool for the gas-phase synthesis of nanoparticles. In this paper, some fundamental and applicative characteristics of this technique are outlined and recent results obtained in the preparation of gamma iron oxide (γ-Fe2O3 and titania (TiO2 semiconductor nanostructures are illustrated. Nanosized iron oxide particles (4 to 9 nm diameter values have been directly synthesized by the laser-induced pyrolysis of a mixture containing iron pentacarbonyl/air (as oxidizer/ethylene (as sensitizer. Temperature-dependent Mossbauer spectroscopy shows that mainly maghemite is present in the sample obtained at higher laser power. The use of selected Fe2O3 samples for the preparation of water-dispersed magnetic nanofluids is also discussed. TiO2 nanoparticles comprising a mixture of anatase and rutile phases were synthesized via the laser pyrolysis of TiCl4- (vapors based gas-phase mixtures. High precursor concentration of the oxidizer was found to favor the prevalent anatase phase (about 90% in the titania nanopowders.

  10. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  11. Methods and apparatuses for low-noise magnetic sensors

    KAUST Repository

    Kosel, Jü rgen; Sun, Jian

    2015-01-01

    Magnetic sensors are disclosed, as well as methods for fabricating and using the same. In some embodiments, an EMR effect sensor includes a semiconductor layer. In some embodiments, the EMR effect sensor may include a conductive layer substantially coupled to the semiconductor layer. In some embodiments, the EMR effect sensor may include a first voltage lead coupled to the semiconductor layer. In some embodiments, the first voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. In some embodiments, the EMR effect sensor may include a second voltage lead coupled to the conductive layer. In some embodiments, the second voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. Embodiments of a Hall effect sensor having the same or similar structure are also disclosed.

  12. Methods and apparatuses for low-noise magnetic sensors

    KAUST Repository

    Kosel, Jurgen

    2015-10-20

    Magnetic sensors are disclosed, as well as methods for fabricating and using the same. In some embodiments, an EMR effect sensor includes a semiconductor layer. In some embodiments, the EMR effect sensor may include a conductive layer substantially coupled to the semiconductor layer. In some embodiments, the EMR effect sensor may include a first voltage lead coupled to the semiconductor layer. In some embodiments, the first voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. In some embodiments, the EMR effect sensor may include a second voltage lead coupled to the conductive layer. In some embodiments, the second voltage lead may be configured to provide a voltage for measurement by a voltage measurement circuit. Embodiments of a Hall effect sensor having the same or similar structure are also disclosed.

  13. Metal Oxides Doped PPY-PVA Blend Thin Films Based Gas Sensor

    Directory of Open Access Journals (Sweden)

    D. B. DUPARE

    2009-02-01

    Full Text Available Synthesis of metal oxides doped polypyrrole–polyvinyl alcohol blend thin films by in situ chemical oxidative polymerization, using microwave oven on glass substrate for development of Ammonia and Trimethyl ammine hazardous gas sensor. The all experimental process carried out at room temperature(304 K. These polymer materials were characterized by Chemical analyses, spectral studies (UV-visible and IR and conductivity measurement by four –probe technique. The surface morphology as observed in the SEM image was observed to be uniformly covering the entire substrate surface. The sensor was used for different concentration (ppm of TMA and Ammonia gas investigation at room temperature (304 k. This study found to possess improved electrical, mechanical and environmental stability metal oxides doped PPY-PVA films.

  14. Iridium Oxide pH Sensor Based on Stainless Steel Wire for pH Mapping on Metal Surface

    Science.gov (United States)

    Shahrestani, S.; Ismail, M. C.; Kakooei, S.; Beheshti, M.; Zabihiazadboni, M.; Zavareh, M. A.

    2018-03-01

    A simple technique to fabricate the iridium oxide pH sensor is useful in several applications such as medical, food processing and engineering material where it is able to detect the changes of pH. Generally, the fabrication technique can be classified into three types: electro-deposition iridium oxide film (EIrOF), activated iridium oxide film (AIROF) and sputtering iridium oxide film (SIROF). This study focuses on fabricating electrode, calibration and test. Electro-deposition iridium oxide film is a simple and effective method of fabricating this kind of sensor via cyclic voltammetry process. The iridium oxide thick film was successfully electrodeposited on the surface of stainless steel wire with 500 cycles of sweep potential. A further analysis under FESEM shows detailed image of iridium oxide film which has cauliflower-liked microstructure. EDX analysis shows the highest element present are iridium and oxygen which concluded that the process is successful. The iridium oxide based pH sensor has shown a good performance in comparison to conventional glass pH sensor when it is being calibrated in buffer solutions with 2, 4, 7 and 9 pH values. The iridium oxide pH sensor is specifically designed to measure the pH on the surface of metal plate.

  15. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  16. CMOS image sensor-based immunodetection by refractive-index change.

    Science.gov (United States)

    Devadhasan, Jasmine P; Kim, Sanghyo

    2012-01-01

    A complementary metal oxide semiconductor (CMOS) image sensor is an intriguing technology for the development of a novel biosensor. Indeed, the CMOS image sensor mechanism concerning the detection of the antigen-antibody (Ag-Ab) interaction at the nanoscale has been ambiguous so far. To understand the mechanism, more extensive research has been necessary to achieve point-of-care diagnostic devices. This research has demonstrated a CMOS image sensor-based analysis of cardiovascular disease markers, such as C-reactive protein (CRP) and troponin I, Ag-Ab interactions on indium nanoparticle (InNP) substrates by simple photon count variation. The developed sensor is feasible to detect proteins even at a fg/mL concentration under ordinary room light. Possible mechanisms, such as dielectric constant and refractive-index changes, have been studied and proposed. A dramatic change in the refractive index after protein adsorption on an InNP substrate was observed to be a predominant factor involved in CMOS image sensor-based immunoassay.

  17. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    Institute of Scientific and Technical Information of China (English)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (Ron,sp),whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region,is proposed.The theoretical limit of its Ron,sp is deduced,the influence of structure parameters on the breakdown voltage (BV) and Ron,sp are investigated,and the optimized results with BV of 83 V and Ron,sp of 54 mΩ.mm2 are obtained.Simulations show that the inhomogencous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET)has a superior “Ron,sp/BV” trade-off to the conventional VDMOS (a 38% reduction of Ron,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of Ron,sp with the same BV).The inhomogeneous-floatingislands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET.Its reverse recovery peak current,reverse recovery time and reverse recovery charge are about 50,80 and 40% of those of the superjunction MOSFET,respectively.

  18. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Directory of Open Access Journals (Sweden)

    Jong Woo Jin

    2016-08-01

    Full Text Available Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative VTH shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H2O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  19. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Jong Woo [LPICM, CNRS, Ecole Polytechnique, Université Paris Saclay, 91128, Palaiseau (France); Nathan, Arokia, E-mail: an299@cam.ac.uk [Engineering Department, University of Cambridge, Cambridge, CB3 0FA (United Kingdom); Barquinha, Pedro; Pereira, Luís; Fortunato, Elvira; Martins, Rodrigo [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Cobb, Brian [Holst Centre/TNO, Eindhoven, 5656 AE (Netherlands)

    2016-08-15

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (V{sub TH}) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative V{sub TH} shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H{sub 2}O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  20. Local anodic oxidation by AFM tip developed for novel semiconductor nanodevices

    International Nuclear Information System (INIS)

    Cambel, Vladimir; Martaus, Jozef; Soltys, Jan; Kudela, Robert; Gregusova, Dagmar

    2008-01-01

    The local anodic oxidation (LAO) by the tip of atomic force microscope (AFM) is used for fabrication of nanometer-scaled structures and devices. We study the technology of LAO applied to semiconductor heterostructures, theoretically and experimentally as well. The goal is to improve the LAO process itself, i.e., to create narrow LAO lines that form high-energy barriers in the plane with the 2D electron gas. In the first part we show the electric field distribution in the system tip-sample during LAO. For samples with low-conductive cap layer the maximum electric field is shifted apart the tip apex, which leads to wide oxide lines. Our Monte Carlo (MC) calculations show how the height of the energy barrier in the system depends on the geometry of the created lines (trenches), and on voltage applied to the structure. Based on the calculations, we have proposed a novel LAO technology and applied it to InGaP/AlGaAs/GaAs heterostructure with doping layer only 6 nm beneath the surface. The doping layer can be oxidized easily by the AFM tip in this case, and the oxide objects can be removed by several etchants. This approach to the LAO technology leads to narrow LAO trenches (∼60 nm) and to energy barriers high enough for room- and low-temperature applications

  1. Enhancement of methane gas sensing characteristics of graphene oxide sensor by heat treatment and laser irradiation.

    Science.gov (United States)

    Assar, Mohammadreza; Karimzadeh, Rouhollah

    2016-12-01

    The present study uses a rapid, easy and practical method for cost-effective fabrication of a methane gas sensor. The sensor was made by drop-casting a graphene oxide suspension onto an interdigital circuit surface. The electrical conductivity and gas-sensing characteristics of the sensor were determined and then heat treatment and in situ laser irradiation were applied to improve the device conductivity and gas sensitivity. Real-time monitoring of the evolution of the device current as a function of heat treatment time revealed significant changes in the conductance of the graphene oxide sensor. The use of low power laser irradiation enhanced both the electrical conductivity and sensing response of the graphene oxide sensor. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. A Tactile Sensor Network System Using a Multiple Sensor Platform with a Dedicated CMOS-LSI for Robot Applications.

    Science.gov (United States)

    Shao, Chenzhong; Tanaka, Shuji; Nakayama, Takahiro; Hata, Yoshiyuki; Bartley, Travis; Nonomura, Yutaka; Muroyama, Masanori

    2017-08-28

    Robot tactile sensation can enhance human-robot communication in terms of safety, reliability and accuracy. The final goal of our project is to widely cover a robot body with a large number of tactile sensors, which has significant advantages such as accurate object recognition, high sensitivity and high redundancy. In this study, we developed a multi-sensor system with dedicated Complementary Metal-Oxide-Semiconductor (CMOS) Large-Scale Integration (LSI) circuit chips (referred to as "sensor platform LSI") as a framework of a serial bus-based tactile sensor network system. The sensor platform LSI supports three types of sensors: an on-chip temperature sensor, off-chip capacitive and resistive tactile sensors, and communicates with a relay node via a bus line. The multi-sensor system was first constructed on a printed circuit board to evaluate basic functions of the sensor platform LSI, such as capacitance-to-digital and resistance-to-digital conversion. Then, two kinds of external sensors, nine sensors in total, were connected to two sensor platform LSIs, and temperature, capacitive and resistive sensing data were acquired simultaneously. Moreover, we fabricated flexible printed circuit cables to demonstrate the multi-sensor system with 15 sensor platform LSIs operating simultaneously, which showed a more realistic implementation in robots. In conclusion, the multi-sensor system with up to 15 sensor platform LSIs on a bus line supporting temperature, capacitive and resistive sensing was successfully demonstrated.

  3. New approach to local anodic oxidation of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Martaus, Jozef; Gregusova, Dagmar; Cambel, Vladimir; Kudela, Robert; Soltys, Jan

    2008-01-01

    We have experimentally explored a new approach to local anodic oxidation (LAO) of a semiconductor heterostructures by means of atomic force microscopy (AFM). We have applied LAO to an InGaP/AlGaAs/GaAs heterostructure. Although LAO is usually applied to oxidize GaAs/AlGaAs/GaAs-based heterostructures, the use of the InGaP/AlGaAs/GaAs system is more advantageous. The difference lies in the use of different cap layer materials: Unlike GaAs, InGaP acts like a barrier material with respect to the underlying AlGaAs layer and has almost one order of magnitude lower density of surface states than GaAs. Consequently, the InGaP/AlGaAs/GaAs heterostructure had the remote Si-δ doping layer only 6.5 nm beneath the surface and the two-dimensional electron gas (2DEG) was confined only 23.5 nm beneath the surface. Moreover, InGaP unaffected by LAO is a very durable material in various etchants and allows us to repeatedly remove thin portions of the underlying AlGaAs layer via wet etching. This approach influences LAO technology fundamentally: LAO was used only to oxidize InGaP cap layer to define very narrow (∼50 nm) patterns. Subsequent wet etching was used to form very narrow and high-energy barriers in the 2DEG patterns. This new approach is promising for the development of future nano-devices operated both at low and high temperatures

  4. The Application of Metal Oxide Nanomaterials for Chemical Sensor Development

    Science.gov (United States)

    Xu, Jennifer C.; Hunter, Gary W.; Evans, Laura J.; VanderWal, Randy L.; Berger, Gordon M.

    2007-01-01

    NASA Glenn Research Center (GRC) has been developing miniature chemical sensors for a variety of applications including fire detection, emissions monitoring, fuel leak detection, and environmental monitoring. Smart Lick and Stick sensor technology which integrates a sensor array, electronics, telemetry, and power into one microsystem are being developed. These microsystems require low power consumption for long-term aerospace applications. One approach to decreasing power consumption is the use of nanotechnology. Nanocrystalline tin oxide (SnO2) carbon monoxide (CO) sensors developed previously by this group have been successfully used for fire detection and emissions monitoring. This presentation will briefly review the overall NASA GRC chemical sensor program and discuss our further effort in nanotechnology applications. New carbon dioxide (CO2) sensing material using doped nanocrystalline SnO2 will be discussed. Nanocrystalline SnO2 coated solid electrolyte CO2 sensors and SnO2 nanorod and nanofiber hydrogen (H2) sensors operated at reduced or room temperatures will also be discussed.

  5. Improved zinc oxide film for gas sensor applications

    Indian Academy of Sciences (India)

    Zinc oxide (ZnO) is a versatile material for different commercial applications such as transparent electrodes, piezoelectric devices, varistors, SAW devices etc because of its high piezoelectric coupling, greater stability of its hexagonal phase and its pyroelectric property. In fact, ZnO is a potential material for gas sensor ...

  6. Design of a Humidity Sensor Tag for Passive Wireless Applications.

    Science.gov (United States)

    Wu, Xiang; Deng, Fangming; Hao, Yong; Fu, Zhihui; Zhang, Lihua

    2015-10-07

    This paper presents a wireless humidity sensor tag for low-cost and low-power applications. The proposed humidity sensor tag, based on radio frequency identification (RFID) technology, was fabricated in a standard 0.18 μm complementary metal oxide semiconductor (CMOS) process. The top metal layer was deposited to form the interdigitated electrodes, which were then filled with polyimide as the humidity sensing layer. A two-stage rectifier adopts a dynamic bias-voltage generator to boost the effective gate-source voltage of the switches in differential-drive architecture, resulting in a flat power conversion efficiency curve. The capacitive sensor interface, based on phase-locked loop (PLL) theory, employs a simple architecture and can work with 0.5 V supply voltage. The measurement results show that humidity sensor tag achieves excellent linearity, hysteresis and stability performance. The total power-dissipation of the sensor tag is 2.5 μW, resulting in a maximum operating distance of 23 m under 4 W of radiation power of the RFID reader.

  7. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    Science.gov (United States)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  8. Optically induced bistable states in metal/tunnel-oxide/semiconductor /MTOS/ junctions

    Science.gov (United States)

    Lai, S. K.; Dressendorfer, P. V.; Ma, T. P.; Barker, R. C.

    1981-01-01

    A new switching phenomenon in metal-oxide semiconductor tunnel junction has been discovered. With a sufficiently large negative bias applied to the electrode, incident visible light of intensity greater than about 1 microW/sq cm causes the reverse-biased junction to switch from a low-current to a high-current state. It is believed that hot-electron-induced impact ionization provides the positive feedback necessary for switching, and causes the junction to remain in its high-current state after the optical excitation is removed. The junction may be switched back to the low-current state electrically. The basic junction characteristics have been measured, and a simple model for the switching phenomenon has been developed.

  9. CMOS Cell Sensors for Point-of-Care Diagnostics

    Science.gov (United States)

    Adiguzel, Yekbun; Kulah, Haluk

    2012-01-01

    The burden of health-care related services in a global era with continuously increasing population and inefficient dissipation of the resources requires effective solutions. From this perspective, point-of-care diagnostics is a demanded field in clinics. It is also necessary both for prompt diagnosis and for providing health services evenly throughout the population, including the rural districts. The requirements can only be fulfilled by technologies whose productivity has already been proven, such as complementary metal-oxide-semiconductors (CMOS). CMOS-based products can enable clinical tests in a fast, simple, safe, and reliable manner, with improved sensitivities. Portability due to diminished sensor dimensions and compactness of the test set-ups, along with low sample and power consumption, is another vital feature. CMOS-based sensors for cell studies have the potential to become essential counterparts of point-of-care diagnostics technologies. Hence, this review attempts to inform on the sensors fabricated with CMOS technology for point-of-care diagnostic studies, with a focus on CMOS image sensors and capacitance sensors for cell studies. PMID:23112587

  10. A Tactile Sensor Network System Using a Multiple Sensor Platform with a Dedicated CMOS-LSI for Robot Applications †

    Science.gov (United States)

    Shao, Chenzhong; Tanaka, Shuji; Nakayama, Takahiro; Hata, Yoshiyuki; Bartley, Travis; Muroyama, Masanori

    2017-01-01

    Robot tactile sensation can enhance human–robot communication in terms of safety, reliability and accuracy. The final goal of our project is to widely cover a robot body with a large number of tactile sensors, which has significant advantages such as accurate object recognition, high sensitivity and high redundancy. In this study, we developed a multi-sensor system with dedicated Complementary Metal-Oxide-Semiconductor (CMOS) Large-Scale Integration (LSI) circuit chips (referred to as “sensor platform LSI”) as a framework of a serial bus-based tactile sensor network system. The sensor platform LSI supports three types of sensors: an on-chip temperature sensor, off-chip capacitive and resistive tactile sensors, and communicates with a relay node via a bus line. The multi-sensor system was first constructed on a printed circuit board to evaluate basic functions of the sensor platform LSI, such as capacitance-to-digital and resistance-to-digital conversion. Then, two kinds of external sensors, nine sensors in total, were connected to two sensor platform LSIs, and temperature, capacitive and resistive sensing data were acquired simultaneously. Moreover, we fabricated flexible printed circuit cables to demonstrate the multi-sensor system with 15 sensor platform LSIs operating simultaneously, which showed a more realistic implementation in robots. In conclusion, the multi-sensor system with up to 15 sensor platform LSIs on a bus line supporting temperature, capacitive and resistive sensing was successfully demonstrated. PMID:29061954

  11. Practical Use Technique of Sensor

    International Nuclear Information System (INIS)

    Hwang, Gyu Seop

    1985-11-01

    This book tells of practical use technology of sensor, introducing the recent trend of sensor for electronic industry, IC temperature sensor, radiation temperature sensor of surface acoustic wave, optical fiber temperature sensor, a polyelectrolyte film humidity sensor, semiconductor pressure sensor for industrial instrumentation, silicon integration pressure sensor, thick film humidity sensor and its application, photo sensor reflection type, and color sensor. It also deals with sensor for FA, sensor for a robot and sensor for the chemical industry.

  12. Practical Use Technique of Sensor

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Gyu Seop

    1985-11-15

    This book tells of practical use technology of sensor, introducing the recent trend of sensor for electronic industry, IC temperature sensor, radiation temperature sensor of surface acoustic wave, optical fiber temperature sensor, a polyelectrolyte film humidity sensor, semiconductor pressure sensor for industrial instrumentation, silicon integration pressure sensor, thick film humidity sensor and its application, photo sensor reflection type, and color sensor. It also deals with sensor for FA, sensor for a robot and sensor for the chemical industry.

  13. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  14. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  15. Gas Sensors Based on Tin Oxide Nanoparticles Synthesized from a Mini-Arc Plasma Source

    Directory of Open Access Journals (Sweden)

    Ganhua Lu

    2006-01-01

    Full Text Available Miniaturized gas sensors or electronic noses to rapidly detect and differentiate trace amount of chemical agents are extremely attractive. In this paper, we report on the fabrication and characterization of a functional tin oxide nanoparticle gas sensor. Tin oxide nanoparticles are first synthesized using a convenient and low-cost mini-arc plasma source. The nanoparticle size distribution is measured online using a scanning electrical mobility spectrometer (SEMS. The product nanoparticles are analyzed ex-situ by high resolution transmission electron microscopy (HRTEM for morphology and defects, energy dispersive X-ray (EDX spectroscopy for elemental composition, electron diffraction for crystal structure, and X-ray photoelectron spectroscopy (XPS for surface composition. Nonagglomerated rutile tin oxide (SnO2 nanoparticles as small as a few nm have been produced. Larger particles bear a core-shell structure with a metallic core and an oxide shell. The nanoparticles are then assembled onto an e-beam lithographically patterned interdigitated electrode using electrostatic force to fabricate the gas sensor. The nanoparticle sensor exhibits a fast response and a good sensitivity when exposed to 100 ppm ethanol vapor in air.

  16. Oxidative stress detection by MEMS cantilever sensor array based electronic nose

    Science.gov (United States)

    Gupta, Anurag; Singh, T. Sonamani; Singh, Priyanka; Yadava, R. D. S.

    2018-05-01

    This paper is concerned with analyzing the role of polymer swelling induced surface stress in MEMS chemical sensors. The objective is to determine the impact of surface stress on the chemical discrimination ability of MEMS resonator sensors. We considered a case study of hypoxia detection by MEMS sensor array and performed several types of simulation experiments for detection of oxidative stress volatile organic markers in human breath. Both types of sensor response models that account for the surface stress effect and that did not were considered for the analyses in comparison. It is found that the surface stress (hence the polymer swelling) provides better chemical discrimination ability to polymer coated MEMS sensors.

  17. Spray deposited gallium doped tin oxide thinfilm for acetone sensor application

    Science.gov (United States)

    Preethi, M. S.; Bharath, S. P.; Bangera, Kasturi V.

    2018-04-01

    Undoped and gallium doped (1 at.%, 2 at.% and 3 at.%) tin oxide thin films were prepared using spray pyrolysis technique by optimising the deposition conditions such as precursor concentration, substrate temperature and spraying rate. X-ray diffraction analysis revealed formation of tetragonally structured polycrystalline films. The SEM micrographs of Ga doped films showed microstructures. The electrical resistivity of the doped films was found to be more than that of the undoped films. The Ga-doped tin oxide thin films were characterised for gas sensors. 1 at.% Ga doped thin films were found to be better acetone gas sensor, showed 68% sensitivity at 350°C temperature.

  18. Microfabricated electrochemical sensors for combustion applications

    Science.gov (United States)

    Vulcano Rossi, Vitor A.; Mullen, Max R.; Karker, Nicholas A.; Zhao, Zhouying; Kowarz, Marek W.; Dutta, Prabir K.; Carpenter, Michael A.

    2015-05-01

    A new design for the miniaturization of an existing oxygen sensor is proposed based on the application of silicon microfabrication technologies to a cm sized O2 sensor demonstrated by Argonne National Laboratory and The Ohio State University which seals a metal/metal oxide within the structure to provide an integrated oxygen reference. The structural and processing changes suggested will result in a novel MEMS-based device meeting the semiconductor industry standards for cost efficiency and mass production. The MEMS design requires thin film depositions to create a YSZ membrane, palladium oxide reference and platinum electrodes. Pt electrodes are studied under operational conditions ensuring film conductivity over prolonged usage. SEM imaging confirms void formation after extended tests, consistent with the literature. Furthermore, hydrophilic bonding of pairs of silicon die samples containing the YSZ membrane and palladium oxide is discussed in order to create hermetic sealed cavities for oxygen reference. The introduction of tensile Si3N4 films to the backside of the silicon die generates bowing of the chips, compromising bond quality. This effect is controlled through the application of pressure during the initial bonding stages. In addition, KOH etching of the bonded die samples is discussed, and a YSZ membrane that survives the etching step is characterized by Raman spectroscopy.

  19. The kinetic of photoreactions in zinc oxide microrods

    Science.gov (United States)

    Fiedot, M.; Rac, O.; Suchorska-Woźniak, P.; Nawrot, W.; Teterycz, H.

    2016-01-01

    Zinc oxide is the oldest sensing material used in the chemical resistive gas sensors which allow to detect many gases, such as carbon oxide, nitrogen oxides and other. This material is also widely used in medicine and daily life as antibacterial agent. For this reason this semiconductor is often synthesized on the polymer substrates such as foils and textiles. In presented results zinc oxide was deposited on the surface of poly(ethylene terephthalate) foil to obtain antibacterial material. As synthesis method chemical bath deposition was chosen. The growth of zinc oxide structures was carried out in water solution of zinc nitrate (V) and hexamethylenetetramine in 90°C during 9 h. Because antibacterial properties of ZnO are strongly depended on photocatalytic and electric properties of this semiconductor impedance spectroscopy measurements were carried out. During the measurements material was tested with and without UV light to determinate the kinetic of photoreactions in zinc oxide. Moreover the composite was analyzed by XRD diffraction and scanning electron microscope. The X-ray analysis indicated that obtained material has the structure of wurtzite which is typical of zinc oxide. SEM images showed that on the PET foil microrods of ZnO were formed. The impedance spectroscopy measurements of ZnO layer showed that in UV light significant changes in the conductivity of the material are observed.

  20. The kinetic of photoreactions in zinc oxide microrods

    International Nuclear Information System (INIS)

    Fiedot, M; Rac, O; Suchorska-Woźniak, P; Nawrot, W; Teterycz, H

    2016-01-01

    Zinc oxide is the oldest sensing material used in the chemical resistive gas sensors which allow to detect many gases, such as carbon oxide, nitrogen oxides and other. This material is also widely used in medicine and daily life as antibacterial agent. For this reason this semiconductor is often synthesized on the polymer substrates such as foils and textiles. In presented results zinc oxide was deposited on the surface of poly(ethylene terephthalate) foil to obtain antibacterial material. As synthesis method chemical bath deposition was chosen. The growth of zinc oxide structures was carried out in water solution of zinc nitrate (V) and hexamethylenetetramine in 90°C during 9 h. Because antibacterial properties of ZnO are strongly depended on photocatalytic and electric properties of this semiconductor impedance spectroscopy measurements were carried out. During the measurements material was tested with and without UV light to determinate the kinetic of photoreactions in zinc oxide. Moreover the composite was analyzed by XRD diffraction and scanning electron microscope. The X-ray analysis indicated that obtained material has the structure of wurtzite which is typical of zinc oxide. SEM images showed that on the PET foil microrods of ZnO were formed. The impedance spectroscopy measurements of ZnO layer showed that in UV light significant changes in the conductivity of the material are observed

  1. Feigenbaum scenario in the dynamics of a metal-oxide semiconductor heterostructure under harmonic perturbation. Golden mean criticality

    International Nuclear Information System (INIS)

    Cristescu, C.P.; Mereu, B.; Stan, Cristina; Agop, M.

    2009-01-01

    Experimental investigations and theoretical analysis on the dynamics of a metal-oxide semiconductor heterostructure used as nonlinear capacity in a series RLC electric circuit are presented. A harmonic voltage perturbation can induce various nonlinear behaviours, particularly evolution to chaos by period doubling and torus destabilization. In this work we focus on the change in dynamics induced by a sinusoidal driving with constant frequency and variable amplitude. Theoretical treatment based on the microscopic mechanisms involved led us to a dynamic system with a piecewise behaviour. Consequently, a model consisting of a nonlinear oscillator described by a piecewise second order ordinary differential equation is proposed. This kind of treatment is required by the asymmetry in the behaviour of the metal-oxide semiconductor with respect to the polarization of the perturbing voltage. The dynamics of the theoretical model is in good agreement with the experimental results. A connection with El Naschie's E-infinity space-time is established based on the interpretation of our experimental results as evidence of the importance of the golden mean criticality in the microscopic world.

  2. A comparative study of semiconductor-based plasmonic metamaterials

    DEFF Research Database (Denmark)

    Naik, Gururaj V.; Boltasseva, Alexandra

    2011-01-01

    and very large negative real permittivity values, and in addition, their optical properties cannot be tuned. These issues that put severe constraints on the device applications of MMs could be overcome if semiconductors are used as plasmonic materials instead of metals. Heavily doped, wide bandgap oxide...... semiconductors could exhibit both a small negative real permittivity and relatively small losses in the NIR. Heavily doped oxides of zinc and indium were already reported to be good, low loss alternatives to metals in the NIR range. Here, we consider these transparent conducting oxides (TCOs) as alternative...

  3. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    International Nuclear Information System (INIS)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (R on,sp ), whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region, is proposed. The theoretical limit of its R on,sp is deduced, the influence of structure parameters on the breakdown voltage (BV) and R on,sp are investigated, and the optimized results with BV of 83 V and R on,sp of 54 mΩ·mm 2 are obtained. Simulations show that the inhomogeneous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET) has a superior 'R on,sp /BV' trade-off to the conventional VDMOS (a 38% reduction of R on,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of R on,sp with the same BV). The inhomogeneous-floating-islands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET. Its reverse recovery peak current, reverse recovery time and reverse recovery charge are about 50, 80 and 40% of those of the superjunction MOSFET, respectively. (interdisciplinary physics and related areas of science and technology)

  4. Oxidized Mn:Ge magnetic semiconductor: Observation of anomalous Hall effect and large magnetoresistance

    Science.gov (United States)

    Duc Dung, Dang; Choi, Jiyoun; Feng, Wuwei; Cao Khang, Nguyen; Cho, Sunglae

    2018-03-01

    We report on the structural and magneto-transport properties of the as-grown and oxidized Mn:Ge magnetic semiconductors. Based on X-ray diffraction and X-ray photoelectron spectroscopy results, the samples annealed at 650 and 700 °C became fully oxidized and the chemical binding energies of Mn was found to be Mn3O4. Thus, the system became Mn3O4 clusters embedded in Ge1-yOy. The as-grown sample showed positive linear Hall effect and negligible negative magnetoresistance (MR), which trend remained for the sample annealed up to 550 °C. Interestingly, for the samples annealed at above 650 °C, we observed the anomalous Hall effect around 45 K and the giant positive MR, which are respectively 59.2% and 78.5% at 7 kOe annealed at 650 °C and 700 °C.

  5. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    Science.gov (United States)

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  6. Capacitive Micro Pressure Sensor Integrated with a Ring Oscillator Circuit on Chip

    Directory of Open Access Journals (Sweden)

    Cheng-Yang Liu

    2009-12-01

    Full Text Available The study investigates a capacitive micro pressure sensor integrated with a ring oscillator circuit on a chip. The integrated capacitive pressure sensor is fabricated using the commercial CMOS (complementary metal oxide semiconductor process and a post-process. The ring oscillator is employed to convert the capacitance of the pressure sensor into the frequency output. The pressure sensor consists of 16 sensing cells in parallel. Each sensing cell contains a top electrode and a lower electrode, and the top electrode is a sandwich membrane. The pressure sensor needs a post-CMOS process to release the membranes after completion of the CMOS process. The post-process uses etchants to etch the sacrificial layers, and to release the membranes. The advantages of the post-process include easy execution and low cost. Experimental results reveal that the pressure sensor has a high sensitivity of 7 Hz/Pa in the pressure range of 0–300 kPa.

  7. Highly stable and imperceptible electronics utilizing photoactivated heterogeneous sol-gel metal-oxide dielectrics and semiconductors.

    Science.gov (United States)

    Jo, Jeong-Wan; Kim, Jaekyun; Kim, Kyung-Tae; Kang, Jin-Gu; Kim, Myung-Gil; Kim, Kwang-Ho; Ko, Hyungduk; Kim, Jiwan; Kim, Yong-Hoon; Park, Sung Kyu

    2015-02-18

    Incorporation of Zr into an AlOx matrix generates an intrinsically activated ZAO surface enabling the formation of a stable semiconducting IGZO film and good interfacial properties. Photochemically annealed metal-oxide devices and circuits with the optimized sol-gel ZAO dielectric and IGZO semiconductor layers demonstrate the high performance and electrically/mechanically stable operation of flexible electronics fabricated via a low-temperature solution process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Electrical memory features of ferromagnetic CoFeAlSi nano-particles embedded in metal-oxide-semiconductor matrix

    International Nuclear Information System (INIS)

    Lee, Ja Bin; Kim, Ki Woong; Lee, Jun Seok; An, Gwang Guk; Hong, Jin Pyo

    2011-01-01

    Half-metallic Heusler material Co 2 FeAl 0.5 Si 0.5 (CFAS) nano-particles (NPs) embedded in metal-oxide-semiconductor (MOS) structures with thin HfO 2 tunneling and MgO control oxides were investigated. The CFAS NPs were prepared by rapid thermal annealing. The formation of well-controlled CFAS NPs on thin HfO 2 tunneling oxide was confirmed by atomic force microscopy (AFM). Memory characteristics of CFAS NPs in MOS devices exhibited a large memory window of 4.65 V, as well as good retention and endurance times of 10 5 cycles and 10 9 s, respectively, demonstrating the potential of CFAS NPs as promising candidates for use in charge storage.

  9. The theory of surface-enhanced Raman scattering on semiconductor nanoparticles; toward the optimization of SERS sensors.

    Science.gov (United States)

    Lombardi, John R

    2017-12-04

    We present an expression for the lowest order nonzero contribution to the surface-enhanced Raman spectrum obtained from a system of a molecule adsorbed on a semiconductor nanoparticle. Herzberg-Teller vibronic coupling of the zero-order Born-Oppenheimer states results in an expression which may be regarded as an extension of the Albrecht A-, B-, and C-terms to SERS substrates. We show that the SERS enhancement is caused by combinations of several types of resonances in the combined system, namely, surface, exciton, charge-transfer, and molecular resonances. These resonances are coupled by terms in the numerator, which provide selection rules that enable various tests of the theory and predict the relative intensities of the Raman lines. Furthermore, by considering interactions of the various contributions to the SERS enhancement, we are able to develop ways to optimize the enhancement factor by tailoring the semiconductor nanostructure, thereby adjusting the locations of the various contributing resonances. This provides a procedure by which molecular sensors can be constructed and optimized. We provide several experimental examples on substrates such as monolayer MoS 2 and GaN nanorods.

  10. Measurement system for nitrous oxide based on amperometric gas sensor

    Science.gov (United States)

    Siswoyo, S.; Persaud, K. C.; Phillips, V. R.; Sneath, R.

    2017-03-01

    It has been well known that nitrous oxide is an important greenhouse gas, so monitoring and control of its concentration and emission is very important. In this work a nitrous oxide measurement system has been developed consisting of an amperometric sensor and an appropriate lab-made potentiostat that capable measuring picoampere current ranges. The sensor was constructed using a gold microelectrode as working electrode surrounded by a silver wire as quasi reference electrode, with tetraethyl ammonium perchlorate and dimethylsulphoxide as supporting electrolyte and solvent respectively. The lab-made potentiostat was built incorporating a transimpedance amplifier capable of picoampere measurements. This also incorporated a microcontroller based data acquisition system, controlled by a host personal computer using a dedicated computer program. The system was capable of detecting N2O concentrations down to 0.07 % v/v.

  11. Oxidation of Hydrocarbons on the Surface of Tin Dioxide Chemical Sensors

    Directory of Open Access Journals (Sweden)

    Izabela Polowczyk

    2011-04-01

    Full Text Available The paper presents the results of our investigation on the effect of the molecular structure of organic vapors on the characteristics of resistive chemical gas sensors. The sensors were based on tin dioxide and prepared by means of thick film technology. The electrical and catalytic examinations showed that the abstraction of two hydrogen atoms from the organic molecule and formation of a water in result of reaction with a chemisorbed oxygen ion, determine the rate of oxidation reactions, and thus the sensor performance. The rate of the process depends on the order of carbon atoms and Lewis acidity of the molecule. Therefore, any modification of the surface centers of a sensor material, modifies not only the sensor sensitivity, but also its selectivity.

  12. A highly sensitive and durable electrical sensor for liquid ethanol using thermally-oxidized mesoporous silicon

    Science.gov (United States)

    Harraz, Farid A.; Ismail, Adel A.; Al-Sayari, S. A.; Al-Hajry, A.; Al-Assiri, M. S.

    2016-12-01

    A capacitive detection of liquid ethanol using reactive, thermally oxidized films constructed from electrochemically synthesized porous silicon (PSi) is demonstrated. The sensor elements are fabricated as meso-PSi (pore sizes hydrophobic PSi surface exhibited almost a half sensitivity of the thermal oxide sensor. The response to water is achieved only at the oxidized surface and found to be ∼one quarter of the ethanol sensitivity, dependent on parameters such as vapor pressure and surface tension. The capacitance response retains ∼92% of its initial value after continuous nine cyclic runs and the sensors presumably keep long-term stability after three weeks storage, demonstrating excellent durability and storage stability. The observed behavior in current system is likely explained by the interface interaction due to dipole moment effect. The results suggest that the current sensor structure and design can be easily made to produce notably higher sensitivities for reversible detection of various analytes.

  13. Structural Properties and Sensing Performance of CeYxOy Sensing Films for Electrolyte-Insulator-Semiconductor pH Sensors.

    Science.gov (United States)

    Pan, Tung-Ming; Wang, Chih-Wei; Chen, Ching-Yi

    2017-06-07

    In this study we developed CeY x O y sensing membranes displaying super-Nernstian pH-sensitivity for use in electrolyte-insulator-semiconductor (EIS) pH sensors. We examined the effect of thermal annealing on the structural properties and sensing characteristics of the CeY x O y sensing membranes deposited through reactive co-sputtering onto Si substrates. X-ray diffraction, atomic force microscopy, and X-ray photoelectron spectroscopy revealed the structural, morphological, and chemical features, respectively, of the CeY x O y films after their annealing at 600-900 °C. Among the tested systems, the CeY x O y EIS device prepared with annealing at 800 °C exhibited the highest sensitivity (78.15 mV/pH), the lowest hysteresis voltage (1.4 mV), and the lowest drift rate (0.85 mV/h). Presumably, these annealing conditions optimized the stoichiometry of (CeY)O 2 in the film and its surface roughness while suppressing silicate formation at the CeY x O y -Si interface. We attribute the super-Nernstian pH-sensitivity to the incorporation of Y ions in the Ce framework, thereby decreasing the oxidation state Ce (Ce 4+  → Ce 3+ ) and resulting in less than one electron transferred per proton in the redox reaction.

  14. Achievement of High-Response Organic Field-Effect Transistor NO₂ Sensor by Using the Synergistic Effect of ZnO/PMMA Hybrid Dielectric and CuPc/Pentacene Heterojunction.

    Science.gov (United States)

    Han, Shijiao; Cheng, Jiang; Fan, Huidong; Yu, Junsheng; Li, Lu

    2016-10-21

    High-response organic field-effect transistor (OFET)-based NO₂ sensors were fabricated using the synergistic effect the synergistic effect of zinc oxide/poly(methyl methacrylate) (ZnO/PMMA) hybrid dielectric and CuPc/Pentacene heterojunction. Compared with the OFET sensors without synergistic effect, the fabricated OFET sensors showed a remarkable shift of saturation current, field-effect mobility and threshold voltage when exposed to various concentrations of NO₂ analyte. Moreover, after being stored in atmosphere for 30 days, the variation of saturation current increased more than 10 folds at 0.5 ppm NO₂. By analyzing the electrical characteristics, and the morphologies of organic semiconductor films of the OFET-based sensors, the performance enhancement was ascribed to the synergistic effect of the dielectric and organic semiconductor. The ZnO nanoparticles on PMMA dielectric surface decreased the grain size of pentacene formed on hybrid dielectric, facilitating the diffusion of CuPc molecules into the grain boundary of pentacene and the approach towards the conducting channel of OFET. Hence, NO₂ molecules could interact with CuPc and ZnO nanoparticles at the interface of dielectric and organic semiconductor. Our results provided a promising strategy for the design of high performance OFET-based NO₂ sensors in future electronic nose and environment monitoring.

  15. Image sensor pixel with on-chip high extinction ratio polarizer based on 65-nm standard CMOS technology.

    Science.gov (United States)

    Sasagawa, Kiyotaka; Shishido, Sanshiro; Ando, Keisuke; Matsuoka, Hitoshi; Noda, Toshihiko; Tokuda, Takashi; Kakiuchi, Kiyomi; Ohta, Jun

    2013-05-06

    In this study, we demonstrate a polarization sensitive pixel for a complementary metal-oxide-semiconductor (CMOS) image sensor based on 65-nm standard CMOS technology. Using such a deep-submicron CMOS technology, it is possible to design fine metal patterns smaller than the wavelengths of visible light by using a metal wire layer. We designed and fabricated a metal wire grid polarizer on a 20 × 20 μm(2) pixel for image sensor. An extinction ratio of 19.7 dB was observed at a wavelength 750 nm.

  16. Stannic Oxide-Titanium Dioxide Coupled Semiconductor Photocatalyst Loaded with Polyaniline for Enhanced Photocatalytic Oxidation of 1-Octene

    Directory of Open Access Journals (Sweden)

    Hadi Nur

    2007-01-01

    Full Text Available Stannic oxide-titanium dioxide (SnO2–TiO2 coupled semiconductor photocatalyst loaded with polyaniline (PANI, a conducting polymer, possesses a high photocatalytic activity in oxidation of 1-octene to 1,2-epoxyoctane with aqueous hydrogen peroxide. The photocatalyst was prepared by impregnation of SnO2 and followed by attachment of PANI onto a TiO2 powder to give sample PANI-SnO2–TiO2. The electrical conductivity of the system becomes high in the presence of PANI. Enhanced photocatalytic activity was observed in the case of PANI-SnO2–TiO2 compared to PANI-TiO2, SnO2–TiO2, and TiO2. A higher photocatalytic activity in the oxidation of 1-octene on PANI-SnO2–TiO2 than SnO2–TiO2, PANI-TiO2, and TiO2 can be considered as an evidence of enhanced charge separation of PANI-SnO2–TiO2 photocatalyst as confirmed by photoluminescence spectroscopy. It suggests that photoinjected electrons are tunneled from TiO2 to SnO2 and then to PANI in order to allow wider separation of excited carriers.

  17. An ultrasensitive method of real time pH monitoring with complementary metal oxide semiconductor image sensor.

    Science.gov (United States)

    Devadhasan, Jasmine Pramila; Kim, Sanghyo

    2015-02-09

    CMOS sensors are becoming a powerful tool in the biological and chemical field. In this work, we introduce a new approach on quantifying various pH solutions with a CMOS image sensor. The CMOS image sensor based pH measurement produces high-accuracy analysis, making it a truly portable and user friendly system. pH indicator blended hydrogel matrix was fabricated as a thin film to the accurate color development. A distinct color change of red, green and blue (RGB) develops in the hydrogel film by applying various pH solutions (pH 1-14). The semi-quantitative pH evolution was acquired by visual read out. Further, CMOS image sensor absorbs the RGB color intensity of the film and hue value converted into digital numbers with the aid of an analog-to-digital converter (ADC) to determine the pH ranges of solutions. Chromaticity diagram and Euclidean distance represent the RGB color space and differentiation of pH ranges, respectively. This technique is applicable to sense the various toxic chemicals and chemical vapors by situ sensing. Ultimately, the entire approach can be integrated into smartphone and operable with the user friendly manner. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  19. Nanostructured Metal Oxide Gas Sensors, a Survey of Applications Carried out at SENSOR Lab, Brescia (Italy in the Security and Food Quality Fields

    Directory of Open Access Journals (Sweden)

    Emanuela Gobbi

    2012-12-01

    Full Text Available In this work we report on metal oxide (MOX based gas sensors, presenting the work done at the SENSOR laboratory of the CNR-IDASC and University of Brescia, Italy since the 80s up to the latest results achieved in recent times. In particular we report the strategies followed at SENSOR during these 30 years to increase the performance of MOX sensors through the development of different preparation techniques, from Rheotaxial Growth Thermal Oxidation (RGTO to nanowire technology to address sensitivity and stability, and the development of electronic nose systems and pattern recognition techniques to address selectivity. We will show the obtained achievement in the context of selected applications such as safety and security and food quality control.

  20. Scheme for the fabrication of ultrashort channel metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Appenzeller, J.; Martel, R.; Solomon, P.; Chan, K.; Avouris, Ph.; Knoch, J.; Benedict, J.; Tanner, M.; Thomas, S.; Wang, K. L.

    2000-01-01

    We present a scheme for the fabrication of ultrashort channel length metal-oxide-semiconductor field-effect transistors (MOSFETs) involving nanolithography and molecular-beam epitaxy. The active channel is undoped and is defined by a combination of nanometer-scale patterning and anisotropic etching of an n ++ layer grown on a silicon on insulator wafer. The method is self-limiting and can produce MOSFET devices with channel lengths of less than 10 nm. Measurements on the first batch of n-MOSFET devices fabricated with this approach show very good output characteristics and good control of short-channel effects. (c) 2000 American Institute of Physics

  1. Microcontroller based instrumentation for heater control circuit of tin oxide based hydrogen sensor

    International Nuclear Information System (INIS)

    Premalatha, S.; Krithika, P.; Gunasekaran, G.; Ramakrishnan, R.; Ramanarayanan, R.R.; Prabhu, E.; Jayaraman, V.; Parthasarathy, R.

    2015-01-01

    A thin film sensor based on tin oxide developed in IGCAR is used to monitor very low levels of hydrogen (concentration ranging from 2 ppm to 80 ppm). The heater and the sensor patterns are integrated on a miniature alumina substrate and necessary electrical leads are taken out. For proper functioning of the sensor, the heater has to be maintained at a constant temperature of 350°C. The sensor output (voltage signal) varies with H 2 concentration. In fast breeder reactors, liquid sodium is used as coolant. The sensor is used to detect water/steam leak in secondary sodium circuit. During the start up of the reactor, steam leak into sodium circuit generates hydrogen gas as a product that doesn't dissolve in sodium, but escapes to the surge tank containing argon i.e. in cover gas plenum of sodium circuit. On-line monitoring of hydrogen in cover gas is done to detect an event of water/steam leakage. The focus of this project is on the instrumentation pertaining to the temperature control for the sensor heater. The tin oxide based hydrogen sensor is embedded in a substrate which consists of a platinum heater, essentially a resistor. There is no provision of embedding a temperature sensor on the heater surface due to the physical constraints, without which maintaining a constant heater temperature is a complex task

  2. Polypyrrole Porous Micro Humidity Sensor Integrated with a Ring Oscillator Circuit on Chip

    Science.gov (United States)

    Yang, Ming-Zhi; Dai, Ching-Liang; Lu, De-Hao

    2010-01-01

    This study presents the design and fabrication of a capacitive micro humidity sensor integrated with a five-stage ring oscillator circuit on chip using the complimentary metal oxide semiconductor (CMOS) process. The area of the humidity sensor chip is about 1 mm2. The humidity sensor consists of a sensing capacitor and a sensing film. The sensing capacitor is constructed from spiral interdigital electrodes that can enhance the sensitivity of the sensor. The sensing film of the sensor is polypyrrole, which is prepared by the chemical polymerization method, and the film has a porous structure. The sensor needs a post-CMOS process to coat the sensing film. The post-CMOS process uses a wet etching to etch the sacrificial layers, and then the polypyrrole is coated on the sensing capacitor. The sensor generates a change in capacitance when the sensing film absorbs or desorbs vapor. The ring oscillator circuit converts the capacitance variation of the sensor into the oscillation frequency output. Experimental results show that the sensitivity of the humidity sensor is about 99 kHz/%RH at 25 °C. PMID:22163459

  3. Long-term research in Japan: amorphous metals, metal oxide varistors, high-power semiconductors and superconducting generators

    Energy Technology Data Exchange (ETDEWEB)

    Hane, G.J.; Yorozu, M.; Sogabe, T.; Suzuki, S.

    1985-04-01

    The review revealed that significant activity is under way in the research of amorphous metals, but that little fundamental work is being pursued on metal oxide varistors and high-power semiconductors. Also, the investigation of long-term research program plans for superconducting generators reveals that activity is at a low level, pending the recommendations of a study currently being conducted through Japan's Central Electric Power Council.

  4. Achievement of High-Response Organic Field-Effect Transistor NO2 Sensor by Using the Synergistic Effect of ZnO/PMMA Hybrid Dielectric and CuPc/Pentacene Heterojunction

    Directory of Open Access Journals (Sweden)

    Shijiao Han

    2016-10-01

    Full Text Available High-response organic field-effect transistor (OFET-based NO2 sensors were fabricated using the synergistic effect the synergistic effect of zinc oxide/poly(methyl methacrylate (ZnO/PMMA hybrid dielectric and CuPc/Pentacene heterojunction. Compared with the OFET sensors without synergistic effect, the fabricated OFET sensors showed a remarkable shift of saturation current, field-effect mobility and threshold voltage when exposed to various concentrations of NO2 analyte. Moreover, after being stored in atmosphere for 30 days, the variation of saturation current increased more than 10 folds at 0.5 ppm NO2. By analyzing the electrical characteristics, and the morphologies of organic semiconductor films of the OFET-based sensors, the performance enhancement was ascribed to the synergistic effect of the dielectric and organic semiconductor. The ZnO nanoparticles on PMMA dielectric surface decreased the grain size of pentacene formed on hybrid dielectric, facilitating the diffusion of CuPc molecules into the grain boundary of pentacene and the approach towards the conducting channel of OFET. Hence, NO2 molecules could interact with CuPc and ZnO nanoparticles at the interface of dielectric and organic semiconductor. Our results provided a promising strategy for the design of high performance OFET-based NO2 sensors in future electronic nose and environment monitoring.

  5. Achievement of High-Response Organic Field-Effect Transistor NO2 Sensor by Using the Synergistic Effect of ZnO/PMMA Hybrid Dielectric and CuPc/Pentacene Heterojunction

    Science.gov (United States)

    Han, Shijiao; Cheng, Jiang; Fan, Huidong; Yu, Junsheng; Li, Lu

    2016-01-01

    High-response organic field-effect transistor (OFET)-based NO2 sensors were fabricated using the synergistic effect the synergistic effect of zinc oxide/poly(methyl methacrylate) (ZnO/PMMA) hybrid dielectric and CuPc/Pentacene heterojunction. Compared with the OFET sensors without synergistic effect, the fabricated OFET sensors showed a remarkable shift of saturation current, field-effect mobility and threshold voltage when exposed to various concentrations of NO2 analyte. Moreover, after being stored in atmosphere for 30 days, the variation of saturation current increased more than 10 folds at 0.5 ppm NO2. By analyzing the electrical characteristics, and the morphologies of organic semiconductor films of the OFET-based sensors, the performance enhancement was ascribed to the synergistic effect of the dielectric and organic semiconductor. The ZnO nanoparticles on PMMA dielectric surface decreased the grain size of pentacene formed on hybrid dielectric, facilitating the diffusion of CuPc molecules into the grain boundary of pentacene and the approach towards the conducting channel of OFET. Hence, NO2 molecules could interact with CuPc and ZnO nanoparticles at the interface of dielectric and organic semiconductor. Our results provided a promising strategy for the design of high performance OFET-based NO2 sensors in future electronic nose and environment monitoring. PMID:27775653

  6. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  7. Controlling the interface charge density in GaN-based metal-oxide-semiconductor heterostructures by plasma oxidation of metal layers

    International Nuclear Information System (INIS)

    Hahn, Herwig; Kalisch, Holger; Vescan, Andrei; Pécz, Béla; Kovács, András; Heuken, Michael

    2015-01-01

    In recent years, investigating and engineering the oxide-semiconductor interface in GaN-based devices has come into focus. This has been driven by a large effort to increase the gate robustness and to obtain enhancement mode transistors. Since it has been shown that deep interface states act as fixed interface charge in the typical transistor operating regime, it appears desirable to intentionally incorporate negative interface charge, and thus, to allow for a positive shift in threshold voltage of transistors to realise enhancement mode behaviour. A rather new approach to obtain such negative charge is the plasma-oxidation of thin metal layers. In this study, we present transmission electron microscopy and energy dispersive X-ray spectroscopy analysis as well as electrical data for Al-, Ti-, and Zr-based thin oxide films on a GaN-based heterostructure. It is shown that the plasma-oxidised layers have a polycrystalline morphology. An interfacial amorphous oxide layer is only detectable in the case of Zr. In addition, all films exhibit net negative charge with varying densities. The Zr layer is providing a negative interface charge density of more than 1 × 10 13  cm –2 allowing to considerably shift the threshold voltage to more positive values

  8. Iron oxide-mediated semiconductor photocatalysis vs. heterogeneous photo-Fenton treatment of viruses in wastewater. Impact of the oxide particle size.

    Science.gov (United States)

    Giannakis, Stefanos; Liu, Siting; Carratalà, Anna; Rtimi, Sami; Talebi Amiri, Masoud; Bensimon, Michaël; Pulgarin, César

    2017-10-05

    The photo-Fenton process is recognized as a promising technique towards microorganism disinfection in wastewater, but its efficiency is hampered at near-neutral pH operating values. In this work, we overcome these obstacles by using the heterogeneous photo-Fenton process as the default disinfecting technique, targeting MS2 coliphage in wastewater. The use of low concentrations of iron oxides in wastewater without H 2 O 2 (wüstite, maghemite, magnetite) has demonstrated limited semiconductor-mediated MS2 inactivation. Changing the operational pH and the size of the oxide particles indicated that the isoelectric point of the iron oxides and the active surface area are crucial in the success of the process, and the possible underlying mechanisms are investigated. Furthermore, the addition of low amounts of Fe-oxides (1mgL -1 ) and H 2 O 2 in the system (1, 5 and 10mgL -1 ) greatly enhanced the inactivation process, leading to heterogeneous photo-Fenton processes on the surface of the magnetically separable oxides used. Additionally, photo-dissolution of iron in the bulk, lead to homogeneous photo-Fenton, further aided by the complexation by the dissolved organic matter in the solution. Finally, we assess the impact of the presence of the bacterial host and the difference caused by the different iron sources (salts, oxides) and the Fe-oxide size (normal, nano-sized). Copyright © 2017 Elsevier B.V. All rights reserved.

  9. A Reconfigurable Readout Integrated Circuit for Heterogeneous Display-Based Multi-Sensor Systems

    Directory of Open Access Journals (Sweden)

    Kyeonghwan Park

    2017-04-01

    Full Text Available This paper presents a reconfigurable multi-sensor interface and its readout integrated circuit (ROIC for display-based multi-sensor systems, which builds up multi-sensor functions by utilizing touch screen panels. In addition to inherent touch detection, physiological and environmental sensor interfaces are incorporated. The reconfigurable feature is effectively implemented by proposing two basis readout topologies of amplifier-based and oscillator-based circuits. For noise-immune design against various noises from inherent human-touch operations, an alternate-sampling error-correction scheme is proposed and integrated inside the ROIC, achieving a 12-bit resolution of successive approximation register (SAR of analog-to-digital conversion without additional calibrations. A ROIC prototype that includes the whole proposed functions and data converters was fabricated in a 0.18 μm complementary metal oxide semiconductor (CMOS process, and its feasibility was experimentally verified to support multiple heterogeneous sensing functions of touch, electrocardiogram, body impedance, and environmental sensors.

  10. Nanoporous Pirani sensor based on anodic aluminum oxide

    Science.gov (United States)

    Jeon, Gwang-Jae; Kim, Woo Young; Shim, Hyun Bin; Lee, Hee Chul

    2016-09-01

    A nanoporous Pirani sensor based on anodic aluminum oxide (AAO) is proposed, and the quantitative relationship between the performance of the sensor and the porosity of the AAO membrane is characterized with a theoretical model. The proposed Pirani sensor is composed of a metallic resistor on a suspended nanoporous membrane, which simultaneously serves as the sensing area and the supporting structure. The AAO membrane has numerous vertically-tufted nanopores, resulting in a lower measurable pressure limit due to both the increased effective sensing area and the decreased effective thermal loss through the supporting structure. Additionally, the suspended AAO membrane structure, with its outer periphery anchored to the substrate, known as a closed-type design, is demonstrated using nanopores of AAO as an etch hole without a bulk micromachining process used on the substrate. In a CMOS-compatible process, a 200 μm × 200 μm nanoporous Pirani sensor with porosity of 25% was capable of measuring the pressure from 0.1 mTorr to 760 Torr. With adjustment of the porosity of the AAO, the measurable range could be extended toward lower pressures of more than one decade compared to a non-porous membrane with an identical footprint.

  11. Tin oxide quantum dots embedded iron oxide composite as efficient lead sensor

    Science.gov (United States)

    Dutta, Dipa; Bahadur, Dhirendra

    2018-04-01

    SnO2 quantum dots (QDs) embedded iron oxide (IO) nanocomposite is fabricated and explored as a capable sensor for lead detection. Square wave anodic stripping voltammetry (SWASV) and amperometry have been used to explore the proposed sensor's response towards lead detection. The modified electrode shows linear current response for concentration of lead ranging from 99 nM to 6.6 µM with limit of detection 0.42 µM (34 ppb). Amperometry shows a detection limit as low as 0.18 nM (0.015 ppb); which is far below the permissible limit of lead in drinking water by World Health Organization. This proposed sensor shows linear current response (R2 = 0.98) for the lead concentration ranging from 133 × 10-9 to 4.4 × 10-6M. It also exhibits rapid response time of 12 sec with an ultra high sensitivity of 5.5 µA/nM. These detection properties promise the use of SnO2 QDs -IO composite for detection of lead in environmental sample with great ease.

  12. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    Science.gov (United States)

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  13. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  14. Empirical study of the metal-nitride-oxide-semiconductor device characteristics deduced from a microscopic model of memory traps

    International Nuclear Information System (INIS)

    Ngai, K.L.; Hsia, Y.

    1982-01-01

    A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features

  15. Micro- and Nanostructured Metal Oxide Chemical Sensors for Volatile Organic Compounds

    Science.gov (United States)

    Alim, M. A.; Penn, B. G.; Currie, J. R., Jr.; Batra, A. K.; Aggarwal, M. D.

    2008-01-01

    Aeronautic and space applications warrant the development of chemical sensors which operate in a variety of environments. This technical memorandum incorporates various kinds of chemical sensors and ways to improve their performance. The results of exploratory investigation of the binary composite polycrystalline thick-films such as SnO2-WO3, SnO2-In2O3, SnO2-ZnO for the detection of volatile organic compound (isopropanol) are reported. A short review of the present status of the new types of nanostructured sensors such as nanobelts, nanorods, nanotube, etc. based on metal oxides is presented.

  16. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  17. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  18. High-content analysis of single cells directly assembled on CMOS sensor based on color imaging.

    Science.gov (United States)

    Tanaka, Tsuyoshi; Saeki, Tatsuya; Sunaga, Yoshihiko; Matsunaga, Tadashi

    2010-12-15

    A complementary metal oxide semiconductor (CMOS) image sensor was applied to high-content analysis of single cells which were assembled closely or directly onto the CMOS sensor surface. The direct assembling of cell groups on CMOS sensor surface allows large-field (6.66 mm×5.32 mm in entire active area of CMOS sensor) imaging within a second. Trypan blue-stained and non-stained cells in the same field area on the CMOS sensor were successfully distinguished as white- and blue-colored images under white LED light irradiation. Furthermore, the chemiluminescent signals of each cell were successfully visualized as blue-colored images on CMOS sensor only when HeLa cells were placed directly on the micro-lens array of the CMOS sensor. Our proposed approach will be a promising technique for real-time and high-content analysis of single cells in a large-field area based on color imaging. Copyright © 2010 Elsevier B.V. All rights reserved.

  19. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    Energy Technology Data Exchange (ETDEWEB)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun; Sonde, Sushant; Banerjee, Sanjay K. [Department of Electrical and Computer Engineering, Microelectronics Research Center, The University of Texas at Austin, 10100 Burnet Road, Austin, Texas 78758 (United States); Kwon, Hyuk-Min [SK Hynix, Icheon, 2091, Gyeongchung-daero, Bubal-eub, Icheon-si, Gyeonggi-do 136-1 (Korea, Republic of); Orzali, Tommaso; Kim, Tae-Woo, E-mail: twkim78@gmail.com [SEMATECH Inc., 257 Fuller Rd #2200, Albany, New York 12203 (United States); Kim, Dae-Hyun [Kyungpook National University, 80, Daehak-ro, Buk-gu, Daegu 702-701 (Korea, Republic of)

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}), which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.

  20. Chemoresistive gas sensor

    Science.gov (United States)

    Hirschfeld, T.B.

    1987-06-23

    A chemoresistive gas sensor is provided which has improved sensitivity. A layer of organic semiconductor is disposed between two electrodes which, in turn, are connected to a voltage source. High conductivity material is dispersed within the layer of organic semiconductor in the form of very small particles, or islands. The average interisland spacing is selected so that the predominant mode of current flow is by way of electron funneling. Adsorption of gaseous contaminant onto the layer of organic semiconductor modulates the tunneling current in a quantitative manner. 2 figs.

  1. Optimized Feature Extraction for Temperature-Modulated Gas Sensors

    Directory of Open Access Journals (Sweden)

    Alexander Vergara

    2009-01-01

    Full Text Available One of the most serious limitations to the practical utilization of solid-state gas sensors is the drift of their signal. Even if drift is rooted in the chemical and physical processes occurring in the sensor, improved signal processing is generally considered as a methodology to increase sensors stability. Several studies evidenced the augmented stability of time variable signals elicited by the modulation of either the gas concentration or the operating temperature. Furthermore, when time-variable signals are used, the extraction of features can be accomplished in shorter time with respect to the time necessary to calculate the usual features defined in steady-state conditions. In this paper, we discuss the stability properties of distinct dynamic features using an array of metal oxide semiconductors gas sensors whose working temperature is modulated with optimized multisinusoidal signals. Experiments were aimed at measuring the dispersion of sensors features in repeated sequences of a limited number of experimental conditions. Results evidenced that the features extracted during the temperature modulation reduce the multidimensional data dispersion among repeated measurements. In particular, the Energy Signal Vector provided an almost constant classification rate along the time with respect to the temperature modulation.

  2. Single photon sources in 4H-SiC metal-oxide-semiconductor field-effect transistors

    Science.gov (United States)

    Abe, Y.; Umeda, T.; Okamoto, M.; Kosugi, R.; Harada, S.; Haruyama, M.; Kada, W.; Hanaizumi, O.; Onoda, S.; Ohshima, T.

    2018-01-01

    We present single photon sources (SPSs) embedded in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). They are formed in the SiC/SiO2 interface regions of wet-oxidation C-face 4H-SiC MOSFETs and were not found in other C-face and Si-face MOSFETs. Their bright room-temperature photoluminescence (PL) was observed in the range from 550 to 750 nm and revealed variable multi-peak structures as well as variable peak shifts. We characterized a wide variety of their PL spectra as the inevitable variation of local atomic structures at the interface. Their polarization dependence indicates that they are formed at the SiC side of the interface. We also demonstrate that it is possible to switch on/off the SPSs by a bias voltage of the MOSFET.

  3. Electrical Design and Evaluation of Asynchronous Serial Bus Communication Network of 48 Sensor Platform LSIs with Single-Ended I/O for Integrated MEMS-LSI Sensors

    Science.gov (United States)

    Shao, Chenzhong; Tanaka, Shuji; Nakayama, Takahiro; Hata, Yoshiyuki

    2018-01-01

    For installing many sensors in a limited space with a limited computing resource, the digitization of the sensor output at the site of sensation has advantages such as a small amount of wiring, low signal interference and high scalability. For this purpose, we have developed a dedicated Complementary Metal-Oxide-Semiconductor (CMOS) Large-Scale Integration (LSI) (referred to as “sensor platform LSI”) for bus-networked Micro-Electro-Mechanical-Systems (MEMS)-LSI integrated sensors. In this LSI, collision avoidance, adaptation and event-driven functions are simply implemented to relieve data collision and congestion in asynchronous serial bus communication. In this study, we developed a network system with 48 sensor platform LSIs based on Printed Circuit Board (PCB) in a backbone bus topology with the bus length being 2.4 m. We evaluated the serial communication performance when 48 LSIs operated simultaneously with the adaptation function. The number of data packets received from each LSI was almost identical, and the average sampling frequency of 384 capacitance channels (eight for each LSI) was 73.66 Hz. PMID:29342923

  4. Electrical Design and Evaluation of Asynchronous Serial Bus Communication Network of 48 Sensor Platform LSIs with Single-Ended I/O for Integrated MEMS-LSI Sensors

    Directory of Open Access Journals (Sweden)

    Chenzhong Shao

    2018-01-01

    Full Text Available For installing many sensors in a limited space with a limited computing resource, the digitization of the sensor output at the site of sensation has advantages such as a small amount of wiring, low signal interference and high scalability. For this purpose, we have developed a dedicated Complementary Metal-Oxide-Semiconductor (CMOS Large-Scale Integration (LSI (referred to as “sensor platform LSI” for bus-networked Micro-Electro-Mechanical-Systems (MEMS-LSI integrated sensors. In this LSI, collision avoidance, adaptation and event-driven functions are simply implemented to relieve data collision and congestion in asynchronous serial bus communication. In this study, we developed a network system with 48 sensor platform LSIs based on Printed Circuit Board (PCB in a backbone bus topology with the bus length being 2.4 m. We evaluated the serial communication performance when 48 LSIs operated simultaneously with the adaptation function. The number of data packets received from each LSI was almost identical, and the average sampling frequency of 384 capacitance channels (eight for each LSI was 73.66 Hz.

  5. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin films have been investigated as protective coatings for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å h-l. Etching in liquids...... with pH values in the range from pH 2 to 11 have generally given etch rates below 0.04 Å h-l. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex situ...... annealing O2 in the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallization lines are hard to cover. Sputtered tantalum oxide...

  6. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin-films have been investigated as protective coating for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å/h. Etching in liquids with p......H values in the range from pH 2-11 have generally given etch rates below 0.04 Å/h. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex-situ annealing in O2...... the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallisation lines are hard to cover. Sputtered tantalum oxide exhibits high...

  7. Optical-Interferometry-Based CMOS-MEMS Sensor Transduced by Stress-Induced Nanomechanical Deflection

    Science.gov (United States)

    Maruyama, Satoshi; Hizawa, Takeshi; Takahashi, Kazuhiro; Sawada, Kazuaki

    2018-01-01

    We developed a Fabry–Perot interferometer sensor with a metal-oxide-semiconductor field-effect transistor (MOSFET) circuit for chemical sensing. The novel signal transducing technique was performed in three steps: mechanical deflection, transmittance change, and photocurrent change. A small readout photocurrent was processed by an integrated source follower circuit. The movable film of the sensor was a 350-nm-thick polychloro-para-xylylene membrane with a diameter of 100 µm and an air gap of 300 nm. The linearity of the integrated source follower circuit was obtained. We demonstrated a gas response using 80-ppm ethanol detected by small membrane deformation of 50 nm, which resulted in an output-voltage change with the proposed high-efficiency transduction. PMID:29304011

  8. Optical-Interferometry-Based CMOS-MEMS Sensor Transduced by Stress-Induced Nanomechanical Deflection.

    Science.gov (United States)

    Maruyama, Satoshi; Hizawa, Takeshi; Takahashi, Kazuhiro; Sawada, Kazuaki

    2018-01-05

    We developed a Fabry-Perot interferometer sensor with a metal-oxide-semiconductor field-effect transistor (MOSFET) circuit for chemical sensing. The novel signal transducing technique was performed in three steps: mechanical deflection, transmittance change, and photocurrent change. A small readout photocurrent was processed by an integrated source follower circuit. The movable film of the sensor was a 350-nm-thick polychloro-para-xylylene membrane with a diameter of 100 µm and an air gap of 300 nm. The linearity of the integrated source follower circuit was obtained. We demonstrated a gas response using 80-ppm ethanol detected by small membrane deformation of 50 nm, which resulted in an output-voltage change with the proposed high-efficiency transduction.

  9. Miniature semiconductor detectors for in vivo dosimetry

    International Nuclear Information System (INIS)

    Rosenfeld, A. B.; Cutajar, D.; Lerch, M. L. F.; Takacs, G.; Cornelius, I. M.; Yudelev, M.; Zaider, M.

    2006-01-01

    Silicon mini-semiconductor detectors are found in wide applications for in vivo personal dosimetry and dosimetry and Micro-dosimetry of different radiation oncology modalities. These applications are based on integral and spectroscopy modes of metal oxide semiconductor field effect transistor and silicon p-n junction detectors. The advantages and limitations of each are discussed. (authors)

  10. The role of metallic impurities in oxide semiconductors: first-principles calculations and PAC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Errico, L.A.; Fabricius, G.; Renteria, M. [Departamento de Fisica, Facultad de Ciencias Exactas, Universidad Nacional de La Plata, CC 67, 1900 La Plata (Argentina)

    2004-08-01

    We report an ab-initio comparative study of the electric-field-gradient tensor (EFG) and structural relaxations introduced by acceptor (Cd) and donor (Ta) impurities when they replace cations in a series of binary oxides: TiO{sub 2}, SnO{sub 2}, and In{sub 2}O{sub 3}. Calculations were performed with the Full-Potential Linearized-Augmented Plane Waves method that allows us to treat the electronic structure and the atomic relaxations in a fully self-consistent way. We considered different charge states for each impurity and studied the dependence on these charge states of the electronic properties and the structural relaxations. Our results are compared with available data coming from PAC experiments and previous calculations, allowing us to obtain a new insight on the role that metal impurities play in oxide semiconductors. It is clear from our results that simple models can not describe the measured EFGs at impurities in oxides even approximately. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Rectification at Graphene-Semiconductor Interfaces: Zero-Gap Semiconductor-Based Diodes

    Directory of Open Access Journals (Sweden)

    S. Tongay

    2012-01-01

    Full Text Available Using current-voltage (I-V, capacitance-voltage (C-V, and electric-field-modulated Raman measurements, we report on the unique physics and promising technical applications associated with the formation of Schottky barriers at the interface of a one-atom-thick zero-gap semiconductor (graphene and conventional semiconductors. When chemical-vapor-deposited graphene is transferred onto n-type Si, GaAs, 4H-SiC, and GaN semiconductor substrates, there is a strong van-der-Waals attraction that is accompanied by charge transfer across the interface and the formation of a rectifying (Schottky barrier. Thermionic-emission theory in conjunction with the Schottky-Mott model within the context of bond-polarization theory provides a surprisingly good description of the electrical properties. Applications can be made to sensors, where in forward bias there is exponential sensitivity to changes in the Schottky-barrier height due to the presence of absorbates on the graphene, and to analog devices, for which Schottky barriers are integral components. Such applications are promising because of graphene’s mechanical stability, its resistance to diffusion, its robustness at high temperatures, and its demonstrated capability to embrace multiple functionalities.

  12. The origin of magnetism in anatase Co-doped TiO2 magnetic semiconductors

    NARCIS (Netherlands)

    Lee, Y.J.

    2010-01-01

    Dilute magnetic semiconductors (DMS) can be tailored by doping a small amount of elements containing a magnetic moment into host semiconductors, which leads to a new class of semiconductors with the functionality of tunable magnetic properties. Recently, oxide semiconductors have attained interests

  13. TCT characterization of different semiconductor materials for particle detection

    International Nuclear Information System (INIS)

    Fink, J.; Lodomez, P.; Krueger, H.; Pernegger, H.; Weilhammer, P.; Wermes, N.

    2006-01-01

    The development of digital semiconductor based X-ray detectors necessitates a detailed understanding of the applied sensor material. Under this premise a broad-band transient current technique (TCT) setup has been developed and used to characterize different semiconductors. The measurements are based on the generation of electrical charges within the sensor material and the subsequent time-resolved analysis of the charge carrier movement. From the recorded current pulses the charge collection efficiency, the charge carrier mobility and the electric field profile have been extracted. The examined materials are silicon p in n diodes, ohmic and Schottky contacted CdTe detectors, CdZnTe (CZT) crystals with Schottky contacts as well as two single-crystal CVD-diamonds

  14. Fabrication and Characterization of a Micro Methanol Sensor Using the CMOS-MEMS Technique

    Directory of Open Access Journals (Sweden)

    Chien-Fu Fong

    2015-10-01

    Full Text Available A methanol microsensor integrated with a micro heater manufactured using the complementary metal oxide semiconductor (CMOS-microelectromechanical system (MEMS technique was presented. The sensor has a capability of detecting low concentration methanol gas. Structure of the sensor is composed of interdigitated electrodes, a sensitive film and a heater. The heater located under the interdigitated electrodes is utilized to provide a working temperature to the sensitive film. The sensitive film prepared by the sol-gel method is tin dioxide doped cadmium sulfide, which is deposited on the interdigitated electrodes. To obtain the suspended structure and deposit the sensitive film, the sensor needs a post-CMOS process to etch the sacrificial silicon dioxide layer and silicon substrate. The methanol senor is a resistive type. A readout circuit converts the resistance variation of the sensor into the output voltage. The experimental results show that the methanol sensor has a sensitivity of 0.18 V/ppm.

  15. Fabrication and Characterization of a Micro Methanol Sensor Using the CMOS-MEMS Technique.

    Science.gov (United States)

    Fong, Chien-Fu; Dai, Ching-Liang; Wu, Chyan-Chyi

    2015-10-23

    A methanol microsensor integrated with a micro heater manufactured using the complementary metal oxide semiconductor (CMOS)-microelectromechanical system (MEMS) technique was presented. The sensor has a capability of detecting low concentration methanol gas. Structure of the sensor is composed of interdigitated electrodes, a sensitive film and a heater. The heater located under the interdigitated electrodes is utilized to provide a working temperature to the sensitive film. The sensitive film prepared by the sol-gel method is tin dioxide doped cadmium sulfide, which is deposited on the interdigitated electrodes. To obtain the suspended structure and deposit the sensitive film, the sensor needs a post-CMOS process to etch the sacrificial silicon dioxide layer and silicon substrate. The methanol senor is a resistive type. A readout circuit converts the resistance variation of the sensor into the output voltage. The experimental results show that the methanol sensor has a sensitivity of 0.18 V/ppm.

  16. Fabrication and Evaluation of a Graphene Oxide-Based Capacitive Humidity Sensor.

    Science.gov (United States)

    Feng, Jinfeng; Kang, Xiaoxu; Zuo, Qingyun; Yuan, Chao; Wang, Weijun; Zhao, Yuhang; Zhu, Limin; Lu, Hanwei; Chen, Juying

    2016-03-01

    In this study, a CMOS compatible capacitive humidity sensor structure was designed and fabricated on a 200 mm CMOS BEOL Line. A top Al interconnect layer was used as an electrode with a comb/serpent structure, and graphene oxide (GO) was used as sensing material. XRD analysis was done which shows that GO sensing material has a strong and sharp (002) peak at about 10.278°, whereas graphite has (002) peak at about 26°. Device level CV and IV curves were measured in mini-environments at different relative humidity (RH) level, and saturated salt solutions were used to build these mini-environments. To evaluate the potential value of GO material in humidity sensor applications, a prototype humidity sensor was designed and fabricated by integrating the sensor with a dedicated readout ASIC and display/calibration module. Measurements in different mini-environments show that the GO-based humidity sensor has higher sensitivity, faster recovery time and good linearity performance. Compared with a standard humidity sensor, the measured RH data of our prototype humidity sensor can match well that of the standard product.

  17. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2013-01-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment

  18. An Energy-Efficient ASIC for Wireless Body Sensor Networks in Medical Applications.

    Science.gov (United States)

    Xiaoyu Zhang; Hanjun Jiang; Lingwei Zhang; Chun Zhang; Zhihua Wang; Xinkai Chen

    2010-02-01

    An energy-efficient application-specific integrated circuit (ASIC) featured with a work-on-demand protocol is designed for wireless body sensor networks (WBSNs) in medical applications. Dedicated for ultra-low-power wireless sensor nodes, the ASIC consists of a low-power microcontroller unit (MCU), a power-management unit (PMU), reconfigurable sensor interfaces, communication ports controlling a wireless transceiver, and an integrated passive radio-frequency (RF) receiver with energy harvesting ability. The MCU, together with the PMU, provides quite flexible communication and power-control modes for energy-efficient operations. The always-on passive RF receiver with an RF energy harvesting block offers the sensor nodes the capability of work-on-demand with zero standby power. Fabricated in standard 0.18-¿m complementary metal-oxide semiconductor technology, the ASIC occupies a die area of 2 mm × 2.5 mm. A wireless body sensor network sensor-node prototype using this ASIC only consumes < 10-nA current under the passive standby mode, and < 10 ¿A under the active standby mode, when supplied by a 3-V battery.

  19. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.

  20. Surface passivation function of indium-tin-oxide-based nanorod structural sensors

    International Nuclear Information System (INIS)

    Lin, Tzu-Shun; Lee, Ching-Ting; Lee, Hisn-Ying; Lin, Chih-Chien

    2012-01-01

    Employing self-shadowing traits of an oblique-angle electron-beam deposition system, various indium tin oxide (ITO) nanorod arrays were deposited on a silicon substrate and used as extended-gate field-effect-transistor (EGFET) pH sensors. The length and morphology of the deposited ITO nanorod arrays could be changed and controlled under different deposition conditions. The ITO nanorod structural EGFET pH sensors exhibited high sensing performances owing to the larger sensing surface area. The sensitivity of the pH sensors with 150-nm-length ITO nanorod arrays was 53.96 mV/pH. By using the photoelectrochemical treatment of the ITO nanorod arrays, the sensitivity of the pH sensors with 150-nm-length passivated ITO nanorod arrays was improved to 57.21 mV/pH.

  1. Decoding mobile-phone image sensor rolling shutter effect for visible light communications

    Science.gov (United States)

    Liu, Yang

    2016-01-01

    Optical wireless communication (OWC) using visible lights, also known as visible light communication (VLC), has attracted significant attention recently. As the traditional OWC and VLC receivers (Rxs) are based on PIN photo-diode or avalanche photo-diode, deploying the complementary metal-oxide-semiconductor (CMOS) image sensor as the VLC Rx is attractive since nowadays nearly every person has a smart phone with embedded CMOS image sensor. However, deploying the CMOS image sensor as the VLC Rx is challenging. In this work, we propose and demonstrate two simple contrast ratio (CR) enhancement schemes to improve the contrast of the rolling shutter pattern. Then we describe their processing algorithms one by one. The experimental results show that both the proposed CR enhancement schemes can significantly mitigate the high-intensity fluctuations of the rolling shutter pattern and improve the bit-error-rate performance.

  2. PALLADIUM DOPED TIN OXIDE BASED HYDROGEN GAS SENSORS FOR SAFETY APPLICATIONS

    International Nuclear Information System (INIS)

    Kasthurirengan, S.; Behera, Upendra; Nadig, D. S.

    2010-01-01

    Hydrogen is considered to be a hazardous gas since it forms a flammable mixture between 4 to 75% by volume in air. Hence, the safety aspects of handling hydrogen are quite important. For this, ideally, highly selective, fast response, small size, hydrogen sensors are needed. Although sensors based on different technologies may be used, thin-film sensors based on palladium (Pd) are preferred due to their compactness and fast response. They detect hydrogen by monitoring the changes to the electrical, mechanical or optical properties of the films. We report the development of Pd-doped tin-oxide based gas sensors prepared on thin ceramic substrates with screen printed platinum (Pt) contacts and integrated nicrome wire heaters. The sensors are tested for their performances using hydrogen-nitrogen gas mixtures to a maximum of 4%H 2 in N 2 . The sensors detect hydrogen and their response times are less than a few seconds. Also, the sensor performance is not altered by the presence of helium in the test gas mixtures. By the above desired performance characteristics, field trials of these sensors have been undertaken. The paper presents the details of the sensor fabrication, electronic circuits, experimental setup for evaluation and the test results.

  3. Development and Application of Microfabricated Chemical Gas Sensors For Aerospace Applications

    Science.gov (United States)

    Hunter, G. W.; Neudeck, P. G.; Fralick, G.; Thomas, V.; Liu, C. C.; Wu, Q. H.; Sawayda, M. S.; Jin, A.; Hammond, J.; Makel, D.; hide

    1990-01-01

    Aerospace applications require the development of chemical sensors with capabilities beyond those of commercially available sensors. In particular, factors such as minimal sensor size, weight, and power consumption are particularly important. Development areas which have potential aerospace applications include launch vehicle leak detection, engine health monitoring and control, and fire detection. Sensor development for these applications is based on progress in three types of technology: 1) Micromachining and microfabrication (Microsystem) technology to fabricate miniaturized sensors. 2) The use of nanocrystalline materials to develop sensors with improved stability combined with higher sensitivity. 3) The development of high temperature semiconductors, especially silicon carbide. Sensor development for each application involves its own challenges in the fields of materials science and fabrication technology. This paper discusses the needs of space applications and the point-contact sensor technology being developed to address these needs. Sensors to measure hydrogen, hydrocarbons, nitrogen oxides (Nox, carbon monoxide, oxygen, and carbon dioxide are being developed. A description is given of each sensor type and its present stage of development. Demonstration and application these sensor technologies will be described. The demonstrations range from use of a microsystem based hydrogen sensor on the Shuttle to engine demonstration of a nanocrystalline based sensor for NO, detection. It is concluded that microfabricated sensor technology has significant potential for use in a range of aerospace applications.

  4. Memory characteristics of Au nanocrystals embedded in metal-oxide-semiconductor structure by using atomic-layer-deposited Al2O3 as control oxide

    International Nuclear Information System (INIS)

    Wang, C.-C.; Chiou, Y.-K.; Chang, C.-H.; Tseng, J.-Y.; Wu, L.-J.; Chen, C.-Y.; Wu, T.-B.

    2007-01-01

    The nonvolatile memory characteristics of metal-oxide-semiconductor (MOS) structures containing Au nanocrystals in the Al 2 O 3 /SiO 2 matrix were studied. In this work, we have demonstrated that the use of Al 2 O 3 as control oxide prepared by atomic-layer-deposition enhances the erase speed of the MOS capacitors. A giant capacitance-voltage hysteresis loop and a very short erase time which is lower than 1 ms can be obtained. Compared with the conventional floating-gate electrically erasable programmable read-only memories, the erase speed was promoted drastically. In addition, very low leakage current and large turn-around voltage resulting from electrons or holes stored in the Au nanocrystals were found in the current-voltage relation of the MOS capacitors

  5. Direct electrochemistry and electrocatalysis of lobetyolin via magnetic functionalized reduced graphene oxide film fabricated electrochemical sensor

    International Nuclear Information System (INIS)

    Sun, Bolu; Gou, Xiaodan; Bai, Ruibin; Abdelmoaty, Ahmed Attia Ahmed; Ma, Yuling; Zheng, Xiaoping; Hu, Fangdi

    2017-01-01

    A novel lobetyolin electrochemical sensor based on a magnetic functionalized reduced graphene oxide/Nafion nanohybrid film has been introduced in this work. The magnetic functionalized reduced graphene oxide was characterized by fourier transform infrared spectroscopy, atomic force microscope, X-ray diffraction, transmission electron microscopy and thermogravimetric analysis. The scanning electron microscopy characterized the morphology and microstructure of the prepared sensors, and the electrochemical effective surface areas of the prepared sensors were also calculated by chronocoulometry method. The electrochemical behavior of lobetyolin on the magnetic functionalized reduced graphene oxide/Nafion nanohybrid modified glassy carbon electrode was investigated by cyclic voltammetry and differential pulse voltammetry in a phosphate buffer solution of pH 6.0. The electron-transfer coefficient (α), electron transfer number (n), and electrode reaction rate constant (Κs) were calculated as 0.78, 0.73, and 4.63 s −1 , respectively. Under the optimized conditions, the sensor based on magnetic functionalized reduced graphene oxide/Nafion showed a linear voltammetric response to the lobetyolin concentration at 1.0 × 10 −7 to 1.0 × 10 −4 mol/L with detection limit (S/N = 3)of 4.3 × 10 −8 mol/L. The proposed sensor also displayed acceptable reproducibility, long-term stability, and high selectivity, and performs well for analysis of lobetyolin in real samples. The voltammetric sensor was successfully applied to detect lobetyolin in Codonopsis pilosula with recovery values in the range of 96.12% –102.66%. - Graphical abstract: Schematic diagram of the synthesis of MrGO hybrid and the fabrication process of the MrGO/Nafion/GCE for determination of lobetyolin. Display Omitted - Highlights: • The MrGO/Nafion@GCE electrochemical sensor was successfully fabricated. • The prepared MrGO was characterized by AFM, XRD, FTIR, VSM, TEM and SEM. • The proposed

  6. Direct electrochemistry and electrocatalysis of lobetyolin via magnetic functionalized reduced graphene oxide film fabricated electrochemical sensor

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Bolu [School of Pharmacy, Lanzhou University, Lanzhou 730000 (China); Gou, Xiaodan [School of Chemistry and Chemical Engineering, Nanjing University, 210046 (China); Bai, Ruibin; Abdelmoaty, Ahmed Attia Ahmed; Ma, Yuling; Zheng, Xiaoping [School of Pharmacy, Lanzhou University, Lanzhou 730000 (China); Hu, Fangdi, E-mail: hufd@lzu.edu.cn [School of Pharmacy, Lanzhou University, Lanzhou 730000 (China)

    2017-05-01

    A novel lobetyolin electrochemical sensor based on a magnetic functionalized reduced graphene oxide/Nafion nanohybrid film has been introduced in this work. The magnetic functionalized reduced graphene oxide was characterized by fourier transform infrared spectroscopy, atomic force microscope, X-ray diffraction, transmission electron microscopy and thermogravimetric analysis. The scanning electron microscopy characterized the morphology and microstructure of the prepared sensors, and the electrochemical effective surface areas of the prepared sensors were also calculated by chronocoulometry method. The electrochemical behavior of lobetyolin on the magnetic functionalized reduced graphene oxide/Nafion nanohybrid modified glassy carbon electrode was investigated by cyclic voltammetry and differential pulse voltammetry in a phosphate buffer solution of pH 6.0. The electron-transfer coefficient (α), electron transfer number (n), and electrode reaction rate constant (Κs) were calculated as 0.78, 0.73, and 4.63 s{sup −1}, respectively. Under the optimized conditions, the sensor based on magnetic functionalized reduced graphene oxide/Nafion showed a linear voltammetric response to the lobetyolin concentration at 1.0 × 10{sup −7} to 1.0 × 10{sup −4} mol/L with detection limit (S/N = 3)of 4.3 × 10{sup −8} mol/L. The proposed sensor also displayed acceptable reproducibility, long-term stability, and high selectivity, and performs well for analysis of lobetyolin in real samples. The voltammetric sensor was successfully applied to detect lobetyolin in Codonopsis pilosula with recovery values in the range of 96.12% –102.66%. - Graphical abstract: Schematic diagram of the synthesis of MrGO hybrid and the fabrication process of the MrGO/Nafion/GCE for determination of lobetyolin. Display Omitted - Highlights: • The MrGO/Nafion@GCE electrochemical sensor was successfully fabricated. • The prepared MrGO was characterized by AFM, XRD, FTIR, VSM, TEM and SEM.

  7. A novel input-parasitic compensation technique for a nanopore-based CMOS DNA detection sensor

    Science.gov (United States)

    Kim, Jungsuk

    2016-12-01

    This paper presents a novel input-parasitic compensation (IPC) technique for a nanopore-based complementary metal-oxide-semiconductor (CMOS) DNA detection sensor. A resistive-feedback transimpedance amplifier is typically adopted as the headstage of a DNA detection sensor to amplify the minute ionic currents generated from a nanopore and convert them to a readable voltage range for digitization. But, parasitic capacitances arising from the headstage input and the nanopore often cause headstage saturation during nanopore sensing, thereby resulting in significant DNA data loss. To compensate for the unwanted saturation, in this work, we propose an area-efficient and automated IPC technique, customized for a low-noise DNA detection sensor, fabricated using a 0.35- μm CMOS process; we demonstrated this prototype in a benchtop test using an α-hemolysin ( α-HL) protein nanopore.

  8. Development of a high throughput single-particle screening for inorganic semiconductor nanorods as neural voltage sensor

    Science.gov (United States)

    Kuo, Yung; Park, Kyoungwon; Li, Jack; Ingargiola, Antonino; Park, Joonhyuck; Shvadchak, Volodymyr; Weiss, Shimon

    2017-08-01

    Monitoring membrane potential in neurons requires sensors with minimal invasiveness, high spatial and temporal (sub-ms) resolution, and large sensitivity for enabling detection of sub-threshold activities. While organic dyes and fluorescent proteins have been developed to possess voltage-sensing properties, photobleaching, cytotoxicity, low sensitivity, and low spatial resolution have obstructed further studies. Semiconductor nanoparticles (NPs), as prospective voltage sensors, have shown excellent sensitivity based on Quantum confined Stark effect (QCSE) at room temperature and at single particle level. Both theory and experiment have shown their voltage sensitivity can be increased significantly via material, bandgap, and structural engineering. Based on theoretical calculations, we synthesized one of the optimal candidates for voltage sensors: 12 nm type-II ZnSe/CdS nanorods (NRs), with an asymmetrically located seed. The voltage sensitivity and spectral shift were characterized in vitro using spectrally-resolved microscopy using electrodes grown by thin film deposition, which "sandwich" the NRs. We characterized multiple batches of such NRs and iteratively modified the synthesis to achieve higher voltage sensitivity (ΔF/F> 10%), larger spectral shift (>5 nm), better homogeneity, and better colloidal stability. Using a high throughput screening method, we were able to compare the voltage sensitivity of our NRs with commercial spherical quantum dots (QDs) with single particle statistics. Our method of high throughput screening with spectrally-resolved microscope also provides a versatile tool for studying single particles spectroscopy under field modulation.

  9. Semiconductor composition containing iron, dysprosium, and terbium

    Science.gov (United States)

    Pooser, Raphael C.; Lawrie, Benjamin J.; Baddorf, Arthur P.; Malasi, Abhinav; Taz, Humaira; Farah, Annettee E.; Kalyanaraman, Ramakrishnan; Duscher, Gerd Josef Mansfred; Patel, Maulik K.

    2017-09-26

    An amorphous semiconductor composition includes 1 to 70 atomic percent iron, 15 to 65 atomic percent dysprosium, 15 to 35 atomic percent terbium, balance X, wherein X is at least one of an oxidizing element and a reducing element. The composition has an essentially amorphous microstructure, an optical transmittance of at least 50% in at least the visible spectrum and semiconductor electrical properties.

  10. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  11. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  12. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  13. Polypyrrole Porous Micro Humidity Sensor Integrated with a Ring Oscillator Circuit on Chip

    Directory of Open Access Journals (Sweden)

    De-Hao Lu

    2010-11-01

    Full Text Available This study presents the design and fabrication of a capacitive micro humidity sensor integrated with a five-stage ring oscillator circuit on chip using the complimentary metal oxide semiconductor (CMOS process. The area of the humidity sensor chip is about 1 mm2. The humidity sensor consists of a sensing capacitor and a sensing film. The sensing capacitor is constructed from spiral interdigital electrodes that can enhance the sensitivity of the sensor. The sensing film of the sensor is polypyrrole, which is prepared by the chemical polymerization method, and the film has a porous structure. The sensor needs a post-CMOS process to coat the sensing film. The post-CMOS process uses a wet etching to etch the sacrificial layers, and then the polypyrrole is coated on the sensing capacitor. The sensor generates a change in capacitance when the sensing film absorbs or desorbs vapor. The ring oscillator circuit converts the capacitance variation of the sensor into the oscillation frequency output. Experimental results show that the sensitivity of the humidity sensor is about 99 kHz/%RH at 25 °C.

  14. Chemical Vapor Identification by Plasma Treated Thick Film Tin Oxide Gas Sensor Array and Pattern Recognition

    Directory of Open Access Journals (Sweden)

    J. K. Srivastava

    2011-02-01

    Full Text Available Present study deals the class recognition potential of a four element plasma treated thick film tin oxide gas sensor array exposed with volatile organic compounds (VOCs. Methanol, Ethanol and Acetone are selected as target VOCs and exposed on sensor array at different concentration in range from 100-1000 ppm. Sensor array consist of four tin oxide sensors doped with 1-4 % PbO concentrations were fabricated by thick film technology and then treated with oxygen plasma for 5-10 minute durations. Sensor signal is analyzed by principal component analysis (PCA for visual classification of VOCs. Further output of PCA is used as input for classification of VOCs by four pattern classification techniques as: linear discriminant analysis (LDA, k-nearest neighbor (KNN, back propagation neural network (BPNN and support vector machine (SVM. All the four classifier results 100 % correct classification rate of VOCs by response analysis of sensor array treated with plasma for 5 minute.

  15. A divalent rare earth oxide semiconductor: Yttrium monoxide

    Science.gov (United States)

    Kaminaga, Kenichi; Sei, Ryosuke; Hayashi, Kouichi; Happo, Naohisa; Tajiri, Hiroo; Oka, Daichi; Fukumura, Tomoteru; Hasegawa, Tetsuya

    Rare earth sesquioxides like Y2O3 are known as widegap insulators with the highly stable closed shell trivalent rare earth ions. On the other hand, rare earth monoxides such as YO have been recognized as gaseous phase, and only EuO and YbO were thermodynamically stable solid-phase rock salt monoxides. In this study, solid-phase rock salt yttrium monoxide, YO, was synthesized in a form of epitaxial thin film by pulsed laser deposition method. YO possesses unusual valence of Y2+ ([Kr] 4d1) . In contrast with Y2O3, YO was narrow gap semiconductor with dark-brown color. The electrical conductivity was tunable from 10-1 to 103 Ω-1 cm-1 by introducing oxygen vacancies as electron donor. Weak antilocalization behavior was observed indicating significant spin-orbit coupling owing to 4 d electron carrier. The absorption spectral shape implies the Mott-Hubbard insulator character of YO. Rare earth monoixdes will be new platform of functional oxides. This work was supported by JST-CREST, the Japan Society for the Promotion of Science (JSPS) with Grant-in-Aid for Scientific Research on Innovative Areas (Nos. 26105002 and 26105006), and Nanotechnology Platform (Project No.12024046) of MEXT, Japan.

  16. Respiration sensor made from indium tin oxide-coated conductive fabrics

    Science.gov (United States)

    Kim, Sun Hee; Lee, Joo Hyeon; Jee, Seung Hyun

    2015-02-01

    Conductive fabrics with new properties and applications have been the subject of extensive research over the last few years, with wearable respiration sensors attracting much attention. Different methods can be used to obtain fabrics that are electrically conducting, an essential property for various applications. For instance, fabrics can be coated with conductive polymers. Here, indium tin oxide (ITO)-coated conductive fabrics with cross-linked polyvinyl alcohol (C-PVA) were prepared using a doctor-blade. The C-PVA was employed in the synthesis to bind ITO on the fabrics with the highest possible mechanical strength. The feasibility of a respiration sensor prepared using the ITO-coated conductive fabric was investigated. The ITO-coated conductive fabric with the C-PVA was demonstrated to have a high potential for use in respiration sensors.

  17. Effective dose assessment in the maxillofacial region using thermoluminescent (TLD) and metal oxide semiconductor field-effect transistor (MOSFET) dosemeters: a comparative study

    NARCIS (Netherlands)

    Koivisto, J.; Schulze, D.; Wolff, J.E.H.; Rottke, D.

    2014-01-01

    Objectives: The objective of this study was to compare the performance of metal oxide semiconductor field-effect transistor (MOSFET) technology dosemeters with thermoluminescent dosemeters (TLDs) (TLD 100; Thermo Fisher Scientific, Waltham, MA) in the maxillofacial area. Methods: Organ and effective

  18. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian

    2013-04-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment are required, this design is not only easier from a technological point of view, but it also has the potential to reduce damage introduced to the semiconductor during fabrication. The device shows a similar magnetoresistance ratio as a conventional one but it has a lower sensitivity. Because of the reduced resistance, and hence less noise, high magnetic field resolution is maintained. © 1980-2012 IEEE.

  19. Fabrication and Evaluation of a Graphene Oxide-Based Capacitive Humidity Sensor

    Directory of Open Access Journals (Sweden)

    Jinfeng Feng

    2016-03-01

    Full Text Available In this study, a CMOS compatible capacitive humidity sensor structure was designed and fabricated on a 200 mm CMOS BEOL Line. A top Al interconnect layer was used as an electrode with a comb/serpent structure, and graphene oxide (GO was used as sensing material. XRD analysis was done which shows that GO sensing material has a strong and sharp (002 peak at about 10.278°, whereas graphite has (002 peak at about 26°. Device level CV and IV curves were measured in mini-environments at different relative humidity (RH level, and saturated salt solutions were used to build these mini-environments. To evaluate the potential value of GO material in humidity sensor applications, a prototype humidity sensor was designed and fabricated by integrating the sensor with a dedicated readout ASIC and display/calibration module. Measurements in different mini-environments show that the GO-based humidity sensor has higher sensitivity, faster recovery time and good linearity performance. Compared with a standard humidity sensor, the measured RH data of our prototype humidity sensor can match well that of the standard product.

  20. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  1. Metal oxide nanostructures: preparation, characterization and functional applications as chemical sensors.

    Science.gov (United States)

    Zappa, Dario; Bertuna, Angela; Comini, Elisabetta; Kaur, Navpreet; Poli, Nicola; Sberveglieri, Veronica; Sberveglieri, Giorgio

    2017-01-01

    Preparation and characterization of different metal oxide (NiO, WO 3 , ZnO, SnO 2 and Nb 2 O 5 ) nanostructures for chemical sensing are presented. p-Type (NiO) and n-type (WO 3 , SnO 2 , ZnO and Nb 2 O 5 ) metal oxide nanostructures were grown on alumina substrates using evaporation-condensation, thermal oxidation and hydrothermal techniques. Surface morphologies and crystal structures were investigated through scanning electron microscopy and Raman spectroscopy. Furthermore, different batches of sensors have been prepared, and their sensing performances towards carbon monoxide and nitrogen dioxide have been explored. Moreover, metal oxide nanowires have been integrated into an electronic nose and successfully applied to discriminate between drinking and contaminated water.

  2. 3-D periodic mesoporous nickel oxide for nonenzymatic uric acid sensors with improved sensitivity

    Science.gov (United States)

    Huang, Wei; Cao, Yang; Chen, Yong; Zhou, Yang; Huang, Qingyou

    2015-12-01

    3-D periodic mesoporous nickel oxide (NiO) particles with crystalline walls have been synthesized through the microwave-assisted hard template route toward the KIT-6 silica. It was investigated as a nonenzymatic amperometric sensor for the detection of uric acid. 3-D periodic nickel oxide matrix has been obtained by the hard template route from the KIT-6 silica template. The crystalline nickel oxide belonged to the Ia3d space group, and its structure was characterized by X-ray diffraction (XRD), N2 adsorption-desorption, and transmission electron microscopy (TEM). The analysis results showed that the microwave-assisted mesoporous NiO materials were more appropriate to be electrochemical sensors than the traditional mesoporous NiO. Cyclic voltammetry (CV) revealed that 3-D periodic NiO exhibited a direct electrocatalytic activity for the oxidation of uric acid in sodium hydroxide solution. The enzyme-less amperometric sensor used in the detection of uric acid with detection limit of 0.005 μM (S/N = 3) over wide linear detection ranges up to 0.374 mM and with a high sensitivity of 756.26 μA mM-1 cm-2, and a possible mechanism was also given in the paper.

  3. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  4. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  5. Plasmonic doped semiconductor nanocrystals: Properties, fabrication, applications and perspectives

    Science.gov (United States)

    Kriegel, Ilka; Scotognella, Francesco; Manna, Liberato

    2017-02-01

    Degenerately doped semiconductor nanocrystals (NCs) are of recent interest to the NC community due to their tunable localized surface plasmon resonances (LSPRs) in the near infrared (NIR). The high level of doping in such materials with carrier densities in the range of 1021cm-3 leads to degeneracy of the doping levels and intense plasmonic absorption in the NIR. The lower carrier density in degenerately doped semiconductor NCs compared to noble metals enables LSPR tuning over a wide spectral range, since even a minor change of the carrier density strongly affects the spectral position of the LSPR. Two classes of degenerate semiconductors are most relevant in this respect: impurity doped semiconductors, such as metal oxides, and vacancy doped semiconductors, such as copper chalcogenides. In the latter it is the density of copper vacancies that controls the carrier concentration, while in the former the introduction of impurity atoms adds carriers to the system. LSPR tuning in vacancy doped semiconductor NCs such as copper chalcogenides occurs by chemically controlling the copper vacancy density. This goes in hand with complex structural modifications of the copper chalcogenide crystal lattice. In contrast the LSPR of degenerately doped metal oxide NCs is modified by varying the doping concentration or by the choice of host and dopant atoms, but also through the addition of capacitive charge carriers to the conduction band of the metal oxide upon post-synthetic treatments, such as by electrochemical- or photodoping. The NIR LSPRs and the option of their spectral fine-tuning make accessible important new features, such as the controlled coupling of the LSPR to other physical signatures or the enhancement of optical signals in the NIR, sensing application by LSPR tracking, energy production from the NIR plasmon resonance or bio-medical applications in the biological window. In this review we highlight the recent advances in the synthesis of various different plasmonic

  6. Optical-Interferometry-Based CMOS-MEMS Sensor Transduced by Stress-Induced Nanomechanical Deflection

    Directory of Open Access Journals (Sweden)

    Satoshi Maruyama

    2018-01-01

    Full Text Available We developed a Fabry–Perot interferometer sensor with a metal-oxide-semiconductor field-effect transistor (MOSFET circuit for chemical sensing. The novel signal transducing technique was performed in three steps: mechanical deflection, transmittance change, and photocurrent change. A small readout photocurrent was processed by an integrated source follower circuit. The movable film of the sensor was a 350-nm-thick polychloro-para-xylylene membrane with a diameter of 100 µm and an air gap of 300 nm. The linearity of the integrated source follower circuit was obtained. We demonstrated a gas response using 80-ppm ethanol detected by small membrane deformation of 50 nm, which resulted in an output-voltage change with the proposed high-efficiency transduction.

  7. Current measurement method for characterization of fast switching power semiconductors with Silicon Steel Current Transformer

    DEFF Research Database (Denmark)

    Li, Helong; Beczkowski, Szymon; Munk-Nielsen, Stig

    2015-01-01

    This paper proposes a novel current measurement method with Silicon Steel Current Transformer (SSCT) for the characterization of fast switching power semiconductors. First, the existing current sensors for characterization of fast switching power semiconductors are experimentally evaluated...

  8. Single frequency semiconductor lasers

    CERN Document Server

    Fang, Zujie; Chen, Gaoting; Qu, Ronghui

    2017-01-01

    This book systematically introduces the single frequency semiconductor laser, which is widely used in many vital advanced technologies, such as the laser cooling of atoms and atomic clock, high-precision measurements and spectroscopy, coherent optical communications, and advanced optical sensors. It presents both the fundamentals and characteristics of semiconductor lasers, including basic F-P structure and monolithic integrated structures; interprets laser noises and their measurements; and explains mechanisms and technologies relating to the main aspects of single frequency lasers, including external cavity lasers, frequency stabilization technologies, frequency sweeping, optical phase locked loops, and so on. It paints a clear, physical picture of related technologies and reviews new developments in the field as well. It will be a useful reference to graduate students, researchers, and engineers in the field.

  9. Semiconductor@metal-organic framework core-shell heterostructures: a case of ZnO@ZIF-8 nanorods with selective photoelectrochemical response.

    Science.gov (United States)

    Zhan, Wen-wen; Kuang, Qin; Zhou, Jian-zhang; Kong, Xiang-jian; Xie, Zhao-xiong; Zheng, Lan-sun

    2013-02-06

    Metal-organic frameworks (MOFs) and related material classes are attracting considerable attention for their applications in gas storage/separation as well as catalysis. In contrast, research concerning potential uses in electronic devices (such as sensors) is in its infancy, which might be due to a great challenge in the fabrication of MOFs and semiconductor composites with well-designed structures. In this paper, we proposed a simple self-template strategy to fabricate metal oxide semiconductor@MOF core-shell heterostructures, and successfully obtained freestanding ZnO@ZIF-8 nanorods as well as vertically standing arrays (including nanorod arrays and nanotube arrays). In this synthetic process, ZnO nanorods not only act as the template but also provide Zn(2+) ions for the formation of ZIF-8. In addition, we have demonstrated that solvent composition and reaction temperature are two crucial factors for successfully fabricating well-defined ZnO@ZIF-8 heterostructures. As we expect, the as-prepared ZnO@ZIF-8 nanorod arrays display distinct photoelectrochemical response to hole scavengers with different molecule sizes (e.g., H(2)O(2) and ascorbic acid) owing to the limitation of the aperture of the ZIF-8 shell. Excitingly, such ZnO@ZIF-8 nanorod arrays were successfully applied to the detection of H(2)O(2) in the presence of serous buffer solution. Therefore, it is reasonable to believe that the semiconductor@MOFs heterostructure potentially has promising applications in many electronic devices including sensors.

  10. Nitric Oxide Release for Improving Performance of Implantable Chemical Sensors - A Review.

    Science.gov (United States)

    Cha, Kyoung Ha; Wang, Xuewei; Meyerhoff, Mark E

    2017-12-01

    Over the last three decades, there has been extensive interest in developing in vivo chemical sensors that can provide real-time measurements of blood gases (oxygen, carbon dioxide, and pH), glucose/lactate, and potentially other critical care analytes in the blood of hospitalized patients. However, clot formation with intravascular sensors and foreign body response toward sensors implanted subcutaneously can cause inaccurate analytical results. Further, the risk of bacterial infection from any sensor implanted in the human body is another major concern. To solve these issues, the release of an endogenous gas molecule, nitric oxide (NO), from the surface of such sensors has been investigated owing to NO's ability to inhibit platelet activation/adhesion, foreign body response and bacterial growth. This paper summarizes the importance of NO's therapeutic potential for this application and reviews the publications to date that report on the analytical performance of NO release sensors in laboratory testing and/or during in vivo testing.

  11. Development a solid state sensor based on SnO_2 nanoparticles for underground coal mine methane detection using zeolites as filter

    International Nuclear Information System (INIS)

    Abruzzi, R.C.; Dedavid, B.A.; Pires, M.J.R.; Luvizon, N.S.

    2016-01-01

    Aiming the monitoring of methane (CH_4) in underground coal mines, the tin oxide (SnO_2) was synthesis and applied to the development of a MOS sensor (metal oxide semiconductor). Zeolite have been tested as a filter of carbon dioxide (CO_2) to ensure the selectivity in the detection of CH_4. Analysis of Transmission Electron Microscopy (TEM) and X-Ray Diffraction (XRD) indicated a synthesis of nanoscaled structures. The energy band gap showed characteristic values for a potential application of SnO_2 in CH_4 sensors. Analysis of surface area by BET isotherms showed high values for the zeolite 13X and Y, while adsorption tests indicated that the zeolite 13X presents greater adsorption efficiency of CO_2. The sputtering technique for deposition of the electrodes, as well as the method of drop coating for deposition of SnO_2, proved effective in developing the sensor. (author)

  12. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  13. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    Science.gov (United States)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  14. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Leung, T.C.; Lynn, K.G.; Nielsen, B.; Forcier, M.P.; Weinberg, Z.A.; Rubloff, G.W.

    1992-01-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions

  15. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  16. Tantalum-based semiconductors for solar water splitting.

    Science.gov (United States)

    Zhang, Peng; Zhang, Jijie; Gong, Jinlong

    2014-07-07

    Solar energy utilization is one of the most promising solutions for the energy crises. Among all the possible means to make use of solar energy, solar water splitting is remarkable since it can accomplish the conversion of solar energy into chemical energy. The produced hydrogen is clean and sustainable which could be used in various areas. For the past decades, numerous efforts have been put into this research area with many important achievements. Improving the overall efficiency and stability of semiconductor photocatalysts are the research focuses for the solar water splitting. Tantalum-based semiconductors, including tantalum oxide, tantalate and tantalum (oxy)nitride, are among the most important photocatalysts. Tantalum oxide has the band gap energy that is suitable for the overall solar water splitting. The more negative conduction band minimum of tantalum oxide provides photogenerated electrons with higher potential for the hydrogen generation reaction. Tantalates, with tunable compositions, show high activities owning to their layered perovskite structure. (Oxy)nitrides, especially TaON and Ta3N5, have small band gaps to respond to visible-light, whereas they can still realize overall solar water splitting with the proper positions of conduction band minimum and valence band maximum. This review describes recent progress regarding the improvement of photocatalytic activities of tantalum-based semiconductors. Basic concepts and principles of solar water splitting will be discussed in the introduction section, followed by the three main categories regarding to the different types of tantalum-based semiconductors. In each category, synthetic methodologies, influencing factors on the photocatalytic activities, strategies to enhance the efficiencies of photocatalysts and morphology control of tantalum-based materials will be discussed in detail. Future directions to further explore the research area of tantalum-based semiconductors for solar water splitting

  17. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  18. Manufacture of a Polyaniline Nanofiber Ammonia Sensor Integrated with a Readout Circuit Using the CMOS-MEMS Technique

    Directory of Open Access Journals (Sweden)

    Chyan-Chyi Wu

    2009-02-01

    Full Text Available This study presents the fabrication of a polyaniline nanofiber ammonia sensor integrated with a readout circuit on a chip using the commercial 0.35 mm complementary metal oxide semiconductor (CMOS process and a post-process. The micro ammonia sensor consists of a sensing resistor and an ammonia sensing film. Polyaniline prepared by a chemical polymerization method was adopted as the ammonia sensing film. The fabrication of the ammonia sensor needs a post-process to etch the sacrificial layers and to expose the sensing resistor, and then the ammonia sensing film is coated on the sensing resistor. The ammonia sensor, which is of resistive type, changes its resistance when the sensing film adsorbs or desorbs ammonia gas. A readout circuit is employed to convert the resistance of the ammonia sensor into the voltage output. Experimental results show that the sensitivity of the ammonia sensor is about 0.88 mV/ppm at room temperature

  19. Iridium oxide pH sensor for biomedical applications. Case urea-urease in real urine samples.

    Science.gov (United States)

    Prats-Alfonso, Elisabet; Abad, Llibertat; Casañ-Pastor, Nieves; Gonzalo-Ruiz, Javier; Baldrich, Eva

    2013-01-15

    This work demonstrates the implementation of iridium oxide films (IROF) grown on silicon-based thin-film platinum microelectrodes, their utilization as a pH sensor, and their successful formatting into a urea pH sensor. In this context, Pt electrodes were fabricated on Silicon by using standard photolithography and lift-off procedures and IROF thin films were growth by a dynamic oxidation electrodeposition method (AEIROF). The AEIROF pH sensor reported showed a super-Nerstian (72.9±0.9mV/pH) response between pH 3 and 11, with residual standard deviation of both repeatability and reproducibility below 5%, and resolution of 0.03 pH units. For their application as urea pH sensors, AEIROF electrodes were reversibly modified with urease-coated magnetic microparticles (MP) using a magnet. The urea pH sensor provided fast detection of urea between 78μM and 20mM in saline solution, in sample volumes of just 50μL. The applicability to urea determination in real urine samples is discussed. Copyright © 2012 Elsevier B.V. All rights reserved.

  20. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  1. A graphene oxide pH sensor for wound monitoring.

    Science.gov (United States)

    Melai, B; Salvo, P; Calisi, N; Moni, L; Bonini, A; Paoletti, C; Lomonaco, T; Mollica, V; Fuoco, R; Di Francesco, F

    2016-08-01

    This article describes the fabrication and characterization of a pH sensor for monitoring the wound status. The pH sensitive layer consists of a graphene oxide (GO) layer obtained by drop-casting 5 μΐ of GO dispersion onto the working electrode of a screen-printed substrate. Sensitivity was 31.8 mV/pH with an accuracy of 0.3 unit of pH. Open-circuit potentiometry was carried out to measure pH in an exudate sample. The GO pH sensor proved to be reliable as the comparison with results obtained from a standard glass electrode pH-meter showed negligible differences (pH units in the worst case) for measurements performed over a period of 4 days.

  2. III - V semiconductor structures for biosensor and molecular electronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Luber, S M

    2007-01-15

    The present work reports on the employment of III-V semiconductor structures to biosensor and molecular electronics applications. In the first part a sensor based on a surface-near two dimensional electron gas for a use in biological environment is studied. Such a two dimensional electron gas inherently forms in a molecular beam epitaxy (MBE) grown, doped aluminum gallium arsenide - gallium arsenide (AlGaAs-GaAs) heterostructure. Due to the intrinsic instability of GaAs in aqueous solutions the device is passivated by deposition of a monolayer of 4'-substituted mercaptobiphenyl molecules. The influence of these molecules which bind to the GaAs via a sulfur group is investigated by Kelvin probe measurements in air. They reveal a dependence of GaAs electron affinity on the intrinsic molecular dipole moment of the mercaptobiphenyls. Furthermore, transient surface photovoltage measurements are presented which demonstrate an additional influence of mercaptobiphenyl chemisorption on surface carrier recombination rates. As a next step, the influence of pH-value and salt concentration upon the sensor device is discussed based on the results obtained from sensor conductance measurements in physiological solutions. A dependence of the device surface potential on both parameters due to surface charging is deduced. Model calculations applying Poisson-Boltzmann theory reveal as possible surface charging mechanisms either the adsorption of OH- ions on the surface, or the dissociation of OH groups in surface oxides. A comparison between simulation settings and physical device properties indicate the OH- adsorption as the most probable mechanism. In the second part of the present study the suitability of MBE grown III-V semiconductor structures for molecular electronics applications is examined. In doing so, a method to fabricate nanometer separated, coplanar, metallic electrodes based on the cleavage of a supporting AlGaAs-GaAs heterostructure is presented. This is followed by a

  3. III - V semiconductor structures for biosensor and molecular electronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Luber, S.M.

    2007-01-15

    The present work reports on the employment of III-V semiconductor structures to biosensor and molecular electronics applications. In the first part a sensor based on a surface-near two dimensional electron gas for a use in biological environment is studied. Such a two dimensional electron gas inherently forms in a molecular beam epitaxy (MBE) grown, doped aluminum gallium arsenide - gallium arsenide (AlGaAs-GaAs) heterostructure. Due to the intrinsic instability of GaAs in aqueous solutions the device is passivated by deposition of a monolayer of 4'-substituted mercaptobiphenyl molecules. The influence of these molecules which bind to the GaAs via a sulfur group is investigated by Kelvin probe measurements in air. They reveal a dependence of GaAs electron affinity on the intrinsic molecular dipole moment of the mercaptobiphenyls. Furthermore, transient surface photovoltage measurements are presented which demonstrate an additional influence of mercaptobiphenyl chemisorption on surface carrier recombination rates. As a next step, the influence of pH-value and salt concentration upon the sensor device is discussed based on the results obtained from sensor conductance measurements in physiological solutions. A dependence of the device surface potential on both parameters due to surface charging is deduced. Model calculations applying Poisson-Boltzmann theory reveal as possible surface charging mechanisms either the adsorption of OH- ions on the surface, or the dissociation of OH groups in surface oxides. A comparison between simulation settings and physical device properties indicate the OH- adsorption as the most probable mechanism. In the second part of the present study the suitability of MBE grown III-V semiconductor structures for molecular electronics applications is examined. In doing so, a method to fabricate nanometer separated, coplanar, metallic electrodes based on the cleavage of a supporting AlGaAs-GaAs heterostructure is presented. This is followed

  4. Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors with sulfur passivation

    International Nuclear Information System (INIS)

    Tan Zhen; Zhao Lian-Feng; Wang Jing; Xu Jun

    2014-01-01

    Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors (MOSCAPs) with sulfur passivation were investigated and the chemical mechanisms of the sulfur passivation process were carefully studied. It was shown that the sulfur passivation treatment could reduce the interface trap density D it of the HfAlO/GaSb interface by 35% and reduce the equivalent oxide thickness (EOT) from 8 nm to 4 nm. The improved properties are due to the removal of the native oxide layer, as was proven by x-ray photoelectron spectroscopy measurements and high-resolution cross-sectional transmission electron microscopy (HRXTEM) results. It was also found that GaSb-based MOSCAPs with HfAlO gate dielectrics have interfacial properties superior to those using HfO 2 or Al 2 O 3 dielectric layers. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Sensitivity Enhancement of a PPM Level Capacitive Moisture Sensor

    Directory of Open Access Journals (Sweden)

    Lokesh Kumar

    2017-05-01

    Full Text Available Measurement of moisture at ppm or ppb level is very difficult and the fabrication of such sensors at low cost is always challenging. High sensitivity is an important parameter for trace level (ppm humidity sensors. Anelectronic detection circuit for interfacing the humidity sensor with high sensitivity requires a simple hardware circuit with few active devices. The recent trends for increasing the sensitivity include fabricating nanoporous film with a very large surface area. In the present work, the sensitivity of a parallel plate capacitive type sensor with metal oxide sensing film has been significantly improved with an aim to detect moisture from 3 to 100 ppm in the industrial process gases used to fabricate semiconductors and other sensitive electronic devices. The sensitivity has been increased by (i fabricating a nanoporous film of aluminum oxide using the sol-gel method and (ii increasing the cross-sectional area of a parallel plate capacitor. A novel double sided capacitive structure has been proposed where two capacitors have been fabricated—one on the top and one on the bottom side of a flat alumina substrate—and then the capacitors are connected in parallel. The structure has twice the sensitivity of a single sensor in the same ppm range but the size of the structure remains unchanged. The important characteristics of the sensors such as the sensitivity (S = Δ C Δ p p m × 100 , the response time (tr, and the recovery time (tc are determined and compared with a commercial SHAW, UKdew point meter. The fabricated double sided sensor has comparable sensitivity (S = 100%, tr (s = 28, tc (s = 40 with the commercial meter (S = 100.5%, tr (s = 258 but has a faster response time. The proposed method of sensitivity enhancement is simple, and mass producible.

  6. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  7. Surface acoustic wave sensors with Graphene/PANI nanocomposites for nitric oxide detection

    Science.gov (United States)

    Wang, Beibei; Zheng, Lei; Zhou, Lingling

    2017-12-01

    Surface acoustic wave sensors with grapheme/PANI nanocomposite sensitive films for detecting nitric oxide (NO) were fabricated and experimentally studied. Morphological characterization and functionalization of the sensing material were explored using SEM and FTIR, respectively. The study of sensor response compared film sensitivity, response time, reversibility, and limit of detection for nanocomposite films, pure grapheme and pure PANI to the detection of NO. The response and recovery times were 40s and 20s when detecting 4ppm NO, respectively. The frequency response was discovered to be linear in the NO concentration range 1-50 ppm. The nanocomposite sensors had improved sensitivities compared to the polymer devices, and better response times.

  8. Molecular coatings of nitride semiconductors for optoelectronics, electronics, and solar energy harvesting

    KAUST Repository

    Ng, Tien Khee; Zhao, Chao; Priante, Davide; Ooi, Boon S.; Hussein, Mohamed Ebaid Abdrabou

    2018-01-01

    Gallium nitride based semiconductors are provided having one or more passivated surfaces. The surfaces can have a plurality of thiol compounds attached thereto for enhancement of optoelectronic properties and/or solar water splitting properties. The surfaces can also include wherein the surface has been treated with chemical solution for native oxide removal and / or wherein the surface has attached thereto a plurality of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof to create a treated surface for enhancement of optoelectronic properties and / or solar water splitting properties. Methods of making the gallium nitride based semiconductors are also provided. Methods can include cleaning a native surface of a gallium nitride semiconductor to produce a cleaned surface, etching the cleaned surface to remove oxide layers on the surface, and applying single or multiple coatings of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof attached to the surface.

  9. Molecular coatings of nitride semiconductors for optoelectronics, electronics, and solar energy harvesting

    KAUST Repository

    Ng, Tien Khee

    2018-02-01

    Gallium nitride based semiconductors are provided having one or more passivated surfaces. The surfaces can have a plurality of thiol compounds attached thereto for enhancement of optoelectronic properties and/or solar water splitting properties. The surfaces can also include wherein the surface has been treated with chemical solution for native oxide removal and / or wherein the surface has attached thereto a plurality of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof to create a treated surface for enhancement of optoelectronic properties and / or solar water splitting properties. Methods of making the gallium nitride based semiconductors are also provided. Methods can include cleaning a native surface of a gallium nitride semiconductor to produce a cleaned surface, etching the cleaned surface to remove oxide layers on the surface, and applying single or multiple coatings of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof attached to the surface.

  10. Highly selective gas sensor arrays based on thermally reduced graphene oxide.

    Science.gov (United States)

    Lipatov, Alexey; Varezhnikov, Alexey; Wilson, Peter; Sysoev, Victor; Kolmakov, Andrei; Sinitskii, Alexander

    2013-06-21

    The electrical properties of reduced graphene oxide (rGO) have been previously shown to be very sensitive to surface adsorbates, thus making rGO a very promising platform for highly sensitive gas sensors. However, poor selectivity of rGO-based gas sensors remains a major problem for their practical use. In this paper, we address the selectivity problem by employing an array of rGO-based integrated sensors instead of focusing on the performance of a single sensing element. Each rGO-based device in such an array has a unique sensor response due to the irregular structure of rGO films at different levels of organization, ranging from nanoscale to macroscale. The resulting rGO-based gas sensing system could reliably recognize analytes of nearly the same chemical nature. In our experiments rGO-based sensor arrays demonstrated a high selectivity that was sufficient to discriminate between different alcohols, such as methanol, ethanol and isopropanol, at a 100% success rate. We also discuss a possible sensing mechanism that provides the basis for analyte differentiation.

  11. Superconductivity in doped semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bustarret, E., E-mail: Etienne.bustarret@neel.cnrs.fr

    2015-07-15

    A historical survey of the main normal and superconducting state properties of several semiconductors doped into superconductivity is proposed. This class of materials includes selenides, tellurides, oxides and column-IV semiconductors. Most of the experimental data point to a weak coupling pairing mechanism, probably phonon-mediated in the case of diamond, but probably not in the case of strontium titanate, these being the most intensively studied materials over the last decade. Despite promising theoretical predictions based on a conventional mechanism, the occurrence of critical temperatures significantly higher than 10 K has not been yet verified. However, the class provides an enticing playground for testing theories and devices alike.

  12. Selective chloroform sensor using thiol functionalized reduced graphene oxide at room temperature

    Science.gov (United States)

    Midya, Anupam; Mukherjee, Subhrajit; Roy, Shreyasee; Santra, Sumita; Manna, Nilotpal; Ray, Samit K.

    2018-02-01

    This paper presents a highly selective chloroform sensor using functionalised reduced graphene oxide (RGO) as a sensing layer. Thiol group is covalently attached on the basal plan of RGO film by a simple one-step aryl diazonium chemistry to improve its selectivity. Several spectroscopic techniques like X-ray photoelectron, Raman and Fourier transform infrared spectroscopy confirm successful thiol functionalization of RGO. Finally, the fabricated chemiresistor type sensor is exposed to chloroform in the concentration range 200-800 ppm (parts per million). The sensor shows a 4.3% of response towards 800 ppm chloroform. The selectivity of the sensor is analyzed using various volatile organic compounds as well. The devices show enhanced response and faster recovery attributed to the physiosorption of chloroform onto thiol functionalized graphene making them attractive for 2D materials based sensing applications.

  13. Portable multi-sensor system for gas detection using the temporal window technique; Systeme multicapteurs de detection de gaz, portable, utilisant la technique du fenetrage temporel

    Energy Technology Data Exchange (ETDEWEB)

    Cazaubon, Ch. [Bordeaux-1 Univ., CRED, 33 - Talence (France); Levi, H.; Bordieu, Ch.; Rebiere, D.; Pistre, J. [Bordeaux-1 Univ., Lab. IXL, UMR CNRS 5818, 33 (France)

    1999-07-01

    An autonomous and portable multi-sensor system was constructed. It can drive four gas sensors (surface acoustic waves. SAW. for examples) and four voltage output gas sensors (semiconductor metal oxide sensors, for example). Two micro-controllers. MC68HC11F1 and MC68HC711E9, used as master and slave respectively, are mounted on two cards. The first card contains the signal processing treatment algorithm using a neural network and a shifting temporal window technique: it allows real time gas selection. The second card insure the overall temperature control by an auto-adaptive PID. GB gas SAW responses were applied to the device in order to test his performances. (authors)

  14. A Pascalian lateral drift sensor

    International Nuclear Information System (INIS)

    Jansen, H.

    2016-01-01

    A novel concept of a layer-wise produced semiconductor sensor for precise particle tracking is proposed herein. In contrast to common semiconductor sensors, local regions with increased doping concentration deep in the bulk termed charge guides increase the lateral drift of free charges on their way to the read-out electrode. This lateral drift enables charge sharing independent of the incident position of the traversing particle. With a regular grid of charge guides the lateral charge distribution resembles a normalised Pascal's triangle for particles that are stopped in depths lower than the depth of the first layer of the charge guides. For minimum ionising particles a sum of binomial distributions describes the lateral charge distribution. This concept decouples the achievable sensor resolution from the pitch size as the characteristic length is replaced by the lateral distance of the charge guides.

  15. A Pascalian lateral drift sensor

    Energy Technology Data Exchange (ETDEWEB)

    Jansen, H., E-mail: hendrik.jansen@desy.de

    2016-09-21

    A novel concept of a layer-wise produced semiconductor sensor for precise particle tracking is proposed herein. In contrast to common semiconductor sensors, local regions with increased doping concentration deep in the bulk termed charge guides increase the lateral drift of free charges on their way to the read-out electrode. This lateral drift enables charge sharing independent of the incident position of the traversing particle. With a regular grid of charge guides the lateral charge distribution resembles a normalised Pascal's triangle for particles that are stopped in depths lower than the depth of the first layer of the charge guides. For minimum ionising particles a sum of binomial distributions describes the lateral charge distribution. This concept decouples the achievable sensor resolution from the pitch size as the characteristic length is replaced by the lateral distance of the charge guides.

  16. CMOS image sensor for detection of interferon gamma protein interaction as a point-of-care approach.

    Science.gov (United States)

    Marimuthu, Mohana; Kandasamy, Karthikeyan; Ahn, Chang Geun; Sung, Gun Yong; Kim, Min-Gon; Kim, Sanghyo

    2011-09-01

    Complementary metal oxide semiconductor (CMOS)-based image sensors have received increased attention owing to the possibility of incorporating them into portable diagnostic devices. The present research examined the efficiency and sensitivity of a CMOS image sensor for the detection of antigen-antibody interactions involving interferon gamma protein without the aid of expensive instruments. The highest detection sensitivity of about 1 fg/ml primary antibody was achieved simply by a transmission mechanism. When photons are prevented from hitting the sensor surface, a reduction in digital output occurs in which the number of photons hitting the sensor surface is approximately proportional to the digital number. Nanoscale variation in substrate thickness after protein binding can be detected with high sensitivity by the CMOS image sensor. Therefore, this technique can be easily applied to smartphones or any clinical diagnostic devices for the detection of several biological entities, with high impact on the development of point-of-care applications.

  17. Analyzer for measurement of nitrogen oxide concentration by ozone content reduction in gas using solid state chemiluminescent sensor

    Science.gov (United States)

    Chelibanov, V. P.; Ishanin, G. G.; Isaev, L. N.

    2014-05-01

    Role of nitrogen oxide in ambient air is described and analyzed. New method of nitrogen oxide concentration measurement in gas phase is suggested based on ozone concentration measurement with titration by nitrogen oxide. Research of chemiluminescent sensor composition is carried out on experimental stand. The sensor produced on the base of solid state non-activated chemiluminescent composition is applied as ozone sensor. Composition is put on the surface of polymer matrix with developed surface. Sensor compositions includes gallic acid with addition of rodamine-6G. Model of interaction process between sensor composition and ozone has been developed, main products appeared during reaction are identified. The product determining the speed of luminescense appearance is found. This product belongs to quinone class. Then new structure of chemiluminescent composition was suggested, with absence of activation period and with high stability of operation. Experimental model of gas analyzer was constructed and operation algorithm was developed. It was demonstrated that developed NO measuring instrument would be applied for monitoring purposes of ambient air. This work was partially financially supported by Government of Russian Federation, Grant 074-U01

  18. Transparent p-type SnO nanowires with unprecedented hole mobility among oxide semiconductors

    KAUST Repository

    Caraveo-Frescas, J. A.

    2013-11-25

    p-type tin monoxide (SnO) nanowire field-effect transistors with stable enhancement mode behavior and record performance are demonstrated at 160 °C. The nanowire transistors exhibit the highest field-effect hole mobility (10.83 cm2 V−1 s−1) of any p-type oxide semiconductor processed at similar temperature. Compared to thin film transistors, the SnO nanowire transistors exhibit five times higher mobility and one order of magnitude lower subthreshold swing. The SnO nanowire transistors show three times lower threshold voltages (−1 V) than the best reported SnO thin film transistors and fifteen times smaller than p-type Cu 2O nanowire transistors. Gate dielectric and process temperature are critical to achieving such performance.

  19. High-speed imaging using CMOS image sensor with quasi pixel-wise exposure

    Science.gov (United States)

    Sonoda, T.; Nagahara, H.; Endo, K.; Sugiyama, Y.; Taniguchi, R.

    2017-02-01

    Several recent studies in compressive video sensing have realized scene capture beyond the fundamental trade-off limit between spatial resolution and temporal resolution using random space-time sampling. However, most of these studies showed results for higher frame rate video that were produced by simulation experiments or using an optically simulated random sampling camera, because there are currently no commercially available image sensors with random exposure or sampling capabilities. We fabricated a prototype complementary metal oxide semiconductor (CMOS) image sensor with quasi pixel-wise exposure timing that can realize nonuniform space-time sampling. The prototype sensor can reset exposures independently by columns and fix these amount of exposure by rows for each 8x8 pixel block. This CMOS sensor is not fully controllable via the pixels, and has line-dependent controls, but it offers flexibility when compared with regular CMOS or charge-coupled device sensors with global or rolling shutters. We propose a method to realize pseudo-random sampling for high-speed video acquisition that uses the flexibility of the CMOS sensor. We reconstruct the high-speed video sequence from the images produced by pseudo-random sampling using an over-complete dictionary.

  20. A pH Sensor Based on a Stainless Steel Electrode Electrodeposited with Iridium Oxide

    Science.gov (United States)

    Martinez, C. C. M.; Madrid, R. E.; Felice, C. J.

    2009-01-01

    A simple procedure to make an iridium oxide (IrO[subscript 2]) electrodeposited pH sensor, that can be used in a chemical, biomedical, or materials laboratory, is presented here. Some exercises, based on this sensor, that can be used to teach important concepts in the field of biomedical, biochemical, tissue, or materials engineering, are also…

  1. A Self-Sustained Wireless Multi-Sensor Platform Integrated with Printable Organic Sensors for Indoor Environmental Monitoring.

    Science.gov (United States)

    Wu, Chun-Chang; Chuang, Wen-Yu; Wu, Ching-Da; Su, Yu-Cheng; Huang, Yung-Yang; Huang, Yang-Jing; Peng, Sheng-Yu; Yu, Shih-An; Lin, Chih-Ting; Lu, Shey-Shi

    2017-03-29

    A self-sustained multi-sensor platform for indoor environmental monitoring is proposed in this paper. To reduce the cost and power consumption of the sensing platform, in the developed platform, organic materials of PEDOT:PSS and PEDOT:PSS/EB-PANI are used as the sensing films for humidity and CO₂ detection, respectively. Different from traditional gas sensors, these organic sensing films can operate at room temperature without heating processes or infrared transceivers so that the power consumption of the developed humidity and the CO₂ sensors can be as low as 10 μW and 5 μW, respectively. To cooperate with these low-power sensors, a Complementary Metal-Oxide-Semiconductor (CMOS) system-on-chip (SoC) is designed to amplify and to read out multiple sensor signals with low power consumption. The developed SoC includes an analog-front-end interface circuit (AFE), an analog-to-digital convertor (ADC), a digital controller and a power management unit (PMU). Scheduled by the digital controller, the sensing circuits are power gated with a small duty-cycle to reduce the average power consumption to 3.2 μW. The designed PMU converts the power scavenged from a dye sensitized solar cell (DSSC) module into required supply voltages for SoC circuits operation under typical indoor illuminance conditions. To our knowledge, this is the first multiple environmental parameters (Temperature/CO₂/Humidity) sensing platform that demonstrates a true self-powering functionality for long-term operations.

  2. A Self-Sustained Wireless Multi-Sensor Platform Integrated with Printable Organic Sensors for Indoor Environmental Monitoring

    Directory of Open Access Journals (Sweden)

    Chun-Chang Wu

    2017-03-01

    Full Text Available A self-sustained multi-sensor platform for indoor environmental monitoring is proposed in this paper. To reduce the cost and power consumption of the sensing platform, in the developed platform, organic materials of PEDOT:PSS and PEDOT:PSS/EB-PANI are used as the sensing films for humidity and CO2 detection, respectively. Different from traditional gas sensors, these organic sensing films can operate at room temperature without heating processes or infrared transceivers so that the power consumption of the developed humidity and the CO2 sensors can be as low as 10 μW and 5 μW, respectively. To cooperate with these low-power sensors, a Complementary Metal-Oxide-Semiconductor (CMOS system-on-chip (SoC is designed to amplify and to read out multiple sensor signals with low power consumption. The developed SoC includes an analog-front-end interface circuit (AFE, an analog-to-digital convertor (ADC, a digital controller and a power management unit (PMU. Scheduled by the digital controller, the sensing circuits are power gated with a small duty-cycle to reduce the average power consumption to 3.2 μW. The designed PMU converts the power scavenged from a dye sensitized solar cell (DSSC module into required supply voltages for SoC circuits operation under typical indoor illuminance conditions. To our knowledge, this is the first multiple environmental parameters (Temperature/CO2/Humidity sensing platform that demonstrates a true self-powering functionality for long-term operations.

  3. Polycrystalline intrinsic zinc oxide to be used in transparent electronic devices

    International Nuclear Information System (INIS)

    Pimentel, A.; Fortunato, E.; Goncalves, A.; Marques, A.; Aguas, H.; Pereira, L.; Ferreira, I.; Martins, R.

    2005-01-01

    In this paper we present results of intrinsic/non-doped zinc oxide deposited at room temperature by radio frequency magnetron sputtering able to be used as a semiconductor material on electronic devices, like for example ozone gas sensors, ultra-violet detectors and thin film transistors. These films present a resistivity as high as 2.5x10 8 Ω cm with an optical transmittance of 90%. Concerning the structural properties, these films are polycrystalline presenting a uniform and very smooth surface

  4. A Real-Time De-Noising Algorithm for E-Noses in a Wireless Sensor Network

    Science.gov (United States)

    Qu, Jianfeng; Chai, Yi; Yang, Simon X.

    2009-01-01

    A wireless e-nose network system is developed for the special purpose of monitoring odorant gases and accurately estimating odor strength in and around livestock farms. This system is to simultaneously acquire accurate odor strength values remotely at various locations, where each node is an e-nose that includes four metal-oxide semiconductor (MOS) gas sensors. A modified Kalman filtering technique is proposed for collecting raw data and de-noising based on the output noise characteristics of those gas sensors. The measurement noise variance is obtained in real time by data analysis using the proposed slip windows average method. The optimal system noise variance of the filter is obtained by using the experiments data. The Kalman filter theory on how to acquire MOS gas sensors data is discussed. Simulation results demonstrate that the proposed method can adjust the Kalman filter parameters and significantly reduce the noise from the gas sensors. PMID:22399946

  5. A Real-Time De-Noising Algorithm for E-Noses in a Wireless Sensor Network

    Directory of Open Access Journals (Sweden)

    Yi Chai

    2009-02-01

    Full Text Available A wireless e-nose network system is developed for the special purpose of monitoring odorant gases and accurately estimating odor strength in and around livestock farms. This system is to simultaneously acquire accurate odor strength values remotely at various locations, where each node is an e-nose that includes four metal-oxide semiconductor (MOS gas sensors. A modified Kalman filtering technique is proposed for collecting raw data and de-noising based on the output noise characteristics of those gas sensors. The measurement noise variance is obtained in real time by data analysis using the proposed slip windows average method. The optimal system noise variance of the filter is obtained by using the experiments data. The Kalman filter theory on how to acquire MOS gas sensors data is discussed. Simulation results demonstrate that the proposed method can adjust the Kalman filter parameters and significantly reduce the noise from the gas sensors.

  6. Analysis of fluctuations in semiconductor devices

    Science.gov (United States)

    Andrei, Petru

    The random nature of ion implantation and diffusion processes as well as inevitable tolerances in fabrication result in random fluctuations of doping concentrations and oxide thickness in semiconductor devices. These fluctuations are especially pronounced in ultrasmall (nanoscale) semiconductor devices when the spatial scale of doping and oxide thickness variations become comparable with the geometric dimensions of devices. In the dissertation, the effects of these fluctuations on device characteristics are analyzed by using a new technique for the analysis of random doping and oxide thickness induced fluctuations. This technique is universal in nature in the sense that it is applicable to any transport model (drift-diffusion, semiclassical transport, quantum transport etc.) and it can be naturally extended to take into account random fluctuations of the oxide (trapped) charges and channel length. The technique is based on linearization of the transport equations with respect to the fluctuating quantities. It is computationally much (a few orders of magnitude) more efficient than the traditional Monte-Carlo approach and it yields information on the sensitivity of fluctuations of parameters of interest (e.g. threshold voltage, small-signal parameters, cut-off frequencies, etc.) to the locations of doping and oxide thickness fluctuations. For this reason, it can be very instrumental in the design of fluctuation-resistant structures of semiconductor devices. Quantum mechanical effects are taken into account by using the density-gradient model as well as through self-consistent Poisson-Schrodinger computations. Special attention is paid to the presenting of the technique in a form that is suitable for implementation on commercial device simulators. The numerical implementation of the technique is discussed in detail and numerous computational results are presented and compared with those previously published in literature.

  7. Catalyzed reactions at illuminated semiconductor interfaces

    International Nuclear Information System (INIS)

    Wrighton, M.S.

    1984-01-01

    Many desirable minority carrier chemical redox processes are too slow to compete with e - -h + recombination at illuminated semiconductor/liquid electrolyte junction interfaces. Reductions of H 2 O to H 2 or CO 2 to compounds having C--H bonds are too slow to compete with e - -h + recombination at illuminated p-type semiconductors, for example. Approaches to improve the rate of the desired processes involving surface modification techniques are described. Photoanodes are plagued by the additional problem of oxidative decomposition under illumination with > or =E/sub g/ illumination. The photo-oxidation of Cl - , Br - , and H 2 O is considered to illustrate the concepts involved. Proof of concept experiments establish that catalysis can be effective in dramatically improving direct solar fuel production; efficiencies of >10% have been demonstrated

  8. Development a solid state sensor based on SnO{sub 2} nanoparticles for underground coal mine methane detection using zeolites as filter; Desenvolvimento de sensor de estado solido com nanoparticulas de SnO{sub 2} para metano de mina de carvao subterranea utilizando zeolitas como filtro

    Energy Technology Data Exchange (ETDEWEB)

    Abruzzi, R.C.; Dedavid, B.A.; Pires, M.J.R.; Luvizon, N.S., E-mail: rafael.abruzzi@acad.pucrs.br [Pontificia Universidade Catolica do Rio Grande do Sul (PUCRS), Porto Alegre, RS (Brazil)

    2016-07-01

    Aiming the monitoring of methane (CH{sub 4}) in underground coal mines, the tin oxide (SnO{sub 2}) was synthesis and applied to the development of a MOS sensor (metal oxide semiconductor). Zeolite have been tested as a filter of carbon dioxide (CO{sub 2}) to ensure the selectivity in the detection of CH{sub 4}. Analysis of Transmission Electron Microscopy (TEM) and X-Ray Diffraction (XRD) indicated a synthesis of nanoscaled structures. The energy band gap showed characteristic values for a potential application of SnO{sub 2} in CH{sub 4} sensors. Analysis of surface area by BET isotherms showed high values for the zeolite 13X and Y, while adsorption tests indicated that the zeolite 13X presents greater adsorption efficiency of CO{sub 2}. The sputtering technique for deposition of the electrodes, as well as the method of drop coating for deposition of SnO{sub 2}, proved effective in developing the sensor. (author)

  9. Development of nitric oxide sensor for asthma attack prevention

    International Nuclear Information System (INIS)

    Vilar, M. Rei; El-Beghdadi, J.; Debontridder, F.; Naaman, R.; Arbel, A.; Ferraria, A.M.; Do Rego, A.M. Botelho

    2006-01-01

    The aim of this work is the development of a NO sensor for asthma control and medication monitoring. The transducer is a Molecular Controlled Semiconductor Resistor (MOCSER), which is a GaAs based heterostructure. Protoporphyrins IX, containing carboxylic groups to chemisorb on GaAs, were used as sensing molecules. Characterization of the protoporphyrin monolayers was held using Attenuated Total Reflection in Multiple Internal Reflection (ATR/MIR), High Resolution Electron Energy Loss Spectroscopy (HREELS) in the vibrational and electronic domain and X-ray Photoelectron Spectroscopy (XPS). Degreasing and etching of the GaAs substrates were accomplished before adsorption. Interfacial bonding investigated by ATR/MIR shows that protoporphyrin adsorbs to the GaAs (100) through a unidentate complex and remains mostly vertically oriented. The electronic domain of the HREELS spectra exhibits the Q band with α and β components on the same position as in the UV/Vis spectrum. Soret band is blue shifted showing a face to face stacking of the protoporphyrin molecules on the GaAs substrates. XPS spectra reveal the presence of Cobalt in monolayers prepared with 8 x 10 -5 M CoPP solutions. Kinetics is best fitted by an Elovich equation, showing some hindrance due to the previous adsorbed molecules. Thickness found from XPS data ranges from 1.3 to 1.5 nm, which fits with the molecular dimensions. Using the GaAs preparation methods developed here, an NO sensor prototype was assembled and tested for NO sensitivity and repeatability. Relative to NO, tests reveal a good sensitivity between 1.6 and 200 ppb. NO sensitivity was also measured towards CO, CO 2 and O 2 . Pure nitrogen sweeps NO from the porphyrin layer, opening the possibility of the sensor reutilization

  10. Development of nitric oxide sensor for asthma attack prevention

    Energy Technology Data Exchange (ETDEWEB)

    Vilar, M. Rei [ITODYS, CNRS-Universite Denis Diderot, Paris (France)]. E-mail: reivilar@paris7.jussieu.fr; El-Beghdadi, J. [ITODYS, CNRS-Universite Denis Diderot, Paris (France); Debontridder, F. [ITODYS, CNRS-Universite Denis Diderot, Paris (France); Naaman, R. [Department of Chemical Physics, Weizmann Institute, Rehovot (Israel); Arbel, A. [Chiaro Networks, Jerusalem (Israel); Ferraria, A.M. [CQFM, Instituto Superior Tecnico, Lisboa (Portugal); Do Rego, A.M. Botelho [CQFM, Instituto Superior Tecnico, Lisbon (Portugal)

    2006-03-15

    The aim of this work is the development of a NO sensor for asthma control and medication monitoring. The transducer is a Molecular Controlled Semiconductor Resistor (MOCSER), which is a GaAs based heterostructure. Protoporphyrins IX, containing carboxylic groups to chemisorb on GaAs, were used as sensing molecules. Characterization of the protoporphyrin monolayers was held using Attenuated Total Reflection in Multiple Internal Reflection (ATR/MIR), High Resolution Electron Energy Loss Spectroscopy (HREELS) in the vibrational and electronic domain and X-ray Photoelectron Spectroscopy (XPS). Degreasing and etching of the GaAs substrates were accomplished before adsorption. Interfacial bonding investigated by ATR/MIR shows that protoporphyrin adsorbs to the GaAs (100) through a unidentate complex and remains mostly vertically oriented. The electronic domain of the HREELS spectra exhibits the Q band with {alpha} and {beta} components on the same position as in the UV/Vis spectrum. Soret band is blue shifted showing a face to face stacking of the protoporphyrin molecules on the GaAs substrates. XPS spectra reveal the presence of Cobalt in monolayers prepared with 8 x 10{sup -5} M CoPP solutions. Kinetics is best fitted by an Elovich equation, showing some hindrance due to the previous adsorbed molecules. Thickness found from XPS data ranges from 1.3 to 1.5 nm, which fits with the molecular dimensions. Using the GaAs preparation methods developed here, an NO sensor prototype was assembled and tested for NO sensitivity and repeatability. Relative to NO, tests reveal a good sensitivity between 1.6 and 200 ppb. NO sensitivity was also measured towards CO, CO{sub 2} and O{sub 2}. Pure nitrogen sweeps NO from the porphyrin layer, opening the possibility of the sensor reutilization.

  11. Gas sensing properties of indium–gallium–zinc–oxide gas sensors in different light intensity

    Directory of Open Access Journals (Sweden)

    Kuen-Lin Chen

    2015-06-01

    Full Text Available We have successfully observed the change in indium–gallium–zinc–oxide (IGZO gas sensor sensitivity by controlling the light emitting diode (LED power under the same gas concentrations. The light intensity dependence of sensor properties is discussed. Different LED intensities obviously affected the gas sensor sensitivity, which decays with increasing LED intensity. High LED intensity decreases not only gas sensor sensitivity but also the response time (T90, response time constant (τres and the absorption rate per second. Low intensity irradiated to sensor causes high sensitivity, but it needs larger response time. Similar results were also observed in other kinds of materials such as TiO2. According to the results, the sensing properties of gas sensors can be modulated by controlling the light intensity.

  12. Modeling the dark current histogram induced by gold contamination in complementary-metal-oxide-semiconductor image sensors

    Energy Technology Data Exchange (ETDEWEB)

    Domengie, F., E-mail: florian.domengie@st.com; Morin, P. [STMicroelectronics Crolles 2 (SAS), 850 Rue Jean Monnet, 38926 Crolles Cedex (France); Bauza, D. [CNRS, IMEP-LAHC - Grenoble INP, Minatec: 3, rue Parvis Louis Néel, CS 50257, 38016 Grenoble Cedex 1 (France)

    2015-07-14

    We propose a model for dark current induced by metallic contamination in a CMOS image sensor. Based on Shockley-Read-Hall kinetics, the expression of dark current proposed accounts for the electric field enhanced emission factor due to the Poole-Frenkel barrier lowering and phonon-assisted tunneling mechanisms. To that aim, we considered the distribution of the electric field magnitude and metal atoms in the depth of the pixel. Poisson statistics were used to estimate the random distribution of metal atoms in each pixel for a given contamination dose. Then, we performed a Monte-Carlo-based simulation for each pixel to set the number of metal atoms the pixel contained and the enhancement factor each atom underwent, and obtained a histogram of the number of pixels versus dark current for the full sensor. Excellent agreement with the dark current histogram measured on an ion-implanted gold-contaminated imager has been achieved, in particular, for the description of the distribution tails due to the pixel regions in which the contaminant atoms undergo a large electric field. The agreement remains very good when increasing the temperature by 15 °C. We demonstrated that the amplification of the dark current generated for the typical electric fields encountered in the CMOS image sensors, which depends on the nature of the metal contaminant, may become very large at high electric field. The electron and hole emissions and the resulting enhancement factor are described as a function of the trap characteristics, electric field, and temperature.

  13. 3-D periodic mesoporous nickel oxide for nonenzymatic uric acid sensors with improved sensitivity

    International Nuclear Information System (INIS)

    Huang, Wei; Cao, Yang; Chen, Yong; Zhou, Yang; Huang, Qingyou

    2015-01-01

    Graphical abstract: The enzyme-less amperometric sensor based on 3-D periodic mesoporous NiO nanomaterials used in the detection of uric acid with detection limit of 0.005 μM (S/N = 3) over wide linear detection ranges up to 0.374 mM and with a high sensitivity of 756.26 μA mM"−"1 cm"−"2. - Highlights: • Microwave-assisted method was used to fabricate the 3-D periodic mesoporous NiO particles. • The mesoporous nickel oxide was applied to nonenzymatic uric acid biosensor. • The detection limit is 0.005 μM over wide linear detection ranges up to 0.374 mM. • The sensitivity is 756.26 μA mM"−"1 cm"−"2. - Abstract: 3-D periodic mesoporous nickel oxide (NiO) particles with crystalline walls have been synthesized through the microwave-assisted hard template route toward the KIT-6 silica. It was investigated as a nonenzymatic amperometric sensor for the detection of uric acid. 3-D periodic nickel oxide matrix has been obtained by the hard template route from the KIT-6 silica template. The crystalline nickel oxide belonged to the Ia3d space group, and its structure was characterized by X-ray diffraction (XRD), N_2 adsorption–desorption, and transmission electron microscopy (TEM). The analysis results showed that the microwave-assisted mesoporous NiO materials were more appropriate to be electrochemical sensors than the traditional mesoporous NiO. Cyclic voltammetry (CV) revealed that 3-D periodic NiO exhibited a direct electrocatalytic activity for the oxidation of uric acid in sodium hydroxide solution. The enzyme-less amperometric sensor used in the detection of uric acid with detection limit of 0.005 μM (S/N = 3) over wide linear detection ranges up to 0.374 mM and with a high sensitivity of 756.26 μA mM"−"1 cm"−"2, and a possible mechanism was also given in the paper.

  14. 3-D periodic mesoporous nickel oxide for nonenzymatic uric acid sensors with improved sensitivity

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Wei; Cao, Yang, E-mail: caowang507@163.com; Chen, Yong; Zhou, Yang; Huang, Qingyou

    2015-12-30

    Graphical abstract: The enzyme-less amperometric sensor based on 3-D periodic mesoporous NiO nanomaterials used in the detection of uric acid with detection limit of 0.005 μM (S/N = 3) over wide linear detection ranges up to 0.374 mM and with a high sensitivity of 756.26 μA mM{sup −1} cm{sup −2}. - Highlights: • Microwave-assisted method was used to fabricate the 3-D periodic mesoporous NiO particles. • The mesoporous nickel oxide was applied to nonenzymatic uric acid biosensor. • The detection limit is 0.005 μM over wide linear detection ranges up to 0.374 mM. • The sensitivity is 756.26 μA mM{sup −1} cm{sup −2}. - Abstract: 3-D periodic mesoporous nickel oxide (NiO) particles with crystalline walls have been synthesized through the microwave-assisted hard template route toward the KIT-6 silica. It was investigated as a nonenzymatic amperometric sensor for the detection of uric acid. 3-D periodic nickel oxide matrix has been obtained by the hard template route from the KIT-6 silica template. The crystalline nickel oxide belonged to the Ia3d space group, and its structure was characterized by X-ray diffraction (XRD), N{sub 2} adsorption–desorption, and transmission electron microscopy (TEM). The analysis results showed that the microwave-assisted mesoporous NiO materials were more appropriate to be electrochemical sensors than the traditional mesoporous NiO. Cyclic voltammetry (CV) revealed that 3-D periodic NiO exhibited a direct electrocatalytic activity for the oxidation of uric acid in sodium hydroxide solution. The enzyme-less amperometric sensor used in the detection of uric acid with detection limit of 0.005 μM (S/N = 3) over wide linear detection ranges up to 0.374 mM and with a high sensitivity of 756.26 μA mM{sup −1} cm{sup −2}, and a possible mechanism was also given in the paper.

  15. Heterogeneous metal-oxide nanowire micro-sensor array for gas sensing

    International Nuclear Information System (INIS)

    DeMeo, Dante; E Vandervelde, Thomas; MacNaughton, Sam; Sonkusale, Sameer; Wang, Zhilong; Zhang, Xinjie

    2014-01-01

    Vanadium oxide, manganese oxide, tungsten oxide, and nickel oxide nanowires were investigated for their applicability as chemiresistive gas sensors. Nanowires have excellent surface-to-volume ratios which yield higher sensitivities than bulk materials. Sensing elements consisting of these materials were assembled in an array to create an electronic nose platform. Dielectrophoresis was used to position the nanomaterials onto a microfabricated array of electrodes, which was subsequently mounted onto a leadless chip carrier and printed circuit board for rapid testing. Samples were tested in an enclosed chamber with vapors of acetone, isopropanol, methanol, and aqueous ammonia. The change in resistance of each assembly was measured. Responses varied between nanowire compositions, each demonstrating unique and repeatable responses to different gases; this enabled direct detection of the gases from the ensemble response. Sensitivities were calculated based on the fractional resistance change in a saturated environment and ranged from 6 × 10 −4 to 2 × 10 −5 %change ppm −1 . (papers)

  16. Solid State Electrochemical Sensors for Nitrogen Oxide (NOx) Detection in Lean Exhaust Gases

    OpenAIRE

    Rheaume, Jonathan Michael

    2010-01-01

    Solid state electrochemical sensors that measure nitrogen oxides (NOx) in lean exhaust have been investigated in order to help meet future on-board diagnostic (OBD) regulations for diesel vehicles. This impedancemetric detection technology consists of a planar, single cell sensor design with various sensing electrode materials and yttria-stabilized zirconia (YSZ) as the electrolyte. No reference to ambient air is required. An impedance analysis method yields a signal that is proportional to t...

  17. A Monolithic CMOS Magnetic Hall Sensor with High Sensitivity and Linearity Characteristics.

    Science.gov (United States)

    Huang, Haiyun; Wang, Dejun; Xu, Yue

    2015-10-27

    This paper presents a fully integrated linear Hall sensor by means of 0.8 μm high voltage complementary metal-oxide semiconductor (CMOS) technology. This monolithic Hall sensor chip features a highly sensitive horizontal switched Hall plate and an efficient signal conditioner using dynamic offset cancellation technique. An improved cross-like Hall plate achieves high magnetic sensitivity and low offset. A new spinning current modulator stabilizes the quiescent output voltage and improves the reliability of the signal conditioner. The tested results show that at the 5 V supply voltage, the maximum Hall output voltage of the monolithic Hall sensor microsystem, is up to ±2.1 V and the linearity of Hall output voltage is higher than 99% in the magnetic flux density range from ±5 mT to ±175 mT. The output equivalent residual offset is 0.48 mT and the static power consumption is 20 mW.

  18. A Monolithic CMOS Magnetic Hall Sensor with High Sensitivity and Linearity Characteristics

    Directory of Open Access Journals (Sweden)

    Haiyun Huang

    2015-10-01

    Full Text Available This paper presents a fully integrated linear Hall sensor by means of 0.8 μm high voltage complementary metal-oxide semiconductor (CMOS technology. This monolithic Hall sensor chip features a highly sensitive horizontal switched Hall plate and an efficient signal conditioner using dynamic offset cancellation technique. An improved cross-like Hall plate achieves high magnetic sensitivity and low offset. A new spinning current modulator stabilizes the quiescent output voltage and improves the reliability of the signal conditioner. The tested results show that at the 5 V supply voltage, the maximum Hall output voltage of the monolithic Hall sensor microsystem, is up to ±2.1 V and the linearity of Hall output voltage is higher than 99% in the magnetic flux density range from ±5 mT to ±175 mT. The output equivalent residual offset is 0.48 mT and the static power consumption is 20 mW.

  19. Standard-free electron-probe microanalysis of thin films of HTSC-oxide and semiconductors (h<1μm)

    International Nuclear Information System (INIS)

    Kvardakov, A.M.; Mikhajlova, A.Ya.; San'gin, V.P.; Lazarev, V.B.

    1993-01-01

    A simplified variant of the standard-free electron-probe microanalysis is elaborated to carry out rapid analysis of chemical composition of >1μm thickness thin films of high-temperature superconductor oxides and semiconductors on alien substrates. The suggested technique has increased the efficiency of search for optimal conditions of preparation YBa 2 Cu 3 O x thin films existing in magnetron and InSb ion-beam techniques of spraying on SrTiO 3 and α-Al 2 O 3 monocrystal base substrates

  20. Spray Pyrolyzed Polycrystalline Tin Oxide Thin Film as Hydrogen Sensor

    Directory of Open Access Journals (Sweden)

    Ganesh E. Patil

    2010-09-01

    Full Text Available Polycrystalline tin oxide (SnO2 thin film was prepared by using simple and inexpensive spray pyrolysis technique (SPT. The film was characterized for their phase and morphology by X-ray diffraction (XRD and scanning electron microscopy (SEM, respectively. The crystallite size calculated from the XRD pattern is 84 nm. Conductance responses of the polycrystalline SnO2 were measured towards gases like hydrogen (H2, liquefied petroleum gas (LPG, ethanol vapors (C2H5OH, NH3, CO, CO2, Cl2 and O2. The gas sensing characteristics were obtained by measuring the sensor response as a function of various controlling factors like operating temperature, operating voltages (1 V, 5 V, 10 V 15 V, 20 V and 25 V and concentration of gases. The sensor response measurement showed that the SnO2 has maximum response to hydrogen. Furthermore; the SnO2 based sensor exhibited fast response and good recovery towards hydrogen at temperature 150 oC. The result of response towards H2 reveals that SnO2 thin film prepared by SPT would be a suitable material for the fabrication of the hydrogen sensor.

  1. Synthesis and characterization of metal oxide semiconductors by a facile co-electroplating-annealing method and formation of ZnO/CuO pn heterojunctions with rectifying behavior

    Science.gov (United States)

    Turkdogan, Sunay; Kilic, Bayram

    2018-01-01

    We have developed a unique growth method and demonstrated the growth of CuO and ZnO semiconductor materials and the fabrication of their pn heterojunctions in ambient atmosphere. The pn heterojunctions were constructed using inherently p-type CuO and inherently n-type ZnO materials. Both p- and n-type semiconductors and pn heterojunctions were prepared using a simple but versatile growth method that relies on the transformation of electroplated Cu and Zn metals into CuO and ZnO semiconductors, respectively and is capable of a large-scale production desired in most of the applications. The structural, chemical, optical and electrical properties of the materials and junctions were investigated using various characterization methods and the results show that our growth method, materials and devices are quite promising to be utilized for various applications including but not limited to solar cells, gas/humidity sensors and photodetectors.

  2. Optical and Electronic NOx Sensors for Applications in Mechatronics

    Directory of Open Access Journals (Sweden)

    Scott D. Wolter

    2009-05-01

    Full Text Available Current production and emerging NOx sensors based on optical and nanomaterials technologies are reviewed. In view of their potential applications in mechatronics, we compared the performance of: i Quantum cascade lasers (QCL based photoacoustic (PA systems; ii gold nanoparticles as catalytically active materials in field-effect transistor (FET sensors, and iii functionalized III-V semiconductor based devices. QCL-based PA sensors for NOx show a detection limit in the sub part-per-million range and are characterized by high selectivity and compact set-up. Electrochemically synthesized gold-nanoparticle FET sensors are able to monitor NOx in a concentration range from 50 to 200 parts per million and are suitable for miniaturization. Porphyrin-functionalized III-V semiconductor materials can be used for the fabrication of a reliable NOx sensor platform characterized by high conductivity, corrosion resistance, and strong surface state coupling.

  3. Optical and Electronic NOx Sensors for Applications in Mechatronics

    Science.gov (United States)

    Di Franco, Cinzia; Elia, Angela; Spagnolo, Vincenzo; Scamarcio, Gaetano; Lugarà, Pietro Mario; Ieva, Eliana; Cioffi, Nicola; Torsi, Luisa; Bruno, Giovanni; Losurdo, Maria; Garcia, Michael A.; Wolter, Scott D.; Brown, April; Ricco, Mario

    2009-01-01

    Current production and emerging NOx sensors based on optical and nanomaterials technologies are reviewed. In view of their potential applications in mechatronics, we compared the performance of: i) Quantum cascade lasers (QCL) based photoacoustic (PA) systems; ii) gold nanoparticles as catalytically active materials in field-effect transistor (FET) sensors, and iii) functionalized III-V semiconductor based devices. QCL-based PA sensors for NOx show a detection limit in the sub part-per-million range and are characterized by high selectivity and compact set-up. Electrochemically synthesized gold-nanoparticle FET sensors are able to monitor NOx in a concentration range from 50 to 200 parts per million and are suitable for miniaturization. Porphyrin-functionalized III-V semiconductor materials can be used for the fabrication of a reliable NOx sensor platform characterized by high conductivity, corrosion resistance, and strong surface state coupling. PMID:22412315

  4. Spin-dependent transport properties of a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor structure

    Energy Technology Data Exchange (ETDEWEB)

    Kanaki, Toshiki, E-mail: kanaki@cryst.t.u-tokyo.ac.jp; Asahara, Hirokatsu; Ohya, Shinobu, E-mail: ohya@cryst.t.u-tokyo.ac.jp; Tanaka, Masaaki, E-mail: masaaki@ee.t.u-tokyo.ac.jp [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2015-12-14

    We fabricate a vertical spin metal-oxide-semiconductor field-effect transistor (spin-MOSFET) structure, which is composed of an epitaxial single-crystal heterostructure with a ferromagnetic-semiconductor GaMnAs source/drain, and investigate its spin-dependent transport properties. We modulate the drain-source current I{sub DS} by ∼±0.5% with a gate-source voltage of ±10.8 V and also modulate I{sub DS} by up to 60% with changing the magnetization configuration of the GaMnAs source/drain at 3.5 K. The magnetoresistance ratio is more than two orders of magnitude higher than that obtained in the previous studies on spin MOSFETs. Our result shows that a vertical structure is one of the hopeful candidates for spin MOSFET when the device size is reduced to a sub-micron or nanometer scale.

  5. Spin-dependent transport properties of a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor structure

    International Nuclear Information System (INIS)

    Kanaki, Toshiki; Asahara, Hirokatsu; Ohya, Shinobu; Tanaka, Masaaki

    2015-01-01

    We fabricate a vertical spin metal-oxide-semiconductor field-effect transistor (spin-MOSFET) structure, which is composed of an epitaxial single-crystal heterostructure with a ferromagnetic-semiconductor GaMnAs source/drain, and investigate its spin-dependent transport properties. We modulate the drain-source current I DS by ∼±0.5% with a gate-source voltage of ±10.8 V and also modulate I DS by up to 60% with changing the magnetization configuration of the GaMnAs source/drain at 3.5 K. The magnetoresistance ratio is more than two orders of magnitude higher than that obtained in the previous studies on spin MOSFETs. Our result shows that a vertical structure is one of the hopeful candidates for spin MOSFET when the device size is reduced to a sub-micron or nanometer scale

  6. Nitric Oxide Generating Polymeric Coatings for Subcutaneous Glucose Sensors

    Science.gov (United States)

    2007-10-01

    primary polymer which was then aminated (2) for attachment of (Boc)3-cyclen-N-acetic acid (1). After the conjugation via EDC coupling chemistry, the Boc...dipping procedure is repeated 5 times. This is the needle-type NO sensor currently used (e.g., Figure 4 device but w/o the SePEI and alginic acid ...Cha, M. E. Meyerhoff, " Polymethacrylates with Covalently Linked Cu(II)-Cyclen Complex for the In-Situ Generation of Nitric Oxide from Nitrosothiols in

  7. Contact CMOS imaging of gaseous oxygen sensor array.

    Science.gov (United States)

    Daivasagaya, Daisy S; Yao, Lei; Yi Yung, Ka; Hajj-Hassan, Mohamad; Cheung, Maurice C; Chodavarapu, Vamsy P; Bright, Frank V

    2011-10-01

    We describe a compact luminescent gaseous oxygen (O 2 ) sensor microsystem based on the direct integration of sensor elements with a polymeric optical filter and placed on a low power complementary metal-oxide semiconductor (CMOS) imager integrated circuit (IC). The sensor operates on the measurement of excited-state emission intensity of O 2 -sensitive luminophore molecules tris(4,7-diphenyl-1,10-phenanthroline) ruthenium(II) ([Ru(dpp) 3 ] 2+ ) encapsulated within sol-gel derived xerogel thin films. The polymeric optical filter is made with polydimethylsiloxane (PDMS) that is mixed with a dye (Sudan-II). The PDMS membrane surface is molded to incorporate arrays of trapezoidal microstructures that serve to focus the optical sensor signals on to the imager pixels. The molded PDMS membrane is then attached with the PDMS color filter. The xerogel sensor arrays are contact printed on top of the PDMS trapezoidal lens-like microstructures. The CMOS imager uses a 32 × 32 (1024 elements) array of active pixel sensors and each pixel includes a high-gain phototransistor to convert the detected optical signals into electrical currents. Correlated double sampling circuit, pixel address, digital control and signal integration circuits are also implemented on-chip. The CMOS imager data is read out as a serial coded signal. The CMOS imager consumes a static power of 320 µW and an average dynamic power of 625 µW when operating at 100 Hz sampling frequency and 1.8 V DC. This CMOS sensor system provides a useful platform for the development of miniaturized optical chemical gas sensors.

  8. Comparative analysis of oxide phase formation and its effects on electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor structures

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jaeyel [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Sehun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Jungsub; Yang, Changjae; Kim, Sujin; Seok, Chulkyun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Jinsub [Department of Electronic Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 443-270 (Korea, Republic of); Energy Semiconductor Research Center, Advanced Institutes of Convergence Technology, Seoul National University, Suwon 443-270 (Korea, Republic of)

    2012-06-01

    We report on the changes in the interfacial phases between SiO{sub 2} and InSb caused by various deposition temperatures and heat treatments. X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy were used to evaluate the relative amount of each phase present at the interface. The effect of interfacial phases on the electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor (MOS) structures was investigated by capacitance-voltage (C-V) measurements. The amount of both In and Sb oxides increased with the deposition temperature. The amount of interfacial In oxide was larger for all samples, regardless of the deposition and annealing temperatures and times. In particular, the annealed samples contained less than half the amount of Sb oxide compared with the as-deposited samples, indicating a strong interfacial reaction between Sb oxide and the InSb substrate during annealing. The interface trap density sharply increased for deposition temperatures above 240 Degree-Sign C. The C-V measurements and Raman spectroscopy indicated that elemental Sb accumulation due to the interfacial reaction of Sb oxide with InSb substrate was responsible for the increased interfacial trap densities in these SiO{sub 2}/InSb MOS structures. - Highlights: Black-Right-Pointing-Pointer We report the quantitative analysis of interfacial oxides at the SiO{sub 2}/InSb interface. Black-Right-Pointing-Pointer Interfacial oxides were measured quantitatively by X-ray Photoelectron Spectroscopy. Black-Right-Pointing-Pointer As-grown and annealed samples showed different compositions of oxide phases. Black-Right-Pointing-Pointer Considerable reduction of antimony oxide phases was observed during annealing. Black-Right-Pointing-Pointer Interface trap densities at the SiO{sub 2}/InSb interface were calculated.

  9. Fast regional readout CMOS Image Sensor for dynamic MLC tracking

    Science.gov (United States)

    Zin, H.; Harris, E.; Osmond, J.; Evans, P.

    2014-03-01

    Advanced radiotherapy techniques such as volumetric modulated arc therapy (VMAT) require verification of the complex beam delivery including tracking of multileaf collimators (MLC) and monitoring the dose rate. This work explores the feasibility of a prototype Complementary metal-oxide semiconductor Image Sensor (CIS) for tracking these complex treatments by utilising fast, region of interest (ROI) read out functionality. An automatic edge tracking algorithm was used to locate the MLC leaves edges moving at various speeds (from a moving triangle field shape) and imaged with various sensor frame rates. The CIS demonstrates successful edge detection of the dynamic MLC motion within accuracy of 1.0 mm. This demonstrates the feasibility of the sensor to verify treatment delivery involving dynamic MLC up to ~400 frames per second (equivalent to the linac pulse rate), which is superior to any current techniques such as using electronic portal imaging devices (EPID). CIS provides the basis to an essential real-time verification tool, useful in accessing accurate delivery of complex high energy radiation to the tumour and ultimately to achieve better cure rates for cancer patients.

  10. Fast regional readout CMOS image sensor for dynamic MLC tracking

    International Nuclear Information System (INIS)

    Zin, H; Harris, E; Osmond, J; Evans, P

    2014-01-01

    Advanced radiotherapy techniques such as volumetric modulated arc therapy (VMAT) require verification of the complex beam delivery including tracking of multileaf collimators (MLC) and monitoring the dose rate. This work explores the feasibility of a prototype Complementary metal-oxide semiconductor Image Sensor (CIS) for tracking these complex treatments by utilising fast, region of interest (ROI) read out functionality. An automatic edge tracking algorithm was used to locate the MLC leaves edges moving at various speeds (from a moving triangle field shape) and imaged with various sensor frame rates. The CIS demonstrates successful edge detection of the dynamic MLC motion within accuracy of 1.0 mm. This demonstrates the feasibility of the sensor to verify treatment delivery involving dynamic MLC up to ∼400 frames per second (equivalent to the linac pulse rate), which is superior to any current techniques such as using electronic portal imaging devices (EPID). CIS provides the basis to an essential real-time verification tool, useful in accessing accurate delivery of complex high energy radiation to the tumour and ultimately to achieve better cure rates for cancer patients.

  11. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  12. Additional compound semiconductor nanowires for photonics

    Science.gov (United States)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  13. Surface engineering of one-dimensional tin oxide nanostructures for chemical sensors

    International Nuclear Information System (INIS)

    Ma, Yuanyuan; Qu, Yongquan; Zhou, Wei

    2013-01-01

    Nanostructured materials are promising candidates for chemical sensors due to their fascinating physicochemical properties. Among various candidates, tin oxide (SnO 2 ) has been widely explored in gas sensing elements due to its excellent chemical stability, low cost, ease of fabrication and remarkable reproducibility. We are presenting an overview on recent investigations on 1-dimensional (1D) SnO 2 nanostructures for chemical sensing. In particular, we focus on the performance of devices based on surface engineered SnO 2 nanostructures, and on aspects of morphology, size, and functionality. The synthesis and sensing mechanism of highly selective, sensitive and stable 1D nanostructures for use in chemical sensing are discussed first. This is followed by a discussion of the relationship between the surface properties of the SnO 2 layer and the sensor performance from a thermodynamic point of view. Then, the opportunities and recent progress of chemical sensors fabricated from 1D SnO 2 heterogeneous nanostructures are discussed. Finally, we summarize current challenges in terms of improving the performance of chemical (gas) sensors using such nanostructures and suggest potential applications. (author)

  14. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  15. Influence of semiconductor/metal interface geometry in an EMR sensor

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2013-01-01

    The extraordinary magnetoresistance (EMR) is well known to be strongly dependent on geometric parameters. While the influence of the aspect ratios of the metal and semiconductor areas has been thoroughly investigated, the geometry

  16. Room temperature NO2-sensing properties of porous silicon/tungsten oxide nanorods composite

    International Nuclear Information System (INIS)

    Wei, Yulong; Hu, Ming; Wang, Dengfeng; Zhang, Weiyi; Qin, Yuxiang

    2015-01-01

    Highlights: • Porous silicon/WO 3 nanorods composite is synthesized via hydrothermal method. • The morphology of WO 3 nanorods depends on the amount of oxalic acid (pH value). • The sensor can detect ppb level NO 2 at room temperature. - Abstract: One-dimensional single crystalline WO 3 nanorods have been successfully synthesized onto the porous silicon substrates by a seed-induced hydrothermal method. The controlled morphology of porous silicon/tungsten oxide nanorods composite was obtained by using oxalic acid as an organic inducer. The reaction was carried out at 180 °C for 2 h. The influence of oxalic acid (pH value) on the morphology of porous silicon/tungsten oxide nanorods composite was investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD) and transmission electron microscopy (TEM). The NO 2 -sensing properties of the sensor based on porous silicon/tungsten oxide nanorods composite were investigated at different temperatures ranging from room temperature (∼25 °C) to 300 °C. At room temperature, the sensor behaved as a typical p-type semiconductor and exhibited high gas response, good repeatability and excellent selectivity characteristics toward NO 2 gas due to its high specific surface area, special structure, and large amounts of oxygen vacancies

  17. Monolithic integration of a micromachined piezoresistive flow sensor

    International Nuclear Information System (INIS)

    Li, Dan; Zhao, Tao; Yang, Zhenchuan; Zhang, Dacheng

    2010-01-01

    In this paper, a monolithic integrated piezoresistive flow sensor is presented, which was fabricated with an intermediate CMOS (complementary metal-oxide semiconductor) MEMS (micro electro mechanical system) process compatible with integrated pressure sensors. Four symmetrically arranged silicon diaphragms with piezoresistors on them were used to sense the drag force induced by the input gas flow. A signal conditioning CMOS circuit with a temperature compensation module was designed and fabricated simultaneously on the same chip with an increase of the total chip area by only 35%. An extra step of boron implantation and annealing was inserted into the standard CMOS process to form the piezoresistors. KOH anisotropic etching from the backside and deep reactive ion etching (DRIE) from the front side were combined to realize the silicon diaphragms. The integrated flow sensor was packaged and tested. The testing results indicated that the addition of piezoresistor formation and structure releasing did not significantly change any of the circuitry characteristics. The measured sensor output has a quadratic relation with the input flow rate of the fluid as predicted. The tested resolution of the sensor is less than 0.1 L min −1 with a measurement range of 0.1–5 L min −1 and the sensitivity is better than 40 mV per (L min −1 ) with a measurement range of 4–5 L min −1 . The measured noise floor of the sensor is 21.7 µV rtHz −1 .

  18. An Electrochemical Sensor Based on Nanostructured Hollandite-type Manganese Oxide for Detection of Potassium Ions

    Directory of Open Access Journals (Sweden)

    Alex S. Lima

    2009-08-01

    Full Text Available The participation of cations in redox reactions of manganese oxides provides an opportunity for development of chemical sensors for non-electroactive ions. A sensor based on a nanostructured hollandite-type manganese oxide was investigated for voltammetric detection of potassium ions. The detection is based on the measurement of anodic current generated by oxidation of Mn(III to Mn(IV at the surface of the electrode and the subsequent extraction of the potassium ions into the hollandite structure. In this work, an amperometric procedure at an operating potential of 0.80 V (versus SCE is exploited for amperometric monitoring. The current signals are linearly proportional to potassium ion concentration in the range 4.97 × 10−5 to 9.05 × 10−4 mol L−1, with a correlation coefficient of 0.9997.

  19. Real-time DNA Amplification and Detection System Based on a CMOS Image Sensor.

    Science.gov (United States)

    Wang, Tiantian; Devadhasan, Jasmine Pramila; Lee, Do Young; Kim, Sanghyo

    2016-01-01

    In the present study, we developed a polypropylene well-integrated complementary metal oxide semiconductor (CMOS) platform to perform the loop mediated isothermal amplification (LAMP) technique for real-time DNA amplification and detection simultaneously. An amplification-coupled detection system directly measures the photon number changes based on the generation of magnesium pyrophosphate and color changes. The photon number decreases during the amplification process. The CMOS image sensor observes the photons and converts into digital units with the aid of an analog-to-digital converter (ADC). In addition, UV-spectral studies, optical color intensity detection, pH analysis, and electrophoresis detection were carried out to prove the efficiency of the CMOS sensor based the LAMP system. Moreover, Clostridium perfringens was utilized as proof-of-concept detection for the new system. We anticipate that this CMOS image sensor-based LAMP method will enable the creation of cost-effective, label-free, optical, real-time and portable molecular diagnostic devices.

  20. A novel electrochemical sensor based on metal-organic framework for electro-catalytic oxidation of L-cysteine.

    Science.gov (United States)

    Hosseini, Hadi; Ahmar, Hamid; Dehghani, Ali; Bagheri, Akbar; Tadjarodi, Azadeh; Fakhari, Ali Reza

    2013-04-15

    A novel electrochemical sensor based on Au-SH-SiO₂ nanoparticles supported on metal-organic framework (Au-SH-SiO₂@Cu-MOF) has been developed for electrocatalytic oxidation and determination of L-cysteine. The Au-SH-SiO₂@Cu-MOF was characterized by scanning electron microscopy, transmission electron microscopy, x-ray diffraction and cyclic voltammetry. The electrochemical behavior of L-cysteine at the Au-SH-SiO₂@Cu-MOF was investigated by cyclic voltammetry. The Au-SH-SiO₂@Cu-MOF showed a very efficient electrocatalytic activity for the oxidation of L-cysteine in 0.1 M phosphate buffer solution (pH 5.0). The oxidation overpotentials of L-cysteine decreased significantly and their oxidation peak currents increased dramatically at Au-SH-SiO₂@Cu-MOF. The potential utility of the sensor was demonstrated by applying it to the analytical determination of L-cysteine concentration. The results showed that the electrocatalytic current increased linearly with the L-cysteine concentration in the range of 0.02-300 μM and the detection limit was 0.008 μM. Finally, the sensor was applied to determine L-cysteine in water and biological samples. Copyright © 2012 Elsevier B.V. All rights reserved.

  1. Wafer-Level Vacuum Packaging of Smart Sensors.

    Science.gov (United States)

    Hilton, Allan; Temple, Dorota S

    2016-10-31

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  2. Pr-O-Al-N dielectrics for metal insulator semiconductor stacks

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, Karsten; Torche, Mohamed; Sohal, Rakesh; Karavaev, Konstantin; Burkov, Yevgen; Schwiertz, Carola; Schmeisser, Dieter [Brandenburg University of Technology, Chair of Applied Physics and Sensors, K.-Wachsmann-Allee 1, 03046 Cottbus (Germany)

    2011-02-15

    This work focuses on praseodymium oxide films as a high-k material on silicon and silicon carbide (SiC) in metal insulator semiconductor samples. The electrical results are correlated to spectroscopic findings on this material system. Strong interfacial reactions between the praseodymium oxide and the semiconductor as well as silicon inter-diffusion into the high-k material are observed. The importance of a buffer layer is discussed and its optimisation is addressed, too. In particular the improvement of the performance by the introduction of an aluminium oxynitride buffer layer, which acts as an inter-diffusion barrier and reduces the leakage current, the interface state density and the equivalent oxide thickness is demonstrated. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  4. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  5. Differential multi-MOSFET nuclear radiation sensor

    Science.gov (United States)

    Deoliveira, W. A.

    1977-01-01

    Circuit allows minimization of thermal-drift errors, low power consumption, operation over wide dynamic range, improved sensitivity and stability with metaloxide-semiconductor field-effect transistor sensors.

  6. Application of Gas Sensor Arrays in Assessment of Wastewater Purification Effects

    Directory of Open Access Journals (Sweden)

    Łukasz Guz

    2014-12-01

    Full Text Available A gas sensor array consisting of eight metal oxide semiconductor (MOS type gas sensors was evaluated for its ability for assessment of the selected wastewater parameters. Municipal wastewater was collected in a wastewater treatment plant (WWTP in a primary sedimentation tank and was treated in a laboratory-scale sequential batch reactor (SBR. A comparison of the gas sensor array (electronic nose response to the standard physical-chemical parameters of treated wastewater was performed. To analyze the measurement results, artificial neural networks were used. E-nose—gas sensors array and artificial neural networks proved to be a suitable method for the monitoring of treated wastewater quality. Neural networks used for data validation showed high correlation between the electronic nose readouts and: (I chemical oxygen demand (COD (r = 0.988; (II total suspended solids (TSS (r = 0.938; (III turbidity (r = 0.940; (IV pH (r = 0.554; (V nitrogen compounds: N-NO3 (r = 0.958, N-NO2 (r = 0.869 and N-NH3 (r = 0.978; (VI and volatile organic compounds (VOC (r = 0.987. Good correlation of the abovementioned parameters are observed under stable treatment conditions in a laboratory batch reactor.

  7. Carrier transport and electronic structure in amorphous oxide semiconductor, a-InGaZnO4

    International Nuclear Information System (INIS)

    Takagi, Akihiro; Nomura, Kenji; Ohta, Hiromichi; Yanagi, Hiroshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2005-01-01

    Carrier transport properties in amorphous oxide semiconductor InGaZnO 4 (a-IGZO) thin films were investigated in detail using temperature dependence of Hall measurements. It was found that Hall mobility increased distinctly as carrier concentration increased. Unlikely conventional amorphous semiconductors such as a-Si/H, definite normal Hall voltage signals were observed on the films with carrier concentrations (N e )>10 16 cm -3 , and Hall mobilities as large as 15 cm 2 (Vs) -1 were attained in the films with N e >10 20 cm -3 . When N e was less than 10 19 cm -3 , the temperature dependence of Hall mobility showed thermally-activated behavior in spite that carrier concentration was independent of temperature. While, it changed to almost degenerate conduction at N e >10 18 cm -3 . These behaviors are similar to those observed in single-crystalline IGZO, and are explained by percolation conduction through distributed potential barriers which are formed in the vicinity of the conduction band bottom due to the randomness of the amorphous structure. The effective mass of a-IGZO was estimated to be ∼0.34 m e (m e is the mass of free electron) from optical data, which is almost the same as that of crystalline IGZO (∼0.32 m e )

  8. Interface Structure of MoO3 on Organic Semiconductors

    Science.gov (United States)

    White, Robin T.; Thibau, Emmanuel S.; Lu, Zheng-Hong

    2016-01-01

    We have systematically studied interface structure formed by vapor-phase deposition of typical transition metal oxide MoO3 on organic semiconductors. Eight organic hole transport materials have been used in this study. Ultraviolet photoelectron spectroscopy and X-ray photoelectron spectroscopy are used to measure the evolution of the physical, chemical and electronic structure of the interfaces at various stages of MoO3 deposition on these organic semiconductor surfaces. For the interface physical structure, it is found that MoO3 diffuses into the underlying organic layer, exhibiting a trend of increasing diffusion with decreasing molecular molar mass. For the interface chemical structure, new carbon and molybdenum core-level states are observed, as a result of interfacial electron transfer from organic semiconductor to MoO3. For the interface electronic structure, energy level alignment is observed in agreement with the universal energy level alignment rule of molecules on metal oxides, despite deposition order inversion. PMID:26880185

  9. Positron annihilation in a metal-oxide semiconductor studied by using a pulsed monoenergetic positron beam

    Science.gov (United States)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Ohji, Y.

    1993-12-01

    The positron annihilation in a metal-oxide semiconductor was studied by using a pulsed monoenergetic positron beam. Lifetime spectra of positrons were measured as a function of incident positron energy for a polycrystalline Si(100 nm)/SiO2(400 nm)/Si specimen. Applying a gate voltage between the polycrystalline Si film and the Si substrate, positrons implanted into the specimen were accumulated at the SiO2/Si interface. From the measurements, it was found that the annihilation probability of ortho-positronium (ortho-Ps) drastically decreased at the SiO2/Si interface. The observed inhibition of the Ps formation was attributed to an interaction between positrons and defects at the SiO2/Si interface.

  10. Fiber Bragg grating assisted surface plasmon resonance sensor with graphene oxide sensing layer

    Science.gov (United States)

    Arasu, P. T.; Noor, A. S. M.; Shabaneh, A. A.; Yaacob, M. H.; Lim, H. N.; Mahdi, M. A.

    2016-12-01

    A single mode fiber Bragg grating (FBG) is used to generate Surface Plasmon Resonance (SPR). The uniform gratings of the FBG are used to scatter light from the fiber optic core into the cladding thus enabling the interaction between the light and a thin gold film in order to generate SPR. Applying this technique, the cladding around the FBG is left intact, making this sensor very robust and easy to handle. A thin film of graphene oxide (GO) is deposited over a 45 nm gold film to enhance the sensitivity of the SPR sensor. The gold coated sensor demonstrated high sensitivity of approximately 200 nm/RIU when tested with different concentrations of ethanol in an aqueous medium. A 2.5 times improvement in sensitivity is observed with the GO enhancement compared to the gold coated sensor.

  11. VLSI Design of Trusted Virtual Sensors

    Directory of Open Access Journals (Sweden)

    Macarena C. Martínez-Rodríguez

    2018-01-01

    Full Text Available This work presents a Very Large Scale Integration (VLSI design of trusted virtual sensors providing a minimum unitary cost and very good figures of size, speed and power consumption. The sensed variable is estimated by a virtual sensor based on a configurable and programmable PieceWise-Affine hyper-Rectangular (PWAR model. An algorithm is presented to find the best values of the programmable parameters given a set of (empirical or simulated input-output data. The VLSI design of the trusted virtual sensor uses the fast authenticated encryption algorithm, AEGIS, to ensure the integrity of the provided virtual measurement and to encrypt it, and a Physical Unclonable Function (PUF based on a Static Random Access Memory (SRAM to ensure the integrity of the sensor itself. Implementation results of a prototype designed in a 90-nm Complementary Metal Oxide Semiconductor (CMOS technology show that the active silicon area of the trusted virtual sensor is 0.86 mm 2 and its power consumption when trusted sensing at 50 MHz is 7.12 mW. The maximum operation frequency is 85 MHz, which allows response times lower than 0.25 μ s. As application example, the designed prototype was programmed to estimate the yaw rate in a vehicle, obtaining root mean square errors lower than 1.1%. Experimental results of the employed PUF show the robustness of the trusted sensing against aging and variations of the operation conditions, namely, temperature and power supply voltage (final value as well as ramp-up time.

  12. VLSI Design of Trusted Virtual Sensors.

    Science.gov (United States)

    Martínez-Rodríguez, Macarena C; Prada-Delgado, Miguel A; Brox, Piedad; Baturone, Iluminada

    2018-01-25

    This work presents a Very Large Scale Integration (VLSI) design of trusted virtual sensors providing a minimum unitary cost and very good figures of size, speed and power consumption. The sensed variable is estimated by a virtual sensor based on a configurable and programmable PieceWise-Affine hyper-Rectangular (PWAR) model. An algorithm is presented to find the best values of the programmable parameters given a set of (empirical or simulated) input-output data. The VLSI design of the trusted virtual sensor uses the fast authenticated encryption algorithm, AEGIS, to ensure the integrity of the provided virtual measurement and to encrypt it, and a Physical Unclonable Function (PUF) based on a Static Random Access Memory (SRAM) to ensure the integrity of the sensor itself. Implementation results of a prototype designed in a 90-nm Complementary Metal Oxide Semiconductor (CMOS) technology show that the active silicon area of the trusted virtual sensor is 0.86 mm 2 and its power consumption when trusted sensing at 50 MHz is 7.12 mW. The maximum operation frequency is 85 MHz, which allows response times lower than 0.25 μ s. As application example, the designed prototype was programmed to estimate the yaw rate in a vehicle, obtaining root mean square errors lower than 1.1%. Experimental results of the employed PUF show the robustness of the trusted sensing against aging and variations of the operation conditions, namely, temperature and power supply voltage (final value as well as ramp-up time).

  13. Hydrogen generation monitoring and mass gain analysis during the steam oxidation for Zircaloy using hydrogen and oxygen sensors

    International Nuclear Information System (INIS)

    Fukumoto, Michihisa; Hara, Motoi; Kaneko, Hiroyuki; Sakuraba, Takuya

    2015-01-01

    The oxidation behavior of Zircaloy-4 at high temperatures in a flowing Ar-H_2O (saturated at 323 K) mixed gas was investigated using hydrogen and oxygen sensors installed at a gas outlet, and the utility of the gas sensing methods by using both sensors was examined. The generated amount of hydrogen was determined from the hydrogen partial pressure continuously measured by the hydrogen sensor, and the resultant calculated oxygen amount that reacted with the specimen was in close agreement with the mass gain gravimetrically measured after the experiment. This result demonstrated that the hydrogen partial pressure measurement using a hydrogen sensor is an effective method for examining the steam oxidation of this metal as well as monitoring the hydrogen evolution. The advantage of this method is that the oxidation rate of the metal at any time as a differential quantity is able to be obtained, compared to the oxygen amount gravimetrically measured as an integral quantity. When the temperature was periodically changed in the range of 1173 K to 1523 K, highly accurate measurements could be carried out using this gas monitoring method, although reasonable measurements were not gravimetrically performed due to the fluctuating thermo-buoyancy during the experiment. A change of the oxidation rate was clearly detected at a monoclinic tetragonal transition temperature of ZrO_2. From the calculation of the water vapor partial pressure during the thermal equilibrium condition using the hydrogen and oxygen partial pressures, it became clear that a thermal equilibrium state is maintained when the isothermal condition is maintained, but is not when the temperature increases or decreases with time. Based on these results, it was demonstrated that the gas monitoring system using hydrogen and oxygen sensors is very useful for investigating the oxidation process of the Zircaloy in steam. (author)

  14. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  15. Study on the photoresponse of amorphous In-Ga-Zn-O and zinc oxynitride semiconductor devices by the extraction of sub-gap-state distribution and device simulation.

    Science.gov (United States)

    Jang, Jun Tae; Park, Jozeph; Ahn, Byung Du; Kim, Dong Myong; Choi, Sung-Jin; Kim, Hyun-Suk; Kim, Dae Hwan

    2015-07-22

    Persistent photoconduction (PPC) is a phenomenon that limits the application of oxide semiconductor thin-film transistors (TFTs) in optical sensor-embedded displays. In the present work, a study on zinc oxynitride (ZnON) semiconductor TFTs based on the combination of experimental results and device simulation is presented. Devices incorporating ZnON semiconductors exhibit negligible PPC effects compared with amorphous In-Ga-Zn-O (a-IGZO) TFTs, and the difference between the two types of materials are examined by monochromatic photonic C-V spectroscopy (MPCVS). The latter method allows the estimation of the density of subgap states in the semiconductor, which may account for the different behavior of ZnON and IGZO materials with respect to illumination and the associated PPC. In the case of a-IGZO TFTs, the oxygen flow rate during the sputter deposition of a-IGZO is found to influence the amount of PPC. Small oxygen flow rates result in pronounced PPC, and large densities of valence band tail (VBT) states are observed in the corresponding devices. This implies a dependence of PPC on the amount of oxygen vacancies (VO). On the other hand, ZnON has a smaller bandgap than a-IGZO and contains a smaller density of VBT states over the entire range of its bandgap energy. Here, the concept of activation energy window (AEW) is introduced to explain the occurrence of PPC effects by photoinduced electron doping, which is likely to be associated with the formation of peroxides in the semiconductor. The analytical methodology presented in this report accounts well for the reduction of PPC in ZnON TFTs, and provides a quantitative tool for the systematic development of phototransistors for optical sensor-embedded interactive displays.

  16. Effects of palladium coatings on oxygen sensors of titanium dioxide thin films

    International Nuclear Information System (INIS)

    Castaneda, L.

    2007-01-01

    Titanium dioxide (TiO 2 -anatase phase) thin films were deposited by the ultrasonic spray pyrolysis technique employing titanium (IV) oxide acetylacetonate (TiO(acac) 2 ) dissolved in pure methanol as a source material. In order to prepare oxygen sensors, TiO 2 thin films were deposited on interdigitated gold electrodes with contacted alumina substrates. Palladium (Pd) coatings were carried out by vacuum thermal evaporation through a metallic mask. The effect of the surface additive (Pd) on the response of the thin film TiO 2 oxygen sensors was monitored in a mixture with zero-grade air. The electrical characterization (monitoring of the electrical surface resistance with the operation temperature) of the sensors in an atmosphere of oxygen (diluted in zero-grade air) was performed in a vacuum chamber (10 -6 Torr), where the gas pressure can be controlled. The films sensitivity was estimated by the following relation: s=R gas -R 0 /R 0 . The response time of the sensor is defined to be the time needed to reach a 0.9R 0 value when the oxygen excess is removed. The gas-sensing properties of TiO 2 sensors in an atmosphere of 10 4 ppm of oxygen were measured between 100 and 450 deg. C. Experimental results obtained using palladium as a surface additive show that the sensitivity reaches a stationary value of 1.18 for O 2 concentration of 100ppm in zero-grade air at 300 deg. C, which is as high as those reported for oxygen sensors prepared with more expensive and complex techniques. The role and activity of palladium coatings incorporated on solid-state oxygen sensors are determined by their chemical state, aggregation form and interaction with the metal-oxide semiconductor

  17. Voltage transients in thin-film InSb Hall sensor

    Directory of Open Access Journals (Sweden)

    Alexey Bardin

    Full Text Available The work is reached to study temperature transients in thin-film Hall sensors. We experimentally study InSb thin-film Hall sensor. We find transients of voltage with amplitude about 10 μV on the sensor ports after current switching. We demonstrate by direct measurements that the transients is caused by thermo-e.m.f., and both non-stationarity and heterogeneity of temperature in the film. We find significant asymmetry of temperature field for different direction of the current, which is probably related to Peltier effect. The result can be useful for wide range of scientist who works with switching of high density currents in any thin semiconductor films. 2000 MSC: 41A05, 41A10, 65D05, 65D17, Keywords: Thin-films, Semiconductors, Hall sensor, InSb, thermo-e.m.f.

  18. Low Working-Temperature Acetone Vapor Sensor Based on Zinc Nitride and Oxide Hybrid Composites.

    Science.gov (United States)

    Qu, Fengdong; Yuan, Yao; Guarecuco, Rohiverth; Yang, Minghui

    2016-06-01

    Transition-metal nitride and oxide composites are a significant class of emerging materials that have attracted great interest for their potential in combining the advantages of nitrides and oxides. Here, a novel class of gas sensing materials based on hybrid Zn3 N2 and ZnO composites is presented. The Zn3 N2 /ZnO (ZnNO) composites-based sensor exhibits selectivity and high sensitivity toward acetone vapor, and the sensitivity is dependent on the nitrogen content of the composites. The ZnNO-11.7 described herein possesses a low working temperature of 200 °C. The detection limit (0.07 ppm) is below the diabetes diagnosis threshold (1.8 ppm). In addition, the sensor shows high reproducibility and long-term stability. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Cobalt doped antimony oxide nano-particles based chemical sensor and photo-catalyst for environmental pollutants

    Energy Technology Data Exchange (ETDEWEB)

    Jamal, Aslam [Centre for Advanced Materials and Nano-Engineering (CAMNE) and Department of Chemistry, Faculty of Sciences and Arts, Najran University, P. O. Box 1988, Najran 11001 (Saudi Arabia); Rahman, Mohammed M. [Center of Excellence for Advanced Materials Research (CEAMR), King Abdulaziz University, P.O. Box 80203, Jeddah 21589 (Saudi Arabia); Chemistry Department, Faculty of Science, King Abdulaziz University, P.O. Box 80203, Jeddah 21589 (Saudi Arabia); Khan, Sher Bahadar, E-mail: drkhanmarwat@gmail.com [Center of Excellence for Advanced Materials Research (CEAMR), King Abdulaziz University, P.O. Box 80203, Jeddah 21589 (Saudi Arabia); Chemistry Department, Faculty of Science, King Abdulaziz University, P.O. Box 80203, Jeddah 21589 (Saudi Arabia); Faisal, Mohd. [Centre for Advanced Materials and Nano-Engineering (CAMNE) and Department of Chemistry, Faculty of Sciences and Arts, Najran University, P. O. Box 1988, Najran 11001 (Saudi Arabia); Akhtar, Kalsoom [Division of Nano Sciences and Department of Chemistry, Ewha Womans University, Seoul 120-750 (Korea, Republic of); Rub, Malik Abdul; Asiri, Abdullah M.; Al-Youbi, Abdulrahman O. [Center of Excellence for Advanced Materials Research (CEAMR), King Abdulaziz University, P.O. Box 80203, Jeddah 21589 (Saudi Arabia); Chemistry Department, Faculty of Science, King Abdulaziz University, P.O. Box 80203, Jeddah 21589 (Saudi Arabia)

    2012-11-15

    Graphical abstract: A dichloromethane chemical sensor using cobalt antimony oxides has been fabricated. This sensor showed high sensitivity and will be a useful candidate for environmental and health monitoring. Also it showed high photo-catalytic activity and can be a good candidate as a photo-catalyst for organic hazardous materials. Highlights: Black-Right-Pointing-Pointer Reusable chemical sensor. Black-Right-Pointing-Pointer Green environmental and eco-friendly chemi-sensor. Black-Right-Pointing-Pointer High sensitivity. Black-Right-Pointing-Pointer Good candidate for environmental and health monitoring. - Abstract: Cobalt doped antimony oxide nano-particles (NPs) have been synthesized by hydrothermal process and structurally characterized by utilizing X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and Fourier transforms infrared spectrophotometer (FT-IR) which revealed that the synthesized cobalt antimony oxides (CoSb{sub 2}O{sub 6}) are well crystalline nano-particles with an average particles size of 26 {+-} 10 nm. UV-visible absorption spectra ({approx}286 nm) were used to investigate the optical properties of CoSb{sub 2}O{sub 6}. The chemical sensing of CoSb{sub 2}O{sub 6} NPs have been primarily investigated by I-V technique, where dichloromethane is used as a model compound. The analytical performance of dichloromethane chemical sensor exhibits high sensitivity (1.2432 {mu}A cm{sup -2} mM{sup -1}) and a large linear dynamic range (1.0 {mu}M-0.01 M) in short response time (10 s). The photo catalytic activity of the synthesized CoSb{sub 2}O{sub 6} nano-particles was evaluated by degradation of acridine orange (AO), which degraded 58.37% in 200 min. These results indicate that CoSb{sub 2}O{sub 6} nano-particles can play an excellent research impact in the environmental field.

  20. Infrared rectification in a nanoantenna-coupled metal-oxide-semiconductor tunnel diode.

    Science.gov (United States)

    Davids, Paul S; Jarecki, Robert L; Starbuck, Andrew; Burckel, D Bruce; Kadlec, Emil A; Ribaudo, Troy; Shaner, Eric A; Peters, David W

    2015-12-01

    Direct rectification of electromagnetic radiation is a well-established method for wireless power conversion in the microwave region of the spectrum, for which conversion efficiencies in excess of 84% have been demonstrated. Scaling to the infrared or optical part of the spectrum requires ultrafast rectification that can only be obtained by direct tunnelling. Many research groups have looked to plasmonics to overcome antenna-scaling limits and to increase the confinement. Recently, surface plasmons on heavily doped Si surfaces were investigated as a way of extending surface-mode confinement to the thermal infrared region. Here we combine a nanostructured metallic surface with a heavily doped Si infrared-reflective ground plane designed to confine infrared radiation in an active electronic direct-conversion device. The interplay of strong infrared photon-phonon coupling and electromagnetic confinement in nanoscale devices is demonstrated to have a large impact on ultrafast electronic tunnelling in metal-oxide-semiconductor (MOS) structures. Infrared dispersion of SiO2 near a longitudinal optical (LO) phonon mode gives large transverse-field confinement in a nanometre-scale oxide-tunnel gap as the wavelength-dependent permittivity changes from 1 to 0, which leads to enhanced electromagnetic fields at material interfaces and a rectified displacement current that provides a direct conversion of infrared radiation into electric current. The spectral and electrical signatures of the nanoantenna-coupled tunnel diodes are examined under broadband blackbody and quantum-cascade laser (QCL) illumination. In the region near the LO phonon resonance, we obtained a measured photoresponsivity of 2.7 mA W(-1) cm(-2) at -0.1 V.

  1. Band-to-band tunneling in a carbon nanotube metal-oxide-semiconductor field-effect transistor is dominated by phonon assisted tunneling

    OpenAIRE

    Koswatta, Siyuranga O.; Lundstrom, Mark S.; Nikonov, Dmitri E.

    2007-01-01

    Band-to-band tunneling (BTBT) devices have recently gained a lot of interest due to their potential for reducing power dissipation in integrated circuits. We have performed extensive simulations for the BTBT operation of carbon nanotube metal-oxide-semiconductor field-effect transistors (CNT-MOSFETs) using the non-equilibrium Green's functions formalism for both ballistic and dissipative quantum transport. In comparison with recently reported experimental data (Y. Lu et al, J. Am. Chem. Soc.,...

  2. CMOS Imaging of Temperature Effects on Pin-Printed Xerogel Sensor Microarrays.

    Science.gov (United States)

    Lei Yao; Ka Yi Yung; Chodavarapu, Vamsy P; Bright, Frank V

    2011-04-01

    In this paper, we study the effect of temperature on the operation and performance of a xerogel-based sensor microarrays coupled to a complementary metal-oxide semiconductor (CMOS) imager integrated circuit (IC) that images the photoluminescence response from the sensor microarray. The CMOS imager uses a 32 × 32 (1024 elements) array of active pixel sensors and each pixel includes a high-gain phototransistor to convert the detected optical signals into electrical currents. A correlated double sampling circuit and pixel address/digital control/signal integration circuit are also implemented on-chip. The CMOS imager data are read out as a serial coded signal. The sensor system uses a light-emitting diode to excite target analyte responsive organometallic luminophores doped within discrete xerogel-based sensor elements. As a proto type, we developed a 3 × 3 (9 elements) array of oxygen (O2) sensors. Each group of three sensor elements in the array (arranged in a column) is designed to provide a different and specific sensitivity to the target gaseous O2 concentration. This property of multiple sensitivities is achieved by using a mix of two O2 sensitive luminophores in each pin-printed xerogel sensor element. The CMOS imager is designed to be low noise and consumes a static power of 320.4 μW and an average dynamic power of 624.6 μW when operating at 100-Hz sampling frequency and 1.8-V dc power supply.

  3. Semi-classical noise investigation for sub-40nm metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Spathis, C.; Birbas, A.; Georgakopoulou, K.

    2015-01-01

    Device white noise levels in short channel Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) dictate the performance and reliability of high-frequency circuits ranging from high-speed microprocessors to Low-Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source-channel junction. By adopting a semi-classical approach and taking retrospectively into account transport, short-channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices

  4. Semi-classical noise investigation for sub-40nm metal-oxide-semiconductor field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Spathis, C., E-mail: cspathis@ece.upatras.gr; Birbas, A.; Georgakopoulou, K. [Department of Electrical and Computer Engineering, University of Patras, Patras 26500 (Greece)

    2015-08-15

    Device white noise levels in short channel Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) dictate the performance and reliability of high-frequency circuits ranging from high-speed microprocessors to Low-Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source-channel junction. By adopting a semi-classical approach and taking retrospectively into account transport, short-channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices.

  5. Photoreduction of carbon dioxide and water into formaldehyde and methanol on semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Aurian-Blajeni, B; Halmann, M; Manassen, J

    1980-01-01

    Heterogeneous photoassisted reduction of aqueous carbon dioxide was achieved using semiconductor powders, with either high-pressure Hg-lamps or sunlight as energy sources. The products were methanol, formaldehyde and methane. The reaction was carried out either as a gas-solid process, by passing carbon dioxide and water vapor over illuminated semiconductor surfaces, or as a liquid-solid reaction, by illuminating aqueous suspensions of semiconductor powders through which carbon dioxide was bubbled. Best results, under illumination by Hg-lamps, were obtained with aqueous suspensions of strontium titanate, SrTiO3, tungsten oxide, WO3, and titanium oxide, TiO2, resulting in absorbed energy conversion efficiencies of 6, 5.9 and 1.2 per cent, respectively.

  6. Biological and medical sensor technologies

    CERN Document Server

    Iniewski, Krzysztof

    2012-01-01

    Biological and Medical Sensor Technologies presents contributions from top experts who explore the development and implementation of sensors for various applications used in medicine and biology. Edited by a pioneer in the area of advanced semiconductor materials, the book is divided into two sections. The first part covers sensors for biological applications. Topics include: Advanced sensing and communication in the biological world DNA-derivative architectures for long-wavelength bio-sensing Label-free silicon photonics Quartz crystal microbalance-based biosensors Lab-on-chip technologies fo

  7. Sensors, nano-electronics and photonics for the Army of 2030 and beyond

    Science.gov (United States)

    Perconti, Philip; Alberts, W. C. K.; Bajaj, Jagmohan; Schuster, Jonathan; Reed, Meredith

    2016-02-01

    The US Army's future operating concept will rely heavily on sensors, nano-electronics and photonics technologies to rapidly develop situational understanding in challenging and complex environments. Recent technology breakthroughs in integrated 3D multiscale semiconductor modeling (from atoms-to-sensors), combined with ARL's Open Campus business model for collaborative research provide a unique opportunity to accelerate the adoption of new technology for reduced size, weight, power, and cost of Army equipment. This paper presents recent research efforts on multi-scale modeling at the US Army Research Laboratory (ARL) and proposes the establishment of a modeling consortium or center for semiconductor materials modeling. ARL's proposed Center for Semiconductor Materials Modeling brings together government, academia, and industry in a collaborative fashion to continuously push semiconductor research forward for the mutual benefit of all Army partners.

  8. MWCNT-ruthenium oxide composite paste electrode as non-enzymatic glucose sensor.

    Science.gov (United States)

    Tehrani, Ramin M A; Ab Ghani, Sulaiman

    2012-01-01

    A non-enzymatic glucose sensor of multi-walled carbon nanotube-ruthenium oxide/composite paste electrode (MWCNT-RuO(2)/CPE) was developed. The electrode was characterized by using XRD, SEM, TEM and EIS. Meanwhile, cyclic voltammetry and amperometry were used to check on the performances of the MWCNT-RuO(2)/CPE towards glucose. The proposed electrode has displayed a synergistic effect of RuO(2) and MWCNT on the electrocatalytic oxidation of glucose in 3M NaOH. This was possible via the formation of transitions of two redox pairs, viz. Ru(VI)/Ru(IV) and Ru(VII)/Ru(VI). A linear range of 0.5-50mM glucose and a limit of detection of 33 μM glucose (S/N=3) were observed. There was no significant interference observable from the traditional interferences, viz. ascorbic acid and uric acid. Indeed, results so obtained have indicated that the developed MWCNT-RuO(2)/CPE would pave the way for a better future to glucose sensor development as its fabrication was without the use of any enzyme. Copyright © 2012 Elsevier B.V. All rights reserved.

  9. Optical and Electronic NO(x) Sensors for Applications in Mechatronics.

    Science.gov (United States)

    Di Franco, Cinzia; Elia, Angela; Spagnolo, Vincenzo; Scamarcio, Gaetano; Lugarà, Pietro Mario; Ieva, Eliana; Cioffi, Nicola; Torsi, Luisa; Bruno, Giovanni; Losurdo, Maria; Garcia, Michael A; Wolter, Scott D; Brown, April; Ricco, Mario

    2009-01-01

    Current production and emerging NO(x) sensors based on optical and nanomaterials technologies are reviewed. In view of their potential applications in mechatronics, we compared the performance of: i) Quantum cascade lasers (QCL) based photoacoustic (PA) systems; ii) gold nanoparticles as catalytically active materials in field-effect transistor (FET) sensors, and iii) functionalized III-V semiconductor based devices. QCL-based PA sensors for NO(x) show a detection limit in the sub part-per-million range and are characterized by high selectivity and compact set-up. Electrochemically synthesized gold-nanoparticle FET sensors are able to monitor NO(x) in a concentration range from 50 to 200 parts per million and are suitable for miniaturization. Porphyrin-functionalized III-V semiconductor materials can be used for the fabrication of a reliable NO(x) sensor platform characterized by high conductivity, corrosion resistance, and strong surface state coupling.

  10. SPICE analysis of the charge division in resistive semiconductor nanowire diodes

    International Nuclear Information System (INIS)

    Guardiola, C; Money, K; Carabe, A

    2014-01-01

    In this paper we present an analysis of the charge division method in semiconductor nanowire Schottky diodes using an electrical model based on the SPICE simulation code. A semiconductor nanowire prototype that is simulated as an RC network and two readout electronic systems are modelled in order to understand its behaviour and to assess its application as a possible ionizing particle detector in clinical high-LET particle beams. We study the use of resistive charge division along the semiconductor nanowire to calculate the position of deposited charge generated by an ionizing particle as it crosses the nanodevice and to determine the minimal viable spatial resolution. Our aim is to demonstrate the charge division concept in resistive semiconductor nanowire diodes, and to subsequently understand the performance of these nanodevices as radiation sensors and address the design limitations of such an application

  11. Ultraviolet Imaging with Low Cost Smartphone Sensors: Development and Application of a Raspberry Pi-Based UV Camera

    Directory of Open Access Journals (Sweden)

    Thomas C. Wilkes

    2016-10-01

    Full Text Available Here, we report, for what we believe to be the first time, on the modification of a low cost sensor, designed for the smartphone camera market, to develop an ultraviolet (UV camera system. This was achieved via adaptation of Raspberry Pi cameras, which are based on back-illuminated complementary metal-oxide semiconductor (CMOS sensors, and we demonstrated the utility of these devices for applications at wavelengths as low as 310 nm, by remotely sensing power station smokestack emissions in this spectral region. Given the very low cost of these units, ≈ USD 25, they are suitable for widespread proliferation in a variety of UV imaging applications, e.g., in atmospheric science, volcanology, forensics and surface smoothness measurements.

  12. Structural Study of Reduced Graphene Oxide/ Polypyrrole Composite as Methanol Sensor in Direct Methanol Fuel Cell

    International Nuclear Information System (INIS)

    Mumtazah Atiqah Hassan; Siti Kartom Kamarudin; Siti Kartom Kamarudin

    2016-01-01

    Density functional theory (DFT) computations were performed on the optimized geometric and electronic properties of reduced graphene oxide/polypyrole (rGO/ PPy) composite in comparison with pure graphene and graphene oxide structures. Incorporation of both reduced GO (rGO) and PPy will form a good composite which have advantages from both materials such as good mechanical strength and excellent electrical conductivity. These composite would be very suitable in fabrication of methanol sensor in direct methanol fuel cell (DMFC). The HOMO-LUMO energy (eV) was also calculated. These computations provide a theoretical explanation for the good performance of rGO/ PPy composite as electrode materials in methanol sensor. (author)

  13. Voltammetric sensor for caffeine based on a glassy carbon electrode modified with Nafion and graphene oxide

    International Nuclear Information System (INIS)

    Zhao, F.; Wang, F.; Zhao, W.; Zhou, J.; Liu, Y.; Zou, L.; Ye, B.

    2011-01-01

    We report on a voltammetric sensor for caffeine that is based on a glassy carbon electrode modified with Nafion and graphene oxide (GO). It exhibits a good affinity for caffeine (resulting from the presence of Nafion), and excellent electrochemical response (resulting from the pressence of GO) for the oxidation of caffeine. The electrode enables the determination of caffeine in the range from 4.0 x 10 -7 to 8.0 x 10 -5 mol L -1 , with a detection limit of 2.0 x 10 -7 mol L -1 . The sensor displays good stability, reproducibility, and high sensitivity. It was successfully applied to the quantitative determination of caffeine in beverages. (author)

  14. 3-Axis Fully-Integrated Capacitive Tactile Sensor with Flip-Bonded CMOS on LTCC Interposer.

    Science.gov (United States)

    Asano, Sho; Muroyama, Masanori; Nakayama, Takahiro; Hata, Yoshiyuki; Nonomura, Yutaka; Tanaka, Shuji

    2017-10-25

    This paper reports a 3-axis fully integrated differential capacitive tactile sensor surface-mountable on a bus line. The sensor integrates a flip-bonded complementary metal-oxide semiconductor (CMOS) with capacitive sensing circuits on a low temperature cofired ceramic (LTCC) interposer with Au through vias by Au-Au thermo-compression bonding. The CMOS circuit and bonding pads on the sensor backside were electrically connected through Au bumps and the LTCC interposer, and the differential capacitive gap was formed by an Au sealing frame. A diaphragm for sensing 3-axis force was formed in the CMOS substrate. The dimensions of the completed sensor are 2.5 mm in width, 2.5 mm in length, and 0.66 mm in thickness. The fabricated sensor output coded 3-axis capacitive sensing data according to applied 3-axis force by three-dimensional (3D)-printed pins. The measured sensitivity was as high as over 34 Count/mN for normal force and 14 to 15 Count/mN for shear force with small noise, which corresponds to less than 1 mN. The hysteresis and the average cross-sensitivity were also found to be less than 2% full scale and 11%, respectively.

  15. Reduced graphene oxide decorated with Fe doped SnO{sub 2} nanoparticles for humidity sensor

    Energy Technology Data Exchange (ETDEWEB)

    Toloman, D. [National Institute for Research and Development of Isotopic and Molecular Technologies, 67-103 Donat Street, 400293 Cluj-Napoca (Romania); Popa, A., E-mail: popa@itim-cj.ro [National Institute for Research and Development of Isotopic and Molecular Technologies, 67-103 Donat Street, 400293 Cluj-Napoca (Romania); Stan, M.; Socaci, C.; Biris, A.R. [National Institute for Research and Development of Isotopic and Molecular Technologies, 67-103 Donat Street, 400293 Cluj-Napoca (Romania); Katona, G. [Babes-Bolyai University, Faculty of Chemistry and Chemical Engineering, 11 Arany Janos Street, 400028 Cluj-Napoca (Romania); Tudorache, F. [Interdisciplinary Research Department – Field Science & RAMTECH, Al. I. Cuza University, 11 Carol I Blvd., 7000506 Iasi (Romania); Petrila, I. [Interdisciplinary Research Department – Field Science & RAMTECH, Al. I. Cuza University, 11 Carol I Blvd., 7000506 Iasi (Romania); Faculty of Automatic Control and Computer Engineering, Gheorghe Asachi Technical University, 27 Dimitrie Mangeron Street, 700050 Iasi (Romania); Iacomi, F. [Faculty of Physics, Al. I. Cuza University, 11 Carol I Blvd., 7000506 Iasi (Romania)

    2017-04-30

    Highlights: • Reduced graphene oxide decorated with Fe doped SnO{sub 2} nanoparticles were synthesized. • The decoration of rGO layers with SnO{sub 2}:Fe nanoparticles was highlited by TEM. • The reduction of graphene oxide was evidenced using XRD and FT-IR. • Sensitivity tests for relative humidity (RH) were carried out. • The composite sensor exhibited enhanced sensing response as compared with Fe:SnO{sub 2}. - Abstract: Reduced graphene oxide (rGO) decorated with Fe doped SnO{sub 2} nanoparticles were fabricated via the electrostatic interaction between positively charged modified Fe-doped SnO{sub 2} oxide and negatively charged graphene oxide (GO) in the presence of poly(allylamine) hydrochloride (PAH). The decoration of rGO layers with SnO{sub 2}:Fe nanoparticles was highlited by TEM microsopy. For composite sample the diffraction patterns coincide well with those of SnO{sub 2}:Fe nanoparticles. The reduction of graphene oxide was evidenced using XRD and FT-IR spectroscopy. The formation of SnO{sub 2}:Fe-PAH-graphene composites was confirmed by FT-IR, Raman and EPR spectroscopy. Sensitivity tests for relative humidity (RH) measurements were carried out at five different concentrations of humid air at room temperature. The prepared composite sensor exhibited a higher sensing response as compared with Fe:SnO{sub 2} nanoparticles.

  16. Photoacoustic Techniques for Trace Gas Sensing Based on Semiconductor Laser Sources

    Directory of Open Access Journals (Sweden)

    Vincenzo Spagnolo

    2009-12-01

    Full Text Available The paper provides an overview on the use of photoacoustic sensors based on semiconductor laser sources for the detection of trace gases. We review the results obtained using standard, differential and quartz enhanced photoacoustic techniques.

  17. Extraordinary Magnetoresistance Effect in Semiconductor/Metal Hybrid Structure

    KAUST Repository

    Sun, Jian

    2013-06-27

    In this dissertation, the extraordinary magnetoresistance (EMR) effect in semiconductor/metal hybrid structures is studied to improve the performance in sensing applications. Using two-dimensional finite element simulations, the geometric dependence of the output sensitivity, which is a more relevant parameter for EMR sensors than the magnetoresistance (MR), is studied. The results show that the optimal geometry in this case is different from the geometry reported before, where the MR ratio was optimized. A device consisting of a semiconductor bar with length/width ratio of 5~10 and having only 2 contacts is found to exhibit the highest sensitivity. A newly developed three-dimensional finite element model is employed to investigate parameters that have been neglected with the two dimensional simulations utilized so far, i.e., thickness of metal shunt and arbitrary semiconductor/metal interface. The simulations show the influence of those parameters on the sensitivity is up to 10 %. The model also enables exploring the EMR effect in planar magnetic fields. In case of a bar device, the sensitivity to planar fields is about 15 % to 20 % of the one to perpendicular fields. 5 A “top-contacted” structure is proposed to reduce the complexity of fabrication, where neither patterning of the semiconductor nor precise alignment is required. A comparison of the new structure with a conventionally fabricated device shows that a similar magnetic field resolution of 24 nT/√Hz is obtained. A new 3-contact device is developed improving the poor low-field sensitivity observed in conventional EMR devices, resulting from its parabolic magnetoresistance response. The 3-contact device provides a considerable boost of the low field response by combining the Hall effect with the EMR effect, resulting in an increase of the output sensitivity by 5 times at 0.01 T compared to a 2-contact device. The results of this dissertation provide new insights into the optimization of EMR devices

  18. Semiconductor properties and protective role of passive films of iron base alloys

    International Nuclear Information System (INIS)

    Fujimoto, Shinji; Tsuchiya, Hiroaki

    2007-01-01

    Semiconductor properties of passive films formed on the Fe-18Cr alloy in a borate buffer solution (pH = 8.4) and 0.1 M H 2 SO 4 solution were examined using a photoelectrochemical spectroscopy and an electrochemical impedance spectroscopy. Photo current reveals two photo action spectra that derived from outer hydroxide and inner oxide layers. A typical n-type semiconductor behaviour is observed by both photo current and impedance for the passive films formed in the borate buffer solution. On the other hand, a negative photo current generated, the absolute value of which decreased as applied potential increased in the sulfuric acid solution. This indicates that the passive film behaves as a p-type semiconductor. However, Mott-Schottky plot revealed the typical n-type semiconductor property. It is concluded that the passive film on the Fe-18Cr alloy formed in the borate buffer solution is composed of both n-type outer hydroxide and inner oxide layers. On the other hand, the passive film of the Fe-18Cr alloy in the sulphuric acid consists of p-type oxide and n-type hydroxide layers. The behaviour of passive film growth and corrosion was discussed in terms of the electronic structure in the passive film

  19. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    Science.gov (United States)

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  20. Sulfophenyl-Functionalized Reduced Graphene Oxide Networks on Electrospun 3D Scaffold for Ultrasensitive NO2 Gas Sensor

    OpenAIRE

    Zou, Bin; Guo, Yunlong; Shen, Nannan; Xiao, Anshan; Li, Mingjun; Zhu, Liang; Wan, Pengbo; Sun, Xiaoming

    2017-01-01

    Ultrasensitive room temperature real-time NO2 sensors are highly desirable due to potential threats on environmental security and personal respiratory. Traditional NO2 gas sensors with highly operated temperatures (200–600 °C) and limited reversibility are mainly constructed from semiconducting oxide-deposited ceramic tubes or inter-finger probes. Herein, we report the functionalized graphene network film sensors assembled on an electrospun three-dimensional (3D) nanonetwork skeleton for ultr...

  1. A power management system for energy harvesting and wireless sensor networks application based on a novel charge pump circuit

    Science.gov (United States)

    Aloulou, R.; De Peslouan, P.-O. Lucas; Mnif, H.; Alicalapa, F.; Luk, J. D. Lan Sun; Loulou, M.

    2016-05-01

    Energy Harvesting circuits are developed as an alternative solution to supply energy to autonomous sensor nodes in Wireless Sensor Networks. In this context, this paper presents a micro-power management system for multi energy sources based on a novel design of charge pump circuit to allow the total autonomy of self-powered sensors. This work proposes a low-voltage and high performance charge pump (CP) suitable for implementation in standard complementary metal oxide semiconductor (CMOS) technologies. The CP design was implemented using Cadence Virtuoso with AMS 0.35μm CMOS technology parameters. Its active area is 0.112 mm2. Consistent results were obtained between the measured findings of the chip testing and the simulation results. The circuit can operate with an 800 mV supply and generate a boosted output voltage of 2.835 V with 1 MHz as frequency.

  2. Positive and negative gain exceeding unity magnitude in silicon quantum well metal-oxide-semiconductor transistors

    Science.gov (United States)

    Hu, Gangyi; Wijesinghe, Udumbara; Naquin, Clint; Maggio, Ken; Edwards, H. L.; Lee, Mark

    2017-10-01

    Intrinsic gain (AV) measurements on Si quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors show that these devices can have |AV| > 1 in quantum transport negative transconductance (NTC) operation at room temperature. QW NMOS devices were fabricated using an industrial 45 nm technology node process incorporating ion implanted potential barriers to define a lateral QW in the conduction channel under the gate. While NTC at room temperature arising from transport through gate-controlled QW bound states has been previously established, it was unknown whether the quantum NTC mechanism could support gain magnitude exceeding unity. Bias conditions were found giving both positive and negative AV with |AV| > 1 at room temperature. This result means that QW NMOS devices could be useful in amplifier and oscillator applications.

  3. The physics of semiconductors an introduction including nanophysics and applications

    CERN Document Server

    Grundmann, Marius

    2016-01-01

    The 3rd edition of this successful textbook contains ample material for a comprehensive upper-level undergraduate or beginning graduate course, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. Coverage also includes additional advanced topics, such as Bragg mirrors, resonators, polarized and magnetic semiconductors, nanowires, quantum dots, multi-junction solar cells, thin film transistors, carbon-based nanostructures and transparent conductive oxides. The text derives explicit formulas for many results to support better under...

  4. A 1,2-propylene oxide sensor utilizing cataluminescence on CeO2 nanoparticles.

    Science.gov (United States)

    Liu, Hongmei; Zhang, Yantu; Zhen, Yanzhong; Ma, Yuan; Zuo, Weiwei

    2014-12-01

    A simple and sensitive gas sensor was proposed for the determination of 1,2-propylene oxide (PO) based on its cataluminescence (CTL) by oxidation in the air on the surface of CeO2 nanoparticles. The luminescence characteristics and optimal conditions were investigated in detail. Under optimized conditions, the linear range of the CTL intensity versus the concentration of PO was 10-150 ppm, with a correlation coefficient (r) of 0.9974 and a limit of detection (S/N = 3) of 0.9 ppm. The relative standard deviation for 40 ppm PO was 1.2% (n = 7). There was no or only weak response to common foreign substances including acetone, formaldehyde, ethyl acetate, acetic acid, chloroform, propanol, carbon tetrachloride, ether and methanol. There was no significant change in the catalytic activity of the sensor for 100 h. The proposed method was simple and sensitive, with a potential of detecting PO in the environment and industry. Copyright © 2014 John Wiley & Sons, Ltd.

  5. System and method for controlling ammonia levels in a selective catalytic reduction catalyst using a nitrogen oxide sensor

    Science.gov (United States)

    None

    2017-07-25

    A system according to the principles of the present disclosure includes an air/fuel ratio determination module and an emission level determination module. The air/fuel ratio determination module determines an air/fuel ratio based on input from an air/fuel ratio sensor positioned downstream from a three-way catalyst that is positioned upstream from a selective catalytic reduction (SCR) catalyst. The emission level determination module selects one of a predetermined value and an input based on the air/fuel ratio. The input is received from a nitrogen oxide sensor positioned downstream from the three-way catalyst. The emission level determination module determines an ammonia level based on the one of the predetermined value and the input received from the nitrogen oxide sensor.

  6. Direct immune-detection of cortisol by chemiresistor graphene oxide sensor.

    Science.gov (United States)

    Kim, Yo-Han; Lee, Kyungmin; Jung, Hunsang; Kang, Hee Kyung; Jo, Jihoon; Park, In-Kyu; Lee, Hyun Ho

    2017-12-15

    In this study, a biosensor to detect a stress biomarker of cortisol using cortisol monoclonal antibody (c-Mab) covalently immobilized on reduced graphene oxide (rGO) channel as electrical sensing element was demonstrated. Highly specific immune-recognition between the c-Mab and the cortisol was identified and characterized on a basis of resistance change at the rGO channel based chemiresistor sensor achieving the limit of detection of 10pg/mL (27.6 pM). In addition, cortisol concentrations of real human salivary sample and buffer solution of rat adrenal gland acute slices, which could secret the cortisol induced by adrenocorticotropic hormone (ACTH), were directly measured by the chemiresistor corresponding to the specific sensing of the cortisol. The rGO chemiresistor could selectively measure the cortisol levels in spite of diverse neuroendocrine's existence. The potential perspective of this study can be a protocol of new cortisol sensor development, which will be applicable to point-of-care testing (POCT) targeted for salivary cortisol, in vitro psychobiological study on cortisol induction, and implantable sensor chip in the future. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Ultrathin Tungsten Oxide Nanowires/Reduced Graphene Oxide Composites for Toluene Sensing

    Directory of Open Access Journals (Sweden)

    Muhammad Hassan

    2017-09-01

    Full Text Available Graphene-based composites have gained great attention in the field of gas sensor fabrication due to their higher surface area with additional functional groups. Decorating one-dimensional (1D semiconductor nanomaterials on graphene also show potential benefits in gas sensing applications. Here we demonstrate the one-pot and low cost synthesis of W18O49 NWs/rGO composites with different amount of reduced graphene oxide (rGO which show excellent gas-sensing properties towards toluene and strong dependence on their chemical composition. As compared to pure W18O49 NWs, an improved gas sensing response (2.8 times higher was achieved in case of W18O49 NWs composite with 0.5 wt. % rGO. Promisingly, this strategy can be extended to prepare other nanowire based composites with excellent gas-sensing performance.

  8. Gallium Oxide Nanostructures for High Temperature Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Chintalapalle, Ramana V. [Univ. of Texas, El Paso, TX (United States)

    2015-04-30

    Gallium oxide (Ga2O3) thin films were produced by sputter deposition by varying the substrate temperature (Ts) in a wide range (Ts=25-800 °C). The structural characteristics and electronic properties of Ga2O3 films were evaluated using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive X-ray spectrometry (EDS), Rutherford backscattering spectrometry (RBS) and spectrophotometric measurements. The effect of growth temperature is significant on the chemistry, crystal structure and morphology of Ga2O3 films. XRD and SEM analyses indicate that the Ga2O3 films grown at lower temperatures were amorphous while those grown at Ts≥500 oC were nanocrystalline. RBS measurements indicate the well-maintained stoichiometry of Ga2O3 films at Ts=300-800 °C. The electronic structure determination indicated that the nanocrystalline Ga2O3films exhibit a band gap of ~5 eV. Tungsten (W) incorporated Ga2O3 films were produced by co-sputter deposition. W-concentration was varied by the applied sputtering-power. No secondary phase formation was observed in W-incorporated Ga2O3 films. W-induced effects were significant on the structure and electronic properties of Ga2O3 films. The band gap of Ga2O3 films without W-incorporation was ~5 eV. Oxygen sensor characteristics evaluated using optical and electrical methods indicate a faster response in W-doped Ga2O3 films compared to intrinsic Ga2O3 films. The results demonstrate the applicability of both intrinsic and W-doped Ga-oxide films for oxygen sensor application at temperatures ≥700 °C.

  9. Wireless Multiplexed Surface Acoustic Wave Sensors Project

    Science.gov (United States)

    Youngquist, Robert C.

    2014-01-01

    Wireless Surface Acoustic Wave (SAW) Sensor is a new technology for obtaining multiple, real-time measurements under extreme environmental conditions. This project plans to develop a wireless multiplexed sensor system that uses SAW sensors, with no batteries or semiconductors, that are passive and rugged, can operate down to cryogenic temperatures and up to hundreds of degrees C, and can be used to sense a wide variety of parameters over reasonable distances (meters).

  10. A study of transition from n- to p-type based on hexagonal WO3 nanorods sensor

    Science.gov (United States)

    Wu, Ya-Qiao; Hu, Ming; Wei, Xiao-Ying

    2014-04-01

    Hexagonal WO3 nanorods are fabricated by a facile hydrothermal process at 180 °C using sodium tungstate and sodium chloride as starting materials. The morphology, structure, and composition of the prepared nanorods are studied by scanning electron microscopy, X-ray diffraction spectroscopy, and energy dispersive spectroscopy. It is found that the agglomeration of the nanorods is strongly dependent on the PH value of the reaction solution. Uniform and isolated WO3 nanorods with diameters ranging from 100 nm-150 nm and lengths up to several micrometers are obtained at PH = 2.5 and the nanorods are identified as being hexagonal in phase structure. The sensing characteristics of the WO3 nanorod sensor are obtained by measuring the dynamic response to NO2 with concentrations in the range 0.5 ppm-5 ppm and at working temperatures in the range 25 °C-250 °C. The obtained WO3 nanorods sensors are found to exhibit opposite sensing behaviors, depending on the working temperature. When being exposed to oxidizing NO2 gas, the WO3 nanorod sensor behaves as an n-type semiconductor as expected when the working temperature is higher than 50 °C, whereas, it behaves as a p-type semiconductor below 50 °C. The origin of the n- to p-type transition is correlated with the formation of an inversion layer at the surface of the WO3 nanorod at room temperature. This finding is useful for making new room temperature NO2 sensors based on hexagonal WO3 nanorods.

  11. A study of transition from n- to p-type based on hexagonal WO3 nanorods sensor

    International Nuclear Information System (INIS)

    Wu Ya-Qiao; Hu Ming; Wei Xiao-Ying

    2014-01-01

    Hexagonal WO 3 nanorods are fabricated by a facile hydrothermal process at 180 °C using sodium tungstate and sodium chloride as starting materials. The morphology, structure, and composition of the prepared nanorods are studied by scanning electron microscopy, X-ray diffraction spectroscopy, and energy dispersive spectroscopy. It is found that the agglomeration of the nanorods is strongly dependent on the PH value of the reaction solution. Uniform and isolated WO 3 nanorods with diameters ranging from 100 nm–150 nm and lengths up to several micrometers are obtained at PH = 2.5 and the nanorods are identified as being hexagonal in phase structure. The sensing characteristics of the WO 3 nanorod sensor are obtained by measuring the dynamic response to NO 2 with concentrations in the range 0.5 ppm–5 ppm and at working temperatures in the range 25 °C–250 °C. The obtained WO 3 nanorods sensors are found to exhibit opposite sensing behaviors, depending on the working temperature. When being exposed to oxidizing NO 2 gas, the WO 3 nanorod sensor behaves as an n-type semiconductor as expected when the working temperature is higher than 50 °C, whereas, it behaves as a p-type semiconductor below 50 °C. The origin of the n- to p-type transition is correlated with the formation of an inversion layer at the surface of the WO 3 nanorod at room temperature. This finding is useful for making new room temperature NO 2 sensors based on hexagonal WO 3 nanorods. (general)

  12. Influence of quantizing magnetic field and Rashba effect on indium arsenide metal-oxide-semiconductor structure accumulation capacitance

    Science.gov (United States)

    Kovchavtsev, A. P.; Aksenov, M. S.; Tsarenko, A. V.; Nastovjak, A. E.; Pogosov, A. G.; Pokhabov, D. A.; Tereshchenko, O. E.; Valisheva, N. A.

    2018-05-01

    The accumulation capacitance oscillations behavior in the n-InAs metal-oxide-semiconductor structures with different densities of the built-in charge (Dbc) and the interface traps (Dit) at temperature 4.2 K in the magnetic field (B) 2-10 T, directed perpendicular to the semiconductor-dielectric interface, is studied. A decrease in the oscillation frequency and an increase in the capacitance oscillation amplitude are observed with the increase in B. At the same time, for a certain surface accumulation band bending, the influence of the Rashba effect, which is expressed in the oscillations decay and breakdown, is traced. The experimental capacitance-voltage curves are in a good agreement with the numeric simulation results of the self-consistent solution of Schrödinger and Poisson equations in the magnetic field, taking into account the quantization, nonparabolicity of dispersion law, and Fermi-Dirac electron statistics, with the allowance for the Rashba effect. The Landau quantum level broadening in a two-dimensional electron gas (Lorentzian-shaped density of states), due to the electron scattering mechanism, linearly depends on the magnetic field. The correlation between the interface electronic properties and the characteristic scattering times was established.

  13. Application of flexible micro temperature sensor in oxidative steam reforming by a methanol micro reformer.

    Science.gov (United States)

    Lee, Chi-Yuan; Lee, Shuo-Jen; Shen, Chia-Chieh; Yeh, Chuin-Tih; Chang, Chi-Chung; Lo, Yi-Man

    2011-01-01

    Advances in fuel cell applications reflect the ability of reformers to produce hydrogen. This work presents a flexible micro temperature sensor that is fabricated based on micro-electro-mechanical systems (MEMS) technology and integrated into a flat micro methanol reformer to observe the conditions inside that reformer. The micro temperature sensor has higher accuracy and sensitivity than a conventionally adopted thermocouple. Despite various micro temperature sensor applications, integrated micro reformers are still relatively new. This work proposes a novel method for integrating micro methanol reformers and micro temperature sensors, subsequently increasing the methanol conversion rate and the hydrogen production rate by varying the fuel supply rate and the water/methanol ratio. Importantly, the proposed micro temperature sensor adequately controls the interior temperature during oxidative steam reforming of methanol (OSRM), with the relevant parameters optimized as well.

  14. Application of Flexible Micro Temperature Sensor in Oxidative Steam Reforming by a Methanol Micro Reformer

    Directory of Open Access Journals (Sweden)

    Yi-Man Lo

    2011-02-01

    Full Text Available Advances in fuel cell applications reflect the ability of reformers to produce hydrogen. This work presents a flexible micro temperature sensor that is fabricated based on micro-electro-mechanical systems (MEMS technology and integrated into a flat micro methanol reformer to observe the conditions inside that reformer. The micro temperature sensor has higher accuracy and sensitivity than a conventionally adopted thermocouple. Despite various micro temperature sensor applications, integrated micro reformers are still relatively new. This work proposes a novel method for integrating micro methanol reformers and micro temperature sensors, subsequently increasing the methanol conversion rate and the hydrogen production rate by varying the fuel supply rate and the water/methanol ratio. Importantly, the proposed micro temperature sensor adequately controls the interior temperature during oxidative steam reforming of methanol (OSRM, with the relevant parameters optimized as well.

  15. Highly Sensitive and Selective Hydrogen Gas Sensor Using the Mesoporous SnO2 Modified Layers

    Directory of Open Access Journals (Sweden)

    Niuzi Xue

    2017-10-01

    Full Text Available It is important to improve the sensitivities and selectivities of metal oxide semiconductor (MOS gas sensors when they are used to monitor the state of hydrogen in aerospace industry and electronic field. In this paper, the ordered mesoporous SnO2 (m-SnO2 powders were prepared by sol-gel method, and the morphology and structure were characterized by X-ray diffraction analysis (XRD, transmission electron microscope (TEM and Brunauer–Emmett–Teller (BET. The gas sensors were fabricated using m-SnO2 as the modified layers on the surface of commercial SnO2 (c-SnO2 by screen printing technology, and tested for gas sensing towards ethanol, benzene and hydrogen with operating temperatures ranging from 200 °C to 400 °C. Higher sensitivity was achieved by using the modified m-SnO2 layers on the c-SnO2 gas sensor, and it was found that the S(c/m2 sensor exhibited the highest response (Ra/Rg = 22.2 to 1000 ppm hydrogen at 400 °C. In this paper, the mechanism of the sensitivity and selectivity improvement of the gas sensors is also discussed.

  16. Sub-parts per million NO2 chemi-transistor sensors based on composite porous silicon/gold nanostructures prepared by metal-assisted etching.

    Science.gov (United States)

    Sainato, Michela; Strambini, Lucanos Marsilio; Rella, Simona; Mazzotta, Elisabetta; Barillaro, Giuseppe

    2015-04-08

    Surface doping of nano/mesostructured materials with metal nanoparticles to promote and optimize chemi-transistor sensing performance represents the most advanced research trend in the field of solid-state chemical sensing. In spite of the promising results emerging from metal-doping of a number of nanostructured semiconductors, its applicability to silicon-based chemi-transistor sensors has been hindered so far by the difficulties in integrating the composite metal-silicon nanostructures using the complementary metal-oxide-semiconductor (CMOS) technology. Here we propose a facile and effective top-down method for the high-yield fabrication of chemi-transistor sensors making use of composite porous silicon/gold nanostructures (cSiAuNs) acting as sensing gate. In particular, we investigate the integration of cSiAuNs synthesized by metal-assisted etching (MAE), using gold nanoparticles (NPs) as catalyst, in solid-state junction-field-effect transistors (JFETs), aimed at the detection of NO2 down to 100 parts per billion (ppb). The chemi-transistor sensors, namely cSiAuJFETs, are CMOS compatible, operate at room temperature, and are reliable, sensitive, and fully recoverable for the detection of NO2 at concentrations between 100 and 500 ppb, up to 48 h of continuous operation.

  17. Robust Dehaze Algorithm for Degraded Image of CMOS Image Sensors

    Directory of Open Access Journals (Sweden)

    Chen Qu

    2017-09-01

    Full Text Available The CMOS (Complementary Metal-Oxide-Semiconductor is a new type of solid image sensor device widely used in object tracking, object recognition, intelligent navigation fields, and so on. However, images captured by outdoor CMOS sensor devices are usually affected by suspended atmospheric particles (such as haze, causing a reduction in image contrast, color distortion problems, and so on. In view of this, we propose a novel dehazing approach based on a local consistent Markov random field (MRF framework. The neighboring clique in traditional MRF is extended to the non-neighboring clique, which is defined on local consistent blocks based on two clues, where both the atmospheric light and transmission map satisfy the character of local consistency. In this framework, our model can strengthen the restriction of the whole image while incorporating more sophisticated statistical priors, resulting in more expressive power of modeling, thus, solving inadequate detail recovery effectively and alleviating color distortion. Moreover, the local consistent MRF framework can obtain details while maintaining better results for dehazing, which effectively improves the image quality captured by the CMOS image sensor. Experimental results verified that the method proposed has the combined advantages of detail recovery and color preservation.

  18. Silicon Micromachined Sensor for Broadband Vibration Analysis

    Science.gov (United States)

    Gutierrez, Adolfo; Edmans, Daniel; Cormeau, Chris; Seidler, Gernot; Deangelis, Dave; Maby, Edward

    1995-01-01

    The development of a family of silicon based integrated vibration sensors capable of sensing mechanical resonances over a broad range of frequencies with minimal signal processing requirements is presented. Two basic general embodiments of the concept were designed and fabricated. The first design was structured around an array of cantilever beams and fabricated using the ARPA sponsored multi-user MEMS processing system (MUMPS) process at the Microelectronics Center of North Carolina (MCNC). As part of the design process for this first sensor, a comprehensive finite elements analysis of the resonant modes and stress distribution was performed using PATRAN. The dependence of strain distribution and resonant frequency response as a function of Young's modulus in the Poly-Si structural material was studied. Analytical models were also studied. In-house experimental characterization using optical interferometry techniques were performed under controlled low pressure conditions. A second design, intended to operate in a non-resonant mode and capable of broadband frequency response, was proposed and developed around the concept of a cantilever beam integrated with a feedback control loop to produce a null mode vibration sensor. A proprietary process was used to integrat a metal-oxide semiconductor (MOS) sensing device, with actuators and a cantilever beam, as part of a compatible process. Both devices, once incorporated as part of multifunction data acquisition and telemetry systems will constitute a useful system for NASA launch vibration monitoring operations. Satellite and other space structures can benefit from the sensor for mechanical condition monitoring functions.

  19. Development of radiation tolerant semiconductor detectors for the Super-LHC

    CERN Document Server

    Moll, M; Al-Ajili, A A; Alfieri, G; Allport, P P; Artuso, M; Assouak, S; Avset, B S; Barabash, L; Barcz, A; Bates, R; Biagi, S F; Bilei, G M; Bisello, D; Blue, A; Blumenau, A; Boisvert, V; Bölla, G; Bondarenko, G B; Borchi, E; Borrello, L; Bortoletto, D; Boscardin, M; Bosisio, L; Bowcock, T J V; Brodbeck, T J; Broz, J; Bruzzi, M; Brzozowski, A; Buda, M; Buhmann, P; Buttar, C; Campabadal, F; Campbell, D; Candelori, A; Casse, G; Cavallini, A; Charron, S; Chilingarov, A; Chren, D; Cindro, V; Collins, P; Coluccia, R; Contarato, D; Coutinho, J; Creanza, D; Cunningham, W; Betta, G F D; Dawson, I; de Boer, Wim; De Palma, M; Demina, R; Dervan, P; Dittongo, S; Dolezal, Z; Dolgolenko, A; Eberlein, T; Eremin, V; Fall, C; Fasolo, F; Fizzotti, F; Fleta, C; Focardi, E; Forton, E; Fretwurst, E; García, C; García-Navarro, J E; Gaubas, E; Genest, M H; Gill, K A; Giolo, K; Glaser, M; Gössling, C; Golovine, V; Sevilla, S G; Gorelov, I; Goss, J; Bates, A G; Grégoire, G; Gregori, P; Grigoriev, E; Grillo, A A; Groza, A; Guskov, J; Haddad, L; Härkönen, J; Hauler, F; Hoeferkamp, M; Honniger, F; Horazdovsky, T; Horisberger, Roland Paul; Horn, M; Houdayer, A; Hourahine, B; Hughes, G; Ilyashenko, Yu S; Irmscher, K; Ivanov, A; Jarasiunas, K; Johansen, K M H; Jones, B K; Jones, R; Joram, C; Jungermann, L; Kalinina, E; Kaminski, P; Karpenko, A; Karpov, A; Kazlauskiene, V; Kazukauskas, V; Khivrich, V; Khomenkov, V; Kierstead, J A; Klaiber Lodewigs, J; Klingenberg, R; Kodys, P; Kohout, Z; Korjenevski, S; Koski, M; Kozlowski, R; Kozodaev, M; Kramberger, G; Krasel, O; Kuznetsov, A; Kwan, S; Lagomarsino, S; Lassila-Perini, K M; Lastovetsky, V F; Latino, G; Lazanu, S; Lazanu, I; Lebedev, A; Lebel, C; Leinonen, K; Leroy, C; Li Z; Lindström, G; Linhart, V; Litovchenko, A P; Litovchenko, P G; Lo Giudice, A; Lozano, M; Luczynski, Z; Luukka, P; Macchiolo, A; Makarenko, L F; Mandic, I; Manfredotti, C; Manna, N; Garcia, S Mi; Marunko, S; Mathieson, K; Melone, J; Menichelli, D; Messineo, A; Metcalfe, J; Miglio, S; Mikuz, M; Miyamoto, J; Monakhov, E; Moscatelli, F; Naoumov, D; Nossarzhevska, E; Nysten, J; Olivero, P; OShea, V; Palviainen, T; Paolini, C; Parkes, C; Passeri, D; Pein, U; Pellegrini, G; Perera, L; Petasecca, M; Piemonte, C; Pignatel, G U; Pinho, N; Pintilie, I; Pintilie, L; Polivtsev, L; Polozov, P; Popa, A; Popule, J; Pospísil, S; Pozza, A; Radicci, V; Rafí, J M; Rando, R; Röder, R; Rohe, T; Ronchin, S; Rott, C; Roy, A; Ruzin, A; Sadrozinski, H F W; Sakalauskas, S; Scaringella, M; Schiavulli, L; Schnetzer, S; Schumm, B; Sciortino, S; Scorzoni, A; Segneri, G; Seidel, S; Seiden, A; Sellberg, G; Sellin, P J; Sentenac, D; Shipsey, I; Sícho, P; Sloan, T; Solar, M; Son, S; Sopko, B; Sopko, V; Spencer, N; Stahl, J; Stolze, D; Stone, R; Storasta, J; Strokan, N; Sudzius, M; Surma, B; Suvorov, A; Svensson, B G; Tipton, P; Tomasek, M; Tsvetkov, A; Tuominen, E; Tuovinen, E; Tuuva, T; Tylchin, M; Uebersee, H; Uher, J; Ullán, M; Vaitkus, J V; Velthuis, J; Verbitskaya, E; Vrba, V; Wagner, G; Wilhelm, I; Worm, S; Wright, V; Wunstorf, R; Yiuri, Y; Zabierowski, P; Zaluzhny, A; Zavrtanik, M; Zen, M; Zhukov, V; Zorzi, N

    2005-01-01

    The envisaged upgrade of the Large Hadron Collider (LHC) at CERN towards the Super-LHC (SLHC) with a 10 times increased luminosity of 10challenges for the tracking detectors of the SLHC experiments. Unprecedented high radiation levels and track densities and a reduced bunch crossing time in the order of 10ns as well as the need for cost effective detectors have called for an intensive R&D program. The CERN RD50 collaboration "Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders" is working on the development of semiconductor sensors matching the requirements of the SLHC. Sensors based on defect engineered silicon like Czochralski, epitaxial and oxygen enriched silicon have been developed. With 3D, Semi-3D and thin detectors new detector concepts have been evaluated and a study on the use of standard and oxygen enriched p-type silicon detectors revealed a promising approach for radiation tolerant cost effective devices. These and other most recent advancements of the RD50 ...

  20. Smart methanol sensor based on silver oxide-doped zinc oxide nanoparticles deposited on microchips

    International Nuclear Information System (INIS)

    Rahman, Mohammed M.; Khan, Sher Bahadar; Asiri, Abdullah M.

    2014-01-01

    We have prepared calcined silver oxide-doped zinc oxide nanoparticles (NPs) by a hydrothermal method using reducing agents in alkaline medium. The doped NPs were characterized by UV/vis, FTIR, and X-ray photoelectron spectroscopy, and by X-ray powder diffraction and field-emission scanning electron microscopy. The NPs were deposited on microchips to result in a sensor that has a fast response to methanol in the liquid phase. Features include high sensitivity, low-sample volume, reliability, reproducibility, ease of integration, long-term stability, and enhanced electrochemical responses. The calibration plot is linear (r 2  = 0.9981) over the 0.25 mmolL −1 to 0.25 molL −1 methanol concentration range. The sensitivity is ∼7.917 μA cm −2 mmolL −2 , and the detection limit is 71.0 ± 0.5 μmolL −1 at a signal-to-noise-ratio of 3. (author)