WorldWideScience

Sample records for oxide semiconductor ldmos

  1. A 680 V LDMOS on a thin SOI with an improved field oxide structure and dual field plate

    International Nuclear Information System (INIS)

    Wang Zhongjian; Cheng Xinhong; Xia Chao; Xu Dawei; Cao Duo; Song Zhaorui; Yu Yuehui; Shen Dashen

    2012-01-01

    A 680 V LDMOS on a thin SOI with an improved field oxide (FOX) and dual field plate was studied experimentally. The FOX structure was formed by an 'oxidation-etch-oxidation' process, which took much less time to form, and had a low protrusion profile. A polysilicon field plate extended to the FOX and a long metal field plate was used to improve the specific on-resistance. An optimized drift region implant for linear-gradient doping was adopted to achieve a uniform lateral electric field. Using a SimBond SOI wafer with a 1.5 μm top silicon and a 3 μm buried oxide layer, CMOS compatible SOI LDMOS processes are designed and implemented successfully. The off-state breakdown voltage reached 680 V, and the specific on-resistance was 8.2 Ω·mm 2 . (semiconductor devices)

  2. Improving breakdown voltage and self-heating effect for SiC LDMOS with double L-shaped buried oxide layers

    Science.gov (United States)

    Bao, Meng-tian; Wang, Ying

    2017-02-01

    In this paper, a SiC LDMOS with double L-shaped buried oxide layers (DL-SiC LDMOS) is investigated and simulated. The DL-SiC LDMOS consists of two L-shaped buried oxide layers and two SiC windows. Using 2-D numerical simulation software, Atlas, Silvaco TCAD, the breakdown voltage, and the self-heating effect are discussed. The double-L shaped buried oxide layers and SiC windows in the active area can introduce an additional electric field peak and make the electric field distribution more uniform in the drift region. In addition, the SiC windows, which connect the active area to the substrate, can facilitate heat dissipation and reduce the maximum lattice temperature of the device. Compared with the BODS structure, the DL-SiC LDMOS and BODS structures have the same device parameters, except of the buried oxide layers. The simulation results of DL-SiC LDMOS exhibits outstanding characteristics including an increase of the breakdown voltage by 32.6% to 1220 V, and a low maximum lattice temperature (535 K) at room temperature.

  3. High figure-of-merit SOI power LDMOS for power integrated circuits

    Directory of Open Access Journals (Sweden)

    Yashvir Singh

    2015-06-01

    Full Text Available The structural modifications in the conventional power laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOS are carried out to improve the breakdown voltage, on-resistance, gate-charge and figure-of-merits of the device with reduced cell pitch. The modified device has planer structure implemented on silicon-on-insulator which is suitable for low to medium voltage power integrated circuits. The proposed LDMOS consists of two gate electrodes placed vertically in two separate trenches build in the drift region and single source and drain contacts are taken on the top. The trench structure reduces the electric field inside the drift region and allow increased drift layer doping concentration leading to higher breakdown voltage, lower specific on-resistance, reduced gate-drain charge, and substantial improvement in the figure-of-merits. Using two-dimensional simulations, the performance of the proposed LDMOS is optimized and results are compared with the conventional LDMOS. Our simulation results show that the proposed device exhibits 110% higher breakdown voltage, 40% reduction in cell pitch, 19% lower specific on-resistance, 30% lower gate-to-drain charge leading to 5.5 times improvement in Baliga's figure-of-merit and 43% reduction in dynamic figure-of-merit over the conventional device.

  4. An analytical model for the vertical electric field distribution and optimization of high voltage REBULF LDMOS

    International Nuclear Information System (INIS)

    Hu Xia-Rong; Lü Rui

    2014-01-01

    In this paper, an analytical model for the vertical electric field distribution and optimization of a high voltage-reduced bulk field (REBULF) lateral double-diffused metal—oxide-semiconductor (LDMOS) transistor is presented. The dependences of the breakdown voltage on the buried n-layer depth, thickness, and doping concentration are discussed in detail. The REBULF criterion and the optimal vertical electric field distribution condition are derived on the basis of the optimization of the electric field distribution. The breakdown voltage of the REBULF LDMOS transistor is always higher than that of a single reduced surface field (RESURF) LDMOS transistor, and both analytical and numerical results show that it is better to make a thick n-layer buried deep into the p-substrate. (interdisciplinary physics and related areas of science and technology)

  5. Impacts of ESD Reliability by Different Layout Engineering in the 0.25-μm 60-V High-Voltage LDMOS Devices

    Science.gov (United States)

    Chen, Shen-Li; Lin, Chun-Ju; Yu-Ting, Huang

    2018-02-01

    How to effectively enhance the reliability robustness in high-voltage (HV) BCD [(bipolar) complementary metal-oxide semiconductor (CMOS) diffusion metaloxide semiconductor (DMOS)] processes is an important issue. Influences of layouttype dependences on anti-electrostatic discharge (ESD) robustness in a 0.25-μm 60-V process will be studied in this chapter, which includes, in part (1), the traditional striped-type n-channel lateral-diffused MOSFET (nLDMOS), waffle-type nLDMOS, and nLDMOS embedded with a "p-n-p"-arranged silicon-controlled rectifier (SCR) devices in the drain side; and in part (2) a p-channel LDMOS (pLDMOS) with an embedded "p-n-p-n-p"-arranged-type SCR in the drain side (diffusion regions of the drain side is P+-N+-P+-N+-P+). Then, these LDMOS devices are used to evaluate the influence of layout architecture on trigger voltage (Vt1), holding voltage (Vh), and secondary breakdown current (It2). Eventually, the sketching of the layout pattern of a HV LDMOS is a very important issue in the anti-ESD consideration. Also, in part (1), the waffle-type nLDMOS DUT contributes poorly to It2 robustness due to the non-uniform turned-on phenomenon and a narrow channel width per unit finger. Therefore, the It2 robustness of a waffle-type nLDMOS device is decreased about 17% as compared to a traditional striped-type nLDMOS device (reference DUT-1). The ESD abilities of traditional stripedtype and waffle-type nLDMOS devices with an embedded SCR ("p-n-p"-manner arrangement in the drain side) are better than a traditional nLDMOS 224.4% in average. Noteworthy, the nLDMOS-SCR with the "p-n-p" -arranged-type in the drainend is a good structure for the anti-ESD reliability especially in HV usages. Furthermore, in part (2) this layout manner of P+ discrete-island distributions in the drain-side have some impacts on the anti-ESD and anti-latch-up (LU) immunities. All of their It2 values have reached above 6 A; however, the major repercussion is that the Vh value will be

  6. A high voltage SOI pLDMOS with a partial interface equipotential floating buried layer

    International Nuclear Information System (INIS)

    Wu Lijuan; Zhang Wentong; Zhang Bo; Li Zhaoji

    2013-01-01

    A novel silicon-on-insulator (SOI) high-voltage pLDMOS is presented with a partial interface equipotential floating buried layer (FBL) and its analytical model is analyzed in this paper. The surface heavily doped p-top layers, interface floating buried N + /P + layers, and three-step field plates are designed carefully in the FBL SOI pLDMOS to optimize the electric field distribution of the drift region and reduce the specific resistance. On the condition of ESIMOX (epoxy separated by implanted oxygen), it has been shown that the breakdown voltage of the FBL SOI pLDMOS is increased from −232 V of the conventional SOI to −425 V and the specific resistance R on,sp is reduced from 0.88 to 0.2424 Ω·cm 2 . (semiconductor devices)

  7. High-power microwave LDMOS transistors for wireless data transmission technologies (Review)

    International Nuclear Information System (INIS)

    Kuznetsov, E. V.; Shemyakin, A. V.

    2010-01-01

    The fields of the application, structure, fabrication, and packaging technology of high-power microwave LDMOS transistors and the main advantages of these devices were analyzed. Basic physical parameters and some technology factors were matched for optimum device operation. Solid-state microwave electronics has been actively developed for the last 10-15 years. Simultaneously with improvement of old devices, new devices and structures are actively being adopted and developed and new semiconductor materials are being commercialized. Microwave LDMOS technology is in demand in such fields as avionics, civil and military radars, repeaters, base stations of cellular communication systems, television and broadcasting transmitters, and transceivers for high-speed wireless computer networks (promising Wi-Fi and Wi-Max standards).

  8. Design of a Novel W-Sinker RF LDMOS

    Directory of Open Access Journals (Sweden)

    Xiangming Xu

    2015-01-01

    Full Text Available A novel RF LDMOS device structure and corresponding manufacturing process are presented in this paper. Deep trench W-sinker (tungsten sinker is employed in this technology to replace the traditional heavily doped diffusion sinker which can shrink chip size of the LDMOS transistor by more than 30% and improve power density. Furthermore, the W-sinker structure reduces the parasitic resistance and inductance and improves thermal conductivity of the device as well. Combined with the adoption of the techniques, like grounded shield, step gate oxide, LDD optimization, and so forth, an advanced technology for RF LDMOS based on conventional 0.35 μm CMOS technology is well established. An F+A power amplifier product with frequency range of 1.8–2.1 GHz is developed for the application of 4G LTE base station and industry leading performance is achieved. The qualification results show that the device reliability and ruggedness can also meet requirement of the application.

  9. Design of high reliability RF-LDMOS by suppressing the parasitic bipolar effect using enhanced p-well and double epitaxy

    Science.gov (United States)

    Xiangming, Xu; Jingfeng, Huang; Han, Yu; Wensheng, Qian; Zhengliang, Zhou; Bo, Han; Yong, Wang; Pengfei, Wang; Zhang, David Wei

    2015-06-01

    A laterally diffused metal-oxide-semiconductor (LDMOS) device design with an enhanced p-well and double p-epitaxial structure is investigated for device ruggedness improvement while keeping its high device performance under high frequency. Based upon the device design, radio-frequency (RF) LDMOS transistors for GSM (global system for mobile communication) application have been fabricated by using 0.35 μm CMOS technologies. Experimental data show that the proposed device achieves a breakdown voltage of 70 V, output power of 180 W. The RF linear gain is over 20 dB and the power added efficiency (PAE) is over 70% with the frequency of 920 MHz. In particular, it can pass the 20 : 1 voltage standing wave ratio (VSWR) load mismatch biased at drain DC supply voltage of 32 V and output power at 10-dB gain compression point (P10dB). The device ruggedness has been remarkably improved by using the proposed device structure. Project supported by the Chinese National Key Project (No. 2012ZX02502).

  10. Gate Engineering in SOI LDMOS for Device Reliability

    Directory of Open Access Journals (Sweden)

    Aanand

    2016-01-01

    Full Text Available A linearly graded doping drift region with step gate structure, used for improvement of reduced surface field (RESURF SOI LDMOS transistor performance has been simulated with 0.35µm technology in this paper. The proposed device has one poly gate and double metal gate arranged in a stepped manner, from channel to drift region. The first gate uses n+ poly (near source where as other two gates of aluminium. The first gate with thin gate oxide has good control over the channel charge. The third gate with thick gate oxide at drift region reduce gate to drain capacitance. The arrangement of second and third gates in a stepped manner in drift region spreads the electric field uniformly. Using two dimensional device simulations, the proposed SOI LDMOS is compared with conventional structure and the extended metal structure. We demonstrate that the proposed device exhibits significant enhancement in linearity, breakdown voltage, on-resistance and HCI. Double metal gate reduces the impact ionization area which helps to improve the Hot Carrier Injection effect..

  11. Study of impact of LATID on HCI reliability for LDMOS devices

    Directory of Open Access Journals (Sweden)

    Chandrashekhar

    2016-01-01

    Full Text Available This paper demonstrates electrical degradation due to Hot Carrier Injection (HCI stress for nLDMOS devices with different Large Angle Tilted Implantation Doping (LATID techniques for p-body. It seems that optimization of the device with LATID angle for p-body in nLDMOS is important to achieve improved HCI performance and observed that HCI degradation is minimum for 300 LATID for p-body. We observed Si/SiO2 interface trap under various stress conditions, were evaluation based on our Sentaurus simulation, and we compare trapped charge density and distribution for various LATID angles and it was less for 300 tilt. Trap-related models were employed to perform Ron and Id,sat degradations during the HCI stress test. So nLDMOS device with 300 tilt angle for p-body shows better HCI performance compared to other LATID. Also our new proposed device structure shows less HCI degradations when compared with silicon data of HCI degradations for other nLDMOS structure.

  12. Design of high reliability RF-LDMOS by suppressing the parasitic bipolar effect using enhanced p-well and double epitaxy

    International Nuclear Information System (INIS)

    Xu Xiangming; Wang Yong; Wang Pengfei; David Wei Zhang; Huang Jingfeng; Yu Han; Qian Wensheng; Zhou Zhengliang; Han Bo

    2015-01-01

    A laterally diffused metal–oxide–semiconductor (LDMOS) device design with an enhanced p-well and double p-epitaxial structure is investigated for device ruggedness improvement while keeping its high device performance under high frequency. Based upon the device design, radio-frequency (RF) LDMOS transistors for GSM (global system for mobile communication) application have been fabricated by using 0.35 μm CMOS technologies. Experimental data show that the proposed device achieves a breakdown voltage of 70 V, output power of 180 W. The RF linear gain is over 20 dB and the power added efficiency (PAE) is over 70% with the frequency of 920 MHz. In particular, it can pass the 20 : 1 voltage standing wave ratio (VSWR) load mismatch biased at drain DC supply voltage of 32 V and output power at 10-dB gain compression point (P 10dB ). The device ruggedness has been remarkably improved by using the proposed device structure. (paper)

  13. Universal trench design method for a high-voltage SOI trench LDMOS

    Institute of Scientific and Technical Information of China (English)

    Hu Xiarong; Zhang Bo; Luo Xiaorong; Li Zhaoji

    2012-01-01

    The design method for a high-voltage SOl trench LDMOS for various trench permittivities,widths and depths is introduced.A universal method for efficient design is presented for the first time,taking the trade-off between breakdown voltage (BV) and specific on-resistance (Rs,on) into account.The high-k (relative permittivity)dielectric is suitable to fill a shallow and wide trench while the low-k dielectric is suitable to fill a deep and narrow trench.An SOI LDMOS with a vacuum trench in the drift region is also discussed.Simulation results show that the high FOM BV2/Rs,on can be achieved with a trench filled with the low-k dielectric due to its shortened cell-pitch.

  14. The performance study of oxide by-passed(OB) lateral double diffused MOSFET

    Science.gov (United States)

    Tang, Pan-pan

    2016-10-01

    An SOI LDMOS device structure with Oxide By-passed(OB) was investigated and its breakdown mechanism and characteristic of structure was analyzed. Its performance was verified by 3D numerical simulation with SILVACO TCAD software. The simulated results show that the electrical field element of the device is modulated by the concept of similar Superjunction(SJ) structure. Compared with the SJ LDMOS device, OB LDMOS obtains the same breakdown voltage, simultaneously the specific on-resistance of the OB LDMOS reduces from 3.81mΩ·cm2 to 1.96mΩ·cm2, except for achieving comparable performance and overcoming the high aspect ratio of fabrication structure and the difficulty of accurate concentration match of SJ LDMOS.

  15. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  16. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  17. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  18. Amphoteric oxide semiconductors for energy conversion devices: a tutorial review.

    Science.gov (United States)

    Singh, Kalpana; Nowotny, Janusz; Thangadurai, Venkataraman

    2013-03-07

    In this tutorial review, we discuss the defect chemistry of selected amphoteric oxide semiconductors in conjunction with their significant impact on the development of renewable and sustainable solid state energy conversion devices. The effect of electronic defect disorders in semiconductors appears to control the overall performance of several solid-state ionic devices that include oxide ion conducting solid oxide fuel cells (O-SOFCs), proton conducting solid oxide fuel cells (H-SOFCs), batteries, solar cells, and chemical (gas) sensors. Thus, the present study aims to assess the advances made in typical n- and p-type metal oxide semiconductors with respect to their use in ionic devices. The present paper briefly outlines the key challenges in the development of n- and p-type materials for various applications and also tries to present the state-of-the-art of defect disorders in technologically related semiconductors such as TiO(2), and perovskite-like and fluorite-type structure metal oxides.

  19. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  20. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  1. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  2. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  3. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won; Rondinone, Adam Justin; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2017-09-19

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  4. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Science.gov (United States)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  5. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    Science.gov (United States)

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  6. Semiconductor photocatalysts for water oxidation: current status and challenges.

    Science.gov (United States)

    Yang, Lingling; Zhou, Han; Fan, Tongxiang; Zhang, Di

    2014-04-21

    Artificial photosynthesis is a highly-promising strategy to convert solar energy into hydrogen energy for the relief of the global energy crisis. Water oxidation is the bottleneck for its kinetic and energetic complexity in the further enhancement of the overall efficiency of the artificial photosystem. Developing efficient and cost-effective photocatalysts for water oxidation is a growing desire, and semiconductor photocatalysts have recently attracted more attention due to their stability and simplicity. This article reviews the recent advancement of semiconductor photocatalysts with a focus on the relationship between material optimization and water oxidation efficiency. A brief introduction to artificial photosynthesis and water oxidation is given first, followed by an explanation of the basic rules and mechanisms of semiconductor particulate photocatalysts for water oxidation as theoretical references for discussions of componential, surface structure, and crystal structure modification. O2-evolving photocatalysts in Z-scheme systems are also introduced to demonstrate practical applications of water oxidation photocatalysts in artificial photosystems. The final part proposes some challenges based on the dynamics and energetics of photoholes which are fundamental to the enhancement of water oxidation efficiency, as well as on the simulation of natural water oxidation that will be a trend in future research.

  7. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  8. Modelling, development and optimization of integrated power LDMOS transistor. Performance limits in terms of energy; Modelisation, conception et optimisation de composant de puissance lateral DMOS integre. Etude des limites de performance en energie

    Energy Technology Data Exchange (ETDEWEB)

    Farenc, D.

    1997-12-16

    Technologies for Smart Power Integrated Circuits combine into a single chip Bipolar and CMOS transistors, plus power with lateral or vertical DMOS transistors. Complexity which has been increasing dramatically since the mid-80`s has allowed to integrate, into a single monolithic solution, entire systems. This thesis deals with the modelling, conception and test of the power integrated LDMOS transistor. The power LDMOS transistor is used as a switching device. It is characterized by two parameters which are the Specific On-resistance R{sub sp} and the breakdown voltage BV{sub DSS}. The LDMOS transistor developed for the new Smart Power technology exhibits a Specific On-resistance of 200 m{Omega}{sup *}mm{sup 2} and a breakdown voltage of 60 V. This device is dedicated to automotive applications. A reduction of the power device which is achieved with a low Specific On-resistance puts forward new issues such as the maximum Energy capability. When the power device is switched-off on an inductive load, a certain amount of energy is dissipated; if it is beyond a certain limit, the device is destroyed. Our goal is to determine the energy limits which are associated with our new Power integrated LDMOS transistor. (author) 28 refs.

  9. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    Science.gov (United States)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  10. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  11. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  13. Electron Band Alignment at Interfaces of Semiconductors with Insulating Oxides: An Internal Photoemission Study

    Directory of Open Access Journals (Sweden)

    Valeri V. Afanas'ev

    2014-01-01

    Full Text Available Evolution of the electron energy band alignment at interfaces between different semiconductors and wide-gap oxide insulators is examined using the internal photoemission spectroscopy, which is based on observations of optically-induced electron (or hole transitions across the semiconductor/insulator barrier. Interfaces of various semiconductors ranging from the conventional silicon to the high-mobility Ge-based (Ge, Si1-xGex, Ge1-xSnx and AIIIBV group (GaAs, InxGa1-xAs, InAs, GaP, InP, GaSb, InSb materials were studied revealing several general trends in the evolution of band offsets. It is found that in the oxides of metals with cation radii larger than ≈0.7 Å, the oxide valence band top remains nearly at the same energy (±0.2 eV irrespective of the cation sort. Using this result, it becomes possible to predict the interface band alignment between oxides and semiconductors as well as between dissimilar insulating oxides on the basis of the oxide bandgap width which are also affected by crystallization. By contrast, oxides of light elements, for example, Be, Mg, Al, Si, and Sc exhibit significant shifts of the valence band top. General trends in band lineup variations caused by a change in the composition of semiconductor photoemission material are also revealed.

  14. Synthesis, Characterization, and Ultrafast Dynamics of Metal, Metal Oxide, and Semiconductor Nanomaterials

    OpenAIRE

    Wheeler, Damon Andreas

    2013-01-01

    SYNTHESIS, CHARACTERIZATION, AND ULTRAFAST DYNAMICS OF METAL, METAL OXIDE, AND SEMICONDUCTOR NANOMATERIALSABSTRACTThe optical properties of each of the three main classes of inorganic nanomaterials, metals, metal oxides, and semiconductors differ greatly due to the intrinsically different nature of the materials. These optical properties are among the most fascinating and useful aspects of nanomaterials with applications spanning cancer treatment, sensors, lasers, and solar cells. One techn...

  15. Positron studies of metal-oxide-semiconductor structures

    Science.gov (United States)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  16. Suitability of GaN and LDMOS for 70–82% efficiency 120–200W HPA addressing spaceborne P-band radar applications

    DEFF Research Database (Denmark)

    Le Gallou, N.; Vidkjær, Jens; Poivey, C.

    2012-01-01

    This paper addresses the development of P-band (435 MHz) HPA based on different technologies (GaN HEMT, LDMOS FET) for future use in pace radar applications in the context of the Biomass project. In particular best in class PAE of 70%–82% is targeted and achieved for power levels of 120W. In order...

  17. Where science fiction meets reality? With oxide semiconductors.

    Energy Technology Data Exchange (ETDEWEB)

    Fortunato, E.; Martins, R. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2011-09-15

    Transparent electronics is today one of the most advanced topics for a wide range of device applications, where the key components are wide band gap semiconductors, where oxides of different origin play an important role, not only as passive components but also as active components similar to what we observe in conventional semiconductors. As passive components they include the use of these materials as dielectrics for a wide range of electronic devices and also as transparent electrical conductors for use in several optoelectronic applications, such as liquid crystal displays, organic light emitting diodes, solar cells, optical sensors etc. As active materials, they exploit the use of truly electronic semiconductors where the main emphasis is being put on transparent thin film transistors, light emitting diodes, lasers, ultraviolet sensors and integrated circuits among others. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    Science.gov (United States)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  19. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  20. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  1. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  2. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  3. Conductivity in transparent oxide semiconductors.

    Science.gov (United States)

    King, P D C; Veal, T D

    2011-08-24

    Despite an extensive research effort for over 60 years, an understanding of the origins of conductivity in wide band gap transparent conducting oxide (TCO) semiconductors remains elusive. While TCOs have already found widespread use in device applications requiring a transparent contact, there are currently enormous efforts to (i) increase the conductivity of existing materials, (ii) identify suitable alternatives, and (iii) attempt to gain semiconductor-engineering levels of control over their carrier density, essential for the incorporation of TCOs into a new generation of multifunctional transparent electronic devices. These efforts, however, are dependent on a microscopic identification of the defects and impurities leading to the high unintentional carrier densities present in these materials. Here, we review recent developments towards such an understanding. While oxygen vacancies are commonly assumed to be the source of the conductivity, there is increasing evidence that this is not a sufficient mechanism to explain the total measured carrier concentrations. In fact, many studies suggest that oxygen vacancies are deep, rather than shallow, donors, and their abundance in as-grown material is also debated. We discuss other potential contributions to the conductivity in TCOs, including other native defects, their complexes, and in particular hydrogen impurities. Convincing theoretical and experimental evidence is presented for the donor nature of hydrogen across a range of TCO materials, and while its stability and the role of interstitial versus substitutional species are still somewhat open questions, it is one of the leading contenders for yielding unintentional conductivity in TCOs. We also review recent work indicating that the surfaces of TCOs can support very high carrier densities, opposite to the case for conventional semiconductors. In thin-film materials/devices and, in particular, nanostructures, the surface can have a large impact on the total

  4. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  5. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  6. Epitaxy of Polar Oxides and Semiconductors

    Science.gov (United States)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  7. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  8. Binary copper oxide semiconductors: From materials towards devices

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; Heiliger, C.; Heinemann, M. [1. Physics Institute, Justus-Liebig University of Giessen (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics (IEP), Otto-von-Guericke University Magdeburg (Germany); Shokovets, S. [Institute of Physics, Ilmenau University of Technology (Germany); Mueller, C.; Ronning, C. [Institute of Solid State Physics, Friedrich Schiller University Jena (Germany)

    2012-08-15

    Copper-oxide compound semiconductors provide a unique possibility to tune the optical and electronic properties from insulating to metallic conduction, from bandgap energies of 2.1 eV to the infrared at 1.40 eV, i.e., right into the middle of the efficiency maximum for solar-cell applications. Three distinctly different phases, Cu{sub 2}O, Cu{sub 4}O{sub 3}, and CuO, of this binary semiconductor can be prepared by thin-film deposition techniques, which differ in the oxidation state of copper. Their material properties as far as they are known by experiment or predicted by theory are reviewed. They are supplemented by new experimental results from thin-film growth and characterization, both will be critically discussed and summarized. With respect to devices the focus is on solar-cell performances based on Cu{sub 2}O. It is demonstrated by photoelectron spectroscopy (XPS) that the heterojunction system p-Cu{sub 2}O/n-AlGaN is much more promising for the application as efficient solar cells than that of p-Cu{sub 2}O/n-ZnO heterojunction devices that have been favored up to now. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Ultrawide band gap amorphous oxide semiconductor, Ga–Zn–O

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Junghwan, E-mail: JH.KIM@lucid.msl.titech.ac.jp [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Miyokawa, Norihiko; Sekiya, Takumi; Ide, Keisuke [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Toda, Yoshitake [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan)

    2016-09-01

    We fabricated amorphous oxide semiconductor films, a-(Ga{sub 1–x}Zn{sub x})O{sub y}, at room temperature on glass, which have widely tunable band gaps (E{sub g}) ranging from 3.47–4.12 eV. The highest electron Hall mobility ~ 7 cm{sup 2} V{sup −1} s{sup −1} was obtained for E{sub g} = ~ 3.8 eV. Ultraviolet photoemission spectroscopy revealed that the increase in E{sub g} with increasing the Ga content comes mostly from the deepening of the valence band maximum level while the conduction band minimum level remains almost unchanged. These characteristics are explained by their electronic structures. As these films can be fabricated at room temperature on plastic, this achievement extends the applications of flexible electronics to opto-electronic integrated circuits associated with deep ultraviolet region. - Highlights: • Incorporation of H/H{sub 2}O stabilizes the amorphous phase. • Ultrawide band gap (~ 3.8 eV) amorphous oxide semiconductor was fabricated. • The increase in band gap comes mostly from the deepening of the valence band maximum level. • Donor level is more likely aligned to the valence band maximum level.

  10. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    Science.gov (United States)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  11. Color-selective photodetection from intermediate colloidal quantum dots buried in amorphous-oxide semiconductors.

    Science.gov (United States)

    Cho, Kyung-Sang; Heo, Keun; Baik, Chan-Wook; Choi, Jun Young; Jeong, Heejeong; Hwang, Sungwoo; Lee, Sang Yeol

    2017-10-10

    We report color-selective photodetection from intermediate, monolayered, quantum dots buried in between amorphous-oxide semiconductors. The proposed active channel in phototransistors is a hybrid configuration of oxide-quantum dot-oxide layers, where the gate-tunable electrical property of silicon-doped, indium-zinc-oxide layers is incorporated with the color-selective properties of quantum dots. A remarkably high detectivity (8.1 × 10 13 Jones) is obtained, along with three major findings: fast charge separation in monolayered quantum dots; efficient charge transport through high-mobility oxide layers (20 cm 2  V -1  s -1 ); and gate-tunable drain-current modulation. Particularly, the fast charge separation rate of 3.3 ns -1 measured with time-resolved photoluminescence is attributed to the intermediate quantum dots buried in oxide layers. These results facilitate the realization of efficient color-selective detection exhibiting a photoconductive gain of 10 7 , obtained using a room-temperature deposition of oxide layers and a solution process of quantum dots. This work offers promising opportunities in emerging applications for color detection with sensitivity, transparency, and flexibility.The development of highly sensitive photodetectors is important for image sensing and optical communication applications. Cho et al., report ultra-sensitive photodetectors based on monolayered quantum dots buried in between amorphous-oxide semiconductors and demonstrate color-detecting logic gates.

  12. Group IIB-VIA semiconductor oxide cluster ions

    Science.gov (United States)

    Jayasekharan, Thankan

    2018-05-01

    Metal oxide cluster ions, MnOm± (M = Zn, Cd) and HgnOm- of various stoichiometry have been generated from solid IIB-VIA semiconductor oxides targets, (ZnO(s), CdO(s), and HgO(s)) by using pulse laser desorption ionization time of flight mass spectrometry with a laser of λ = 355 nm. Analysis of mass spectral data indicates the formation of stoichiometric cluster ions viz., (ZnO)n=1-30+ and (CdO)n=1-40+ along with -O bound anions, (ZnO)n=1-30O-, (CdO)n=1-40O- and (HgO)n=1-36O- from their respective solids. Further, metal oxoanions such as ZnOn=2,3-, CdOn=2,3,6-, and HgOn=2,3,6,7- have also been noted signifying the higher coordination ability of both Cd and Hg with O/O2/O3 species.

  13. Bi-component semiconductor oxide photoanodes for the photoelectrocatalytic oxidation of organic solutes and vapours: a short review with emphasis to TiO2-WO3 photoanodes.

    Science.gov (United States)

    Georgieva, J; Valova, E; Armyanov, S; Philippidis, N; Poulios, I; Sotiropoulos, S

    2012-04-15

    The use of binary semiconductor oxide anodes for the photoelectrocatalytic oxidation of organic species (both in solution and gas phase) is reviewed. In the first part of the review, the principle of electrically assisted photocatalysis is presented, the preparation methods for the most common semiconductor oxide catalysts are briefly mentioned, while the advantages of appropriately chosen semiconductor combinations for efficient UV and visible (vis) light utilization are highlighted. The second part of the review focuses on the discussion of TiO(2)-WO(3) photoanodes (among the most studied bi-component semiconductor oxide systems) and in particular on coatings prepared by electrodeposition/electrosynthesis or powder mixtures (the focus of the authors' research during recent years). Studies concerning the microscopic, spectroscopic and photoelectrochemical characterization of the catalysts are presented and examples of photoanode activity towards typical dissolved organic contaminants as well as organic vapours are given. Particular emphasis is paid to: (a) The dependence of photoactivity on catalyst morphology and composition and (b) the possibility of carrying out photoelectrochemistry in all-solid cells, thus opening up the opportunity for photoelectrocatalytic air treatment. Copyright © 2011 Elsevier B.V. All rights reserved.

  14. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  15. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    Science.gov (United States)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  16. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  17. 2-D simulation and analysis of temperature effects on electrical parameters degradation of power RF LDMOS device

    International Nuclear Information System (INIS)

    Belaid, M.A.; Ketata, K.; Gares, M.; Marcon, J.; Mourgues, K.; Masmoudi, M.

    2006-01-01

    This paper presents a synthesis of temperature effects on power RF Laterally Diffused (LD) MOS performances, which can modify and degrade transistor physical and electrical behaviour. In this work, the temperature influence on device electrical characteristics is discussed with regard to physical limits for device operation. A developed 2-D structure was implemented and simulated using the physical simulator Silvaco-Atlas to explain the observed data and offer insight into the physical origin of LDMOS temperature behaviour. The temperature dependence of most important electrical parameters such as channel current I ds , threshold voltage V th and inter-electrodes capacitances (C ds , C gs ) is investigated. The temperature effects on mobility, electron concentration, electric field, current flow lines and Fermi level are taken into account. Finally, initial failure analysis is discussed

  18. 2-D simulation and analysis of temperature effects on electrical parameters degradation of power RF LDMOS device

    Energy Technology Data Exchange (ETDEWEB)

    Belaid, M.A. [LEMI, University of Rouen, IUT Rouen, 76821 Mont Saint Aignan (France)]. E-mail: Mohamed-ali.belaid@univ-rouen.fr; Ketata, K. [LEMI, University of Rouen, IUT Rouen, 76821 Mont Saint Aignan (France); Gares, M. [LEMI, University of Rouen, IUT Rouen, 76821 Mont Saint Aignan (France); Marcon, J. [LEMI, University of Rouen, IUT Rouen, 76821 Mont Saint Aignan (France); Mourgues, K. [LEMI, University of Rouen, IUT Rouen, 76821 Mont Saint Aignan (France); Masmoudi, M. [LEMI, University of Rouen, IUT Rouen, 76821 Mont Saint Aignan (France)

    2006-12-15

    This paper presents a synthesis of temperature effects on power RF Laterally Diffused (LD) MOS performances, which can modify and degrade transistor physical and electrical behaviour. In this work, the temperature influence on device electrical characteristics is discussed with regard to physical limits for device operation. A developed 2-D structure was implemented and simulated using the physical simulator Silvaco-Atlas to explain the observed data and offer insight into the physical origin of LDMOS temperature behaviour. The temperature dependence of most important electrical parameters such as channel current I {sub ds}, threshold voltage V {sub th} and inter-electrodes capacitances (C {sub ds}, C {sub gs}) is investigated. The temperature effects on mobility, electron concentration, electric field, current flow lines and Fermi level are taken into account. Finally, initial failure analysis is discussed.

  19. Laser Doppler perfusion imaging with a complimentary metal oxide semiconductor image sensor

    NARCIS (Netherlands)

    Serov, Alexander; Steenbergen, Wiendelt; de Mul, F.F.M.

    2002-01-01

    We utilized a complimentary metal oxide semiconductor video camera for fast f low imaging with the laser Doppler technique. A single sensor is used for both observation of the area of interest and measurements of the interference signal caused by dynamic light scattering from moving particles inside

  20. Chemically-modified electrodes in photoelectrochemical cells. [Tin oxide and TiO/sub 2/ semiconductor electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fox, M A; Hohman, J R; Kamat, P V

    1893-01-01

    Tin oxide and titanium dioxide semiconductor electrodes hae been covalently modified by the attachment of functionalized olefins and arenes through surface silanation or via a cyanuric chloride linkage. The excited state and electrochemical properties of the molecules so attached are significantly affected by the semiconductor. Photocurrent measurements and time-resolved laser coulostatic monitoring have been employed to elucidate the mechanism of charge injection on these modified surfaces. 17 references, 7 figures.

  1. P-type Oxide Semiconductors for Transparent & Energy Efficient Electronics

    KAUST Repository

    Wang, Zhenwei

    2018-03-11

    Emerging transparent semiconducting oxide (TSO) materials have achieved their initial commercial success in the display industry. Due to the advanced electrical performance, TSOs have been adopted either to improve the performance of traditional displays or to demonstrate the novel transparent and flexible displays. However, due to the lack of feasible p-type TSOs, the applications of TSOs is limited to unipolar (n-type TSOs) based devices. Compared with the prosperous n-type TSOs, the performance of p-type counterparts is lag behind. However, after years of discovery, several p-type TSOs are confirmed with promising performance, for example, tin monoxide (SnO). By using p-type SnO, excellent transistor field-effect mobility of 6.7 cm2 V-1 s-1 has been achieved. Motivated by this encouraging performance, this dissertation is devoted to further evaluate the feasibility of integrating p-type SnO in p-n junctions and complementary metal oxide semiconductor (CMOS) devices. CMOS inverters are fabricated using p-type SnO and in-situ formed n-type tin dioxide (SnO2). The semiconductors are simultaneously sputtered, which simplifies the process of CMOS inverters. The in-situ formation of SnO2 phase is achieved by selectively sputtering additional capping layer, which serves as oxygen source and helps to balance the process temperature for both types of semiconductors. Oxides based p-n junctions are demonstrated between p-type SnO and n-type SnO2 by magnetron sputtering method. Diode operating ideality factor of 3.4 and rectification ratio of 103 are achieved. A large temperature induced knee voltage shift of 20 mV oC-1 is observed, and explained by the large band gap and shallow states in SnO, which allows minor adjustment of band structure in response to the temperature change. Finally, p-type SnO is used to demonstrating the hybrid van der Waals heterojunctions (vdWHs) with two-dimensional molybdenum disulfide (2D MoS2) by mechanical exfoliation. The hybrid vdWHs show

  2. Emission channeling with short-lived isotopes lattice location of impurities in semiconductors and oxides

    CERN Multimedia

    We propose to perform emission channeling lattice location experiments in a number of semiconductor and oxide systems of technological relevance: \\\\- The lattice location of the transition metal probes $^{56}$Mn ($\\textit{t}_{1/2}$=2.6 h), $^{59}$Fe (45 d), $^{61}$Co (1.6 h) and $^{65}$Ni (2.5 h) is to be investigated in materials of interest as dilute magnetic semiconductors, such as GaMnAs, GaMnN, GaFeN, AlGaN, SiC, and in a number of oxides that are candidates for “single ion ferromagnetism”, in particular SrTiO$_3$ and LiNbO$_3$.\\\\- The topic of $\\textit{p}$-type doping of nitride semiconductors shall be addressed by studying the lattice sites of the acceptor dopants Mg and Be in GaN and AlN using the short-lived probes $^{27}$Mg (9.5 min) and $^{11}$Be (13.8 s). The aim is to reach a lattice location precision around 0.05 Å in order to provide critical tests for recent theoretical models which e.g. have predicted displacements of the Mg atom from the ideal substitutional Ga and Al sites of the order...

  3. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  4. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    NARCIS (Netherlands)

    Jin, J.W.; Nathan, A.; Barquinha, P.; Pereira, L.; Fortunato, E.; Martins, R.; Cobb, B.

    2016-01-01

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We

  5. Trap state passivation improved hot-carrier instability by zirconium-doping in hafnium oxide in a nanoscale n-metal-oxide semiconductor-field effect transistors with high-k/metal gate

    International Nuclear Information System (INIS)

    Liu, Hsi-Wen; Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Chang, Ting-Chang; Chen, Ching-En; Tseng, Tseung-Yuen; Lin, Chien-Yu; Cheng, Osbert; Huang, Cheng-Tung; Ye, Yi-Han

    2016-01-01

    This work investigates the effect on hot carrier degradation (HCD) of doping zirconium into the hafnium oxide high-k layer in the nanoscale high-k/metal gate n-channel metal-oxide-semiconductor field-effect-transistors. Previous n-metal-oxide semiconductor-field effect transistor studies demonstrated that zirconium-doped hafnium oxide reduces charge trapping and improves positive bias temperature instability. In this work, a clear reduction in HCD is observed with zirconium-doped hafnium oxide because channel hot electron (CHE) trapping in pre-existing high-k bulk defects is the main degradation mechanism. However, this reduced HCD became ineffective at ultra-low temperature, since CHE traps in the deeper bulk defects at ultra-low temperature, while zirconium-doping only passivates shallow bulk defects.

  6. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  7. Method to induce a conductivity type in a semiconductor

    International Nuclear Information System (INIS)

    Aboaf, J.A.; Sedgwick, T.O.

    1977-01-01

    The invention deals with a method in which one can produce a region of a desired type of conductivity in a semiconductor as is required for, e.g., field effect transistors. A metal oxide layer combination consisting of several metal oxides is thus deposited on the semiconductor. This is carried out according to the invention in a non-oxidizing atmosphere at temperatures at which the metal oxides do not diffuse into the semiconductor. The sign and degree of the induced conductivity type is adjusted by dosed depositing of the individual metal oxides related to one another. The gaseous metal oxides due to heating, mixed with a non-oxidizing gas are added in compounds to the semiconductor heated to depositing temperature. These compounds decompose at the depositing temperature into the metal oxide and a gaseous residual component. The semiconductor consists of silicon, and nitrogen is used as carrier gas; when depositing aluminium oxide, gaseous aluminium isopropoxide is added; when depositing silicon dioxide, gaseous tetra-ethyl orthosilicate. (ORU) [de

  8. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  9. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Bratkovsky, A M [Hewlett-Packard Laboratories, 1501 Page Mill Road, MS 1123, Palo Alto, CA 94304 (United States)

    2008-02-15

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  10. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Bratkovsky, A M

    2008-01-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field

  11. Spintronic effects in metallic, semiconductor, metal oxide and metal semiconductor heterostructures

    Science.gov (United States)

    Bratkovsky, A. M.

    2008-02-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  12. Cu2O-based solar cells using oxide semiconductors

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu 2 O heterojunction solar cells fabricated using p-type Cu 2 O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu 2 O sheets under various deposition conditions using a pulsed laser deposition method. In Cu 2 O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa 2 O 4 thin-film layer. In most of the Cu 2 O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga 2 O 3 -Al 2 O 3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (V oc ) were obtained by using a relatively small amount of MgO or Al 2 O 3 , e.g., (ZnO) 0.91 –(MgO) 0.09 and (Ga 2 O 3 ) 0.975 –(Al 2 O 3 ) 0.025 , respectively. When Cu 2 O-based heterojunction solar cells were fabricated using Al 2 O 3 –Ga 2 O 3 –MgO–ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high V oc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu 2 O heterojunction solar cells fabricated using Na-doped Cu 2 O (Cu 2 O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a V oc of 0.84 V were obtained in a MgF 2 /AZO/n-(Ga 2 O 3 –Al 2 O 3 )/p-Cu 2 O:Na heterojunction solar cell fabricated using

  13. Cu2O-based solar cells using oxide semiconductors

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu2O heterojunction solar cells fabricated using p-type Cu2O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu2O sheets under various deposition conditions using a pulsed laser deposition method. In Cu2O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa2O4 thin-film layer. In most of the Cu2O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga2O3-Al2O3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (Voc) were obtained by using a relatively small amount of MgO or Al2O3, e.g., (ZnO)0.91-(MgO)0.09 and (Ga2O3)0.975-(Al2O3)0.025, respectively. When Cu2O-based heterojunction solar cells were fabricated using Al2O3-Ga2O3-MgO-ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high Voc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu2O heterojunction solar cells fabricated using Na-doped Cu2O (Cu2O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a Voc of 0.84 V were obtained in a MgF2/AZO/n-(Ga2O3-Al2O3)/p-Cu2O:Na heterojunction solar cell fabricated using a Cu2O:Na sheet with a resistivity of approximately 10 Ω·cm and a (Ga0.975Al0

  14. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  15. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  16. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  17. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  18. Influence of semiconductor barrier tunneling on the current-voltage characteristics of tunnel metal-oxide-semiconductor diodes

    DEFF Research Database (Denmark)

    Nielsen, Otto M.

    1983-01-01

    of multistep tunneling recombination current and injected minority carrier diffusion current. This can explain the observed values of the diode quality factor n. The results also show that the voltage drop across the oxide Vox is increased with increased NA, with the result that the lowering of the minority...... carrier diode current Jmin is greater than in the usual theory. The conclusion drawn is that the increase in Vox and lowering of Jmin is due to multistep tunneling of majority carriers through the semiconductor barrier. Journal of Applied Physics is copyrighted by The American Institute of Physics.......Current–voltage characteristics have been examined for Al–SiO2–pSi diodes with an interfacial oxide thickness of delta[approximately-equal-to]20 Å. The diodes were fabricated on and oriented substrates with an impurity concentration in the range of NA=1014–1016 cm−3. The results show that for low...

  19. Design of nanophotonic, hot-electron solar-blind ultraviolet detectors with a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Wang, Zhiyuan; Wang, Xiaoxin; Liu, Jifeng

    2014-01-01

    Solar-blind ultraviolet (UV) detection refers to photon detection specifically in the wavelength range of 200 nm–320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. The most commonly used solid state devices for this application are wide band gap (WBG) semiconductor photodetectors (Eg > 3.5 eV). However, WBG semiconductors are difficult to grow and integrate with Si readout integrated circuits (ROICs). In this paper, we design a nanophotonic metal-oxide-semiconductor structure on Si for solar-blind UV detectors. Instead of using semiconductors as the active absorber, we use Sn nano-grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between the metal and the n-type Si region upon UV excitation. Moreover, the transported hot electron has an excess kinetic energy >3 eV, large enough to induce impact ionization and generate another free electron in the conduction band of n-Si. This process doubles the quantum efficiency. On the other hand, the large metal/oxide interfacial energy barrier (>3.5 eV) also enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, ∼75% UV absorption and hot electron excitation can be achieved within the mean free path of ∼20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. The simple geometry of the Sn nano-gratings and the MOS structure make it easy to fabricate and integrate with Si ROICs compared to existing solar-blind UV detection schemes. The presented device structure also breaks through the conventional notion that photon absorption by metal is always a loss in solid-state photodetectors, and it can potentially be extended to other active metal photonic devices. (paper)

  20. Hysteresis phenomena at metal-semiconductor phase transformation in vanadium oxides

    International Nuclear Information System (INIS)

    Lanskaya, T.G.; Merkulov, I.A.; Chudnovski , F.A.

    1978-01-01

    The hysteresis phenomena during the metal-semiconductor phase transformation (MSPT) in vanadium oxides are investigated. It is shown experimentally that the hysteresis effects during MSPT in vanadium oxides are associated not only with the martensite nature of the transformation, but also with activation processes. It is shown that the hysteresis phenomena during MSPT may be described by the distribution function of microregions of the crystal in the phase transformation temperature T 0 and the coercive temperature Tsub(c). An experimental method for constructing this distribution function was worked out. An analysis of the experimental data shows that finely dispersed films are characterized by a wide range of values of T 0 and Tsub(c) (55 deg C 0 <65 deg C, 6 deg C< Tsub(c)<12 deg C). The peculiarities of the optical recording of information on monocrystal and finely dispersed films are considered

  1. Substrate-bias effect on the breakdown characteristic in a new silicon high-voltage device structure

    International Nuclear Information System (INIS)

    Li Qi; Wang Weidong; Zhao Qiuming; Wei Xueming

    2012-01-01

    A novel silicon double-RESURF LDMOS structure with an improved breakdown characteristic by substrate bias technology (SB) is reported. The P-type epitaxial layer is embedded between an N-type drift region and an N-type substrate to block the conduction path in the off-state and change the distributions of the bulk electric field. The substrate bias strengthens the charge share effect of the drift region near the source, and the vertical electric field peak under the drain is decreased, which is especially helpful in improving the vertical breakdown voltage in a lateral power device with a thin drift region. The numerical results by MEDICI indicate that the breakdown voltage of the proposed device is increased by 97% compared with a conventional LDMOS, while maintaining a lowon-resistance. (semiconductor devices)

  2. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  3. Electrosprayed Metal Oxide Semiconductor Films for Sensitive and Selective Detection of Hydrogen Sulfide

    Directory of Open Access Journals (Sweden)

    Maryam Siadat

    2009-11-01

    Full Text Available Semiconductor metal oxide films of copper-doped tin oxide (Cu-SnO2, tungsten oxide (WO3 and indium oxide (In2O3 were deposited on a platinum coated alumina substrate employing the electrostatic spray deposition technique (ESD. The morphology studied with scanning electron microscopy (SEM and atomic force microscopy (AFM shows porous homogeneous films comprising uniformly distributed aggregates of nano particles. The X-ray diffraction technique (XRD proves the formation of crystalline phases with no impurities. Besides, the Raman cartographies provided information about the structural homogeneity. Some of the films are highly sensitive to low concentrations of H2S (10 ppm at low operating temperatures (100 and 200 °C and the best response in terms of Rair/Rgas is given by Cu-SnO2 films (2500 followed by WO3 (1200 and In2O3 (75. Moreover, all the films exhibit no cross-sensitivity to other reducing (SO2 or oxidizing (NO2 gases.

  4. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    Science.gov (United States)

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  5. Modelling of Leakage Current Through Double Dielectric Gate Stack in Metal Oxide Semiconductor Capacitor

    International Nuclear Information System (INIS)

    Fatimah A Noor; Mikrajuddin Abdullah; Sukirno; Khairurrijal

    2008-01-01

    In this paper, we have derived analytical expression of leakage current through double barriers in Metal Oxide Semiconductor (MOS) capacitor. Initially, electron transmittance through the MOS capacitor was derived by including the coupling between the transverse and longitudinal energies. The transmittance was then employed to obtain leakage current through the double barrier. In this model, we observed the effect of electron velocity due to the coupling effect and the oxide thickness to the leakage current. The calculated results showed that the leakage current decreases as the electron velocity increases. (author)

  6. Radiation effects in metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Collins, J.L.

    1987-01-01

    The effects of various radiations on commercially made Al-SiO 2 -Si Capacitors (MOSCs) have been investigated. Intrinsic dielectric breakdown in MOSCs has been shown to be a two-stage process dominated by charge injection in a pre-breakdown stage; this is associated with localised high-field injection of carriers from the semiconductor substrate to interfacial and bulk charge traps which, it is proposed, leads to the formation of conducting channels through the dielectric with breakdown occurring as a result of the dissipation of the conduction band energy. A study of radiation-induced dielectric breakdown has revealed the possibility of anomalous hot-electron injection to an excess of bulk oxide traps in the ionization channel produced by very heavily ionizing radiation, which leads to intrinsic breakdown in high-field stressed devices. This is interpreted in terms of a modified model for radiation-induced dielectric breakdown based upon the primary dependence of breakdown on charge injection rather than high-field mechanisms. A detailed investigation of charge trapping and interface state generation due to various radiations has revealed evidence of neutron induced interface states, and the generation of positive oxide charge in devices due to all the radiations tested. The greater the linear energy transfer of the radiation, the greater the magnitude of charge trapped in the oxide and the number of interface states generated. This is interpreted in terms of Si-H and Si-OH bond-breaking at the Si-SiO 2 interface which is enhanced by charge carrier transfer to the interface and by anomalous charge injection to compensate for the excess of charge carriers created by the radiation. (author)

  7. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.; Lin, Yenhung; Zhao, Kui; Li, Ruipeng; Thomas, Stuart R.; Semple, James; Androulidaki, Maria; Sygellou, Lamprini; McLachlan, Martyn A.; Stratakis, Emmanuel; Amassian, Aram; Anthopoulos, Thomas D.

    2015-01-01

    reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization

  8. Ultrasensitive mass sensor fully integrated with complementary metal-oxide-semiconductor circuitry

    DEFF Research Database (Denmark)

    Forsén, Esko Sebastian; Abadal, G.; Ghatnekar-Nilsson, S.

    2005-01-01

    Nanomechanical resonators have been monolithically integrated on preprocessed complementary metal-oxide-semiconductor (CMOS) chips. Fabricated resonator systems have been designed to have resonance frequencies up to 1.5 MHz. The systems have been characterized in ambient air and vacuum conditions...... and display ultrasensitive mass detection in air. A mass sensitivity of 4 ag/Hz has been determined in air by placing a single glycerine drop, having a measured weight of 57 fg, at the apex of a cantilever and subsequently measuring a frequency shift of 14.8 kHz. CMOS integration enables electrostatic...

  9. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  10. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  11. A divalent rare earth oxide semiconductor: Yttrium monoxide

    Energy Technology Data Exchange (ETDEWEB)

    Kaminaga, Kenichi; Sei, Ryosuke [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Department of Chemistry, Tohoku University, Sendai 980-8578 (Japan); Hayashi, Kouichi [Department of Environmental and Materials Engineering, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Happo, Naohisa [School of Information Sciences, Hiroshima City University, Hiroshima 731-3194 (Japan); Tajiri, Hiroo [Japan Synchrotron Radiation Research Institute (JASRI)/SPring-8, Sayo 679-5198 (Japan); Oka, Daichi; Fukumura, Tomoteru, E-mail: tomoteru.fukumura.e4@tohoku.ac.jp [Department of Chemistry, Tohoku University, Sendai 980-8578 (Japan); Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan)

    2016-03-21

    Rare earth oxides are usually widegap insulators like Y{sub 2}O{sub 3} with closed shell trivalent rare earth ions. In this study, solid phase rock salt structure yttrium monoxide, YO, with unusual valence of Y{sup 2+} (4d{sup 1}) was synthesized in a form of epitaxial thin film by pulsed laser deposition method. YO has been recognized as gaseous phase in previous studies. In contrast with Y{sub 2}O{sub 3}, YO was dark-brown colored and narrow gap semiconductor. The tunable electrical conductivity ranging from 10{sup −1} to 10{sup 3} Ω{sup −1 }cm{sup −1} was attributed to the presence of oxygen vacancies serving as electron donor. Weak antilocalization behavior observed in magnetoresistance indicated significant role of spin-orbit coupling as a manifestation of 4d electron carrier.

  12. Photocatalytic oxidation of organic compounds in a hybrid system composed of a molecular catalyst and visible light-absorbing semiconductor.

    Science.gov (United States)

    Zhou, Xu; Li, Fei; Li, Xiaona; Li, Hua; Wang, Yong; Sun, Licheng

    2015-01-14

    Photocatalytic oxidation of organic compounds proceeded efficiently in a hybrid system with ruthenium aqua complexes as catalysts, BiVO4 as a light absorber, [Co(NH3)5Cl](2+) as a sacrificial electron acceptor and water as an oxygen source. The photogenerated holes in the semiconductor are used to oxidize molecular catalysts into the high-valent Ru(IV)=O intermediates for 2e(-) oxidation.

  13. Direct observation of both contact and remote oxygen scavenging of GeO2 in a metal-oxide-semiconductor stack

    International Nuclear Information System (INIS)

    Fadida, S.; Shekhter, P.; Eizenberg, M.; Cvetko, D.; Floreano, L.; Verdini, A.; Nyns, L.; Van Elshocht, S.; Kymissis, I.

    2014-01-01

    In the path to incorporating Ge based metal-oxide-semiconductor into modern nano-electronics, one of the main issues is the oxide-semiconductor interface quality. Here, the reactivity of Ti on Ge stacks and the scavenging effect of Ti were studied using synchrotron X-ray photoelectron spectroscopy measurements, with an in-situ metal deposition and high resolution transmission electron microscopy imaging. Oxygen removal from the Ge surface was observed both in direct contact as well as remotely through an Al 2 O 3 layer. The scavenging effect was studied in situ at room temperature and after annealing. We find that the reactivity of Ti can be utilized for improved scaling of Ge based devices.

  14. Oxide Ferromagnetic Semiconductors for Spin-Electronic Transprt

    International Nuclear Information System (INIS)

    Pandey, R.K.

    2008-01-01

    The objective of this research was to investigate the viability of oxide magnetic semiconductors as potential materials for spintronics. We identified some members of the solid solution series of ilmenite (FeTiO3) and hematite (Fe2O3), abbreviated as (IH) for simplicity, for our investigations based on their ferromagnetic and semiconducting properties. With this objective in focus we limited our investigations to the following members of the modified Fe-titanates: IH33 (ilmenitehematite with 33 atomic percent hematite), IH45 (ilmenite-hematite with 45 atomic percent hematite), Mn-substituted ilmenite (Mn-FeTiO3), and Mn-substituted pseudobrookite (Mn- Fe2TiO5). All of them are: (1) wide bandgap semiconductors with band gaps ranging in values between 2.5 to 3.5 eV; (2) n-type semiconductors; (3) they exhibit well defined magnetic hysteresis loops and (4) their magnetic Curie points are greater than 400K. Ceramic, film and single crystal samples were studied and based on their properties we produced varistors (also known as voltage dependent resistors) for microelectronic circuit protection from power surges, three-terminal microelectronic devices capable of generating bipolar currents, and an integrated structured device with controlled magnetic switching of spins. Eleven refereed journal papers, three refereed conference papers and three invention disclosures resulted from our investigations. We also presented invited papers in three international conferences and one national conference. Furthermore two students graduated with Ph.D. degrees, three with M.S. degrees and one with B.S. degree. Also two post-doctoral fellows were actively involved in this research. We established the radiation hardness of our devices in collaboration with a colleague in an HBCU institution, at the Cyclotron Center at Texas A and M University, and at DOE National Labs (Los Alamos and Brookhaven). It is to be appreciated that we met most of our goals and expanded vastly the scope of

  15. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  16. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  17. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    Science.gov (United States)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  18. Solar hydrogen production with semiconductor metal oxides: new directions in experiment and theory

    DEFF Research Database (Denmark)

    Valdes, Alvaro; Brillet, Jeremie; Graetzel, Michael

    2012-01-01

    An overview of a collaborative experimental and theoretical effort toward efficient hydrogen production via photoelectrochemical splitting of water into di-hydrogen and di-oxygen is presented here. We present state-of-the-art experimental studies using hematite and TiO2 functionalized with gold n...... nanoparticles as photoanode materials, and theoretical studies on electro and photo-catalysis of water on a range of metal oxide semiconductor materials, including recently developed implementation of self-interaction corrected energy functionals....

  19. Effects of oxide traps, interface traps, and ''border traps'' on metal-oxide-semiconductor devices

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Reber, R.A. Jr.; Meisenheimer, T.L.; Schwank, J.R.; Shaneyfelt, M.R.; Riewe, L.C.

    1993-01-01

    We have identified several features of the 1/f noise and radiation response of metal-oxide-semiconductor (MOS) devices that are difficult to explain with standard defect models. To address this issue, and in response to ambiguities in the literature, we have developed a revised nomenclature for defects in MOS devices that clearly distinguishes the language used to describe the physical location of defects from that used to describe their electrical response. In this nomenclature, ''oxide traps'' are simply defects in the SiO 2 layer of the MOS structure, and ''interface traps'' are defects at the Si/SiO 2 interface. Nothing is presumed about how either type of defect communicates with the underlying Si. Electrically, ''fixed states'' are defined as trap levels that do not communicate with the Si on the time scale of the measurements, but ''switching states'' can exchange charge with the Si. Fixed states presumably are oxide traps in most types of measurements, but switching states can either be interface traps or near-interfacial oxide traps that can communicate with the Si, i.e., ''border traps'' [D. M. Fleetwood, IEEE Trans. Nucl. Sci. NS-39, 269 (1992)]. The effective density of border traps depends on the time scale and bias conditions of the measurements. We show the revised nomenclature can provide focus to discussions of the buildup and annealing of radiation-induced charge in non-radiation-hardened MOS transistors, and to changes in the 1/f noise of MOS devices through irradiation and elevated-temperature annealing

  20. Photochemistry Aspects of the Laser Pyrolysis Addressing the Preparation of Oxide Semiconductor Photocatalysts

    Directory of Open Access Journals (Sweden)

    R. Alexandrescu

    2008-01-01

    Full Text Available The laser pyrolysis is a powerful and a versatile tool for the gas-phase synthesis of nanoparticles. In this paper, some fundamental and applicative characteristics of this technique are outlined and recent results obtained in the preparation of gamma iron oxide (γ-Fe2O3 and titania (TiO2 semiconductor nanostructures are illustrated. Nanosized iron oxide particles (4 to 9 nm diameter values have been directly synthesized by the laser-induced pyrolysis of a mixture containing iron pentacarbonyl/air (as oxidizer/ethylene (as sensitizer. Temperature-dependent Mossbauer spectroscopy shows that mainly maghemite is present in the sample obtained at higher laser power. The use of selected Fe2O3 samples for the preparation of water-dispersed magnetic nanofluids is also discussed. TiO2 nanoparticles comprising a mixture of anatase and rutile phases were synthesized via the laser pyrolysis of TiCl4- (vapors based gas-phase mixtures. High precursor concentration of the oxidizer was found to favor the prevalent anatase phase (about 90% in the titania nanopowders.

  1. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  2. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    Institute of Scientific and Technical Information of China (English)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (Ron,sp),whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region,is proposed.The theoretical limit of its Ron,sp is deduced,the influence of structure parameters on the breakdown voltage (BV) and Ron,sp are investigated,and the optimized results with BV of 83 V and Ron,sp of 54 mΩ.mm2 are obtained.Simulations show that the inhomogencous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET)has a superior “Ron,sp/BV” trade-off to the conventional VDMOS (a 38% reduction of Ron,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of Ron,sp with the same BV).The inhomogeneous-floatingislands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET.Its reverse recovery peak current,reverse recovery time and reverse recovery charge are about 50,80 and 40% of those of the superjunction MOSFET,respectively.

  3. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Directory of Open Access Journals (Sweden)

    Jong Woo Jin

    2016-08-01

    Full Text Available Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative VTH shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H2O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  4. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Jong Woo [LPICM, CNRS, Ecole Polytechnique, Université Paris Saclay, 91128, Palaiseau (France); Nathan, Arokia, E-mail: an299@cam.ac.uk [Engineering Department, University of Cambridge, Cambridge, CB3 0FA (United Kingdom); Barquinha, Pedro; Pereira, Luís; Fortunato, Elvira; Martins, Rodrigo [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Cobb, Brian [Holst Centre/TNO, Eindhoven, 5656 AE (Netherlands)

    2016-08-15

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (V{sub TH}) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative V{sub TH} shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H{sub 2}O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  5. Local anodic oxidation by AFM tip developed for novel semiconductor nanodevices

    International Nuclear Information System (INIS)

    Cambel, Vladimir; Martaus, Jozef; Soltys, Jan; Kudela, Robert; Gregusova, Dagmar

    2008-01-01

    The local anodic oxidation (LAO) by the tip of atomic force microscope (AFM) is used for fabrication of nanometer-scaled structures and devices. We study the technology of LAO applied to semiconductor heterostructures, theoretically and experimentally as well. The goal is to improve the LAO process itself, i.e., to create narrow LAO lines that form high-energy barriers in the plane with the 2D electron gas. In the first part we show the electric field distribution in the system tip-sample during LAO. For samples with low-conductive cap layer the maximum electric field is shifted apart the tip apex, which leads to wide oxide lines. Our Monte Carlo (MC) calculations show how the height of the energy barrier in the system depends on the geometry of the created lines (trenches), and on voltage applied to the structure. Based on the calculations, we have proposed a novel LAO technology and applied it to InGaP/AlGaAs/GaAs heterostructure with doping layer only 6 nm beneath the surface. The doping layer can be oxidized easily by the AFM tip in this case, and the oxide objects can be removed by several etchants. This approach to the LAO technology leads to narrow LAO trenches (∼60 nm) and to energy barriers high enough for room- and low-temperature applications

  6. New approach to local anodic oxidation of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Martaus, Jozef; Gregusova, Dagmar; Cambel, Vladimir; Kudela, Robert; Soltys, Jan

    2008-01-01

    We have experimentally explored a new approach to local anodic oxidation (LAO) of a semiconductor heterostructures by means of atomic force microscopy (AFM). We have applied LAO to an InGaP/AlGaAs/GaAs heterostructure. Although LAO is usually applied to oxidize GaAs/AlGaAs/GaAs-based heterostructures, the use of the InGaP/AlGaAs/GaAs system is more advantageous. The difference lies in the use of different cap layer materials: Unlike GaAs, InGaP acts like a barrier material with respect to the underlying AlGaAs layer and has almost one order of magnitude lower density of surface states than GaAs. Consequently, the InGaP/AlGaAs/GaAs heterostructure had the remote Si-δ doping layer only 6.5 nm beneath the surface and the two-dimensional electron gas (2DEG) was confined only 23.5 nm beneath the surface. Moreover, InGaP unaffected by LAO is a very durable material in various etchants and allows us to repeatedly remove thin portions of the underlying AlGaAs layer via wet etching. This approach influences LAO technology fundamentally: LAO was used only to oxidize InGaP cap layer to define very narrow (∼50 nm) patterns. Subsequent wet etching was used to form very narrow and high-energy barriers in the 2DEG patterns. This new approach is promising for the development of future nano-devices operated both at low and high temperatures

  7. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    Science.gov (United States)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  8. Optically induced bistable states in metal/tunnel-oxide/semiconductor /MTOS/ junctions

    Science.gov (United States)

    Lai, S. K.; Dressendorfer, P. V.; Ma, T. P.; Barker, R. C.

    1981-01-01

    A new switching phenomenon in metal-oxide semiconductor tunnel junction has been discovered. With a sufficiently large negative bias applied to the electrode, incident visible light of intensity greater than about 1 microW/sq cm causes the reverse-biased junction to switch from a low-current to a high-current state. It is believed that hot-electron-induced impact ionization provides the positive feedback necessary for switching, and causes the junction to remain in its high-current state after the optical excitation is removed. The junction may be switched back to the low-current state electrically. The basic junction characteristics have been measured, and a simple model for the switching phenomenon has been developed.

  9. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  10. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  11. Feigenbaum scenario in the dynamics of a metal-oxide semiconductor heterostructure under harmonic perturbation. Golden mean criticality

    International Nuclear Information System (INIS)

    Cristescu, C.P.; Mereu, B.; Stan, Cristina; Agop, M.

    2009-01-01

    Experimental investigations and theoretical analysis on the dynamics of a metal-oxide semiconductor heterostructure used as nonlinear capacity in a series RLC electric circuit are presented. A harmonic voltage perturbation can induce various nonlinear behaviours, particularly evolution to chaos by period doubling and torus destabilization. In this work we focus on the change in dynamics induced by a sinusoidal driving with constant frequency and variable amplitude. Theoretical treatment based on the microscopic mechanisms involved led us to a dynamic system with a piecewise behaviour. Consequently, a model consisting of a nonlinear oscillator described by a piecewise second order ordinary differential equation is proposed. This kind of treatment is required by the asymmetry in the behaviour of the metal-oxide semiconductor with respect to the polarization of the perturbing voltage. The dynamics of the theoretical model is in good agreement with the experimental results. A connection with El Naschie's E-infinity space-time is established based on the interpretation of our experimental results as evidence of the importance of the golden mean criticality in the microscopic world.

  12. A comparative study of semiconductor-based plasmonic metamaterials

    DEFF Research Database (Denmark)

    Naik, Gururaj V.; Boltasseva, Alexandra

    2011-01-01

    and very large negative real permittivity values, and in addition, their optical properties cannot be tuned. These issues that put severe constraints on the device applications of MMs could be overcome if semiconductors are used as plasmonic materials instead of metals. Heavily doped, wide bandgap oxide...... semiconductors could exhibit both a small negative real permittivity and relatively small losses in the NIR. Heavily doped oxides of zinc and indium were already reported to be good, low loss alternatives to metals in the NIR range. Here, we consider these transparent conducting oxides (TCOs) as alternative...

  13. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    International Nuclear Information System (INIS)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (R on,sp ), whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region, is proposed. The theoretical limit of its R on,sp is deduced, the influence of structure parameters on the breakdown voltage (BV) and R on,sp are investigated, and the optimized results with BV of 83 V and R on,sp of 54 mΩ·mm 2 are obtained. Simulations show that the inhomogeneous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET) has a superior 'R on,sp /BV' trade-off to the conventional VDMOS (a 38% reduction of R on,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of R on,sp with the same BV). The inhomogeneous-floating-islands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET. Its reverse recovery peak current, reverse recovery time and reverse recovery charge are about 50, 80 and 40% of those of the superjunction MOSFET, respectively. (interdisciplinary physics and related areas of science and technology)

  14. Oxidized Mn:Ge magnetic semiconductor: Observation of anomalous Hall effect and large magnetoresistance

    Science.gov (United States)

    Duc Dung, Dang; Choi, Jiyoun; Feng, Wuwei; Cao Khang, Nguyen; Cho, Sunglae

    2018-03-01

    We report on the structural and magneto-transport properties of the as-grown and oxidized Mn:Ge magnetic semiconductors. Based on X-ray diffraction and X-ray photoelectron spectroscopy results, the samples annealed at 650 and 700 °C became fully oxidized and the chemical binding energies of Mn was found to be Mn3O4. Thus, the system became Mn3O4 clusters embedded in Ge1-yOy. The as-grown sample showed positive linear Hall effect and negligible negative magnetoresistance (MR), which trend remained for the sample annealed up to 550 °C. Interestingly, for the samples annealed at above 650 °C, we observed the anomalous Hall effect around 45 K and the giant positive MR, which are respectively 59.2% and 78.5% at 7 kOe annealed at 650 °C and 700 °C.

  15. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    Science.gov (United States)

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  16. Highly stable and imperceptible electronics utilizing photoactivated heterogeneous sol-gel metal-oxide dielectrics and semiconductors.

    Science.gov (United States)

    Jo, Jeong-Wan; Kim, Jaekyun; Kim, Kyung-Tae; Kang, Jin-Gu; Kim, Myung-Gil; Kim, Kwang-Ho; Ko, Hyungduk; Kim, Jiwan; Kim, Yong-Hoon; Park, Sung Kyu

    2015-02-18

    Incorporation of Zr into an AlOx matrix generates an intrinsically activated ZAO surface enabling the formation of a stable semiconducting IGZO film and good interfacial properties. Photochemically annealed metal-oxide devices and circuits with the optimized sol-gel ZAO dielectric and IGZO semiconductor layers demonstrate the high performance and electrically/mechanically stable operation of flexible electronics fabricated via a low-temperature solution process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    Science.gov (United States)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  18. Electrical memory features of ferromagnetic CoFeAlSi nano-particles embedded in metal-oxide-semiconductor matrix

    International Nuclear Information System (INIS)

    Lee, Ja Bin; Kim, Ki Woong; Lee, Jun Seok; An, Gwang Guk; Hong, Jin Pyo

    2011-01-01

    Half-metallic Heusler material Co 2 FeAl 0.5 Si 0.5 (CFAS) nano-particles (NPs) embedded in metal-oxide-semiconductor (MOS) structures with thin HfO 2 tunneling and MgO control oxides were investigated. The CFAS NPs were prepared by rapid thermal annealing. The formation of well-controlled CFAS NPs on thin HfO 2 tunneling oxide was confirmed by atomic force microscopy (AFM). Memory characteristics of CFAS NPs in MOS devices exhibited a large memory window of 4.65 V, as well as good retention and endurance times of 10 5 cycles and 10 9 s, respectively, demonstrating the potential of CFAS NPs as promising candidates for use in charge storage.

  19. Stannic Oxide-Titanium Dioxide Coupled Semiconductor Photocatalyst Loaded with Polyaniline for Enhanced Photocatalytic Oxidation of 1-Octene

    Directory of Open Access Journals (Sweden)

    Hadi Nur

    2007-01-01

    Full Text Available Stannic oxide-titanium dioxide (SnO2–TiO2 coupled semiconductor photocatalyst loaded with polyaniline (PANI, a conducting polymer, possesses a high photocatalytic activity in oxidation of 1-octene to 1,2-epoxyoctane with aqueous hydrogen peroxide. The photocatalyst was prepared by impregnation of SnO2 and followed by attachment of PANI onto a TiO2 powder to give sample PANI-SnO2–TiO2. The electrical conductivity of the system becomes high in the presence of PANI. Enhanced photocatalytic activity was observed in the case of PANI-SnO2–TiO2 compared to PANI-TiO2, SnO2–TiO2, and TiO2. A higher photocatalytic activity in the oxidation of 1-octene on PANI-SnO2–TiO2 than SnO2–TiO2, PANI-TiO2, and TiO2 can be considered as an evidence of enhanced charge separation of PANI-SnO2–TiO2 photocatalyst as confirmed by photoluminescence spectroscopy. It suggests that photoinjected electrons are tunneled from TiO2 to SnO2 and then to PANI in order to allow wider separation of excited carriers.

  20. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  1. Scheme for the fabrication of ultrashort channel metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Appenzeller, J.; Martel, R.; Solomon, P.; Chan, K.; Avouris, Ph.; Knoch, J.; Benedict, J.; Tanner, M.; Thomas, S.; Wang, K. L.

    2000-01-01

    We present a scheme for the fabrication of ultrashort channel length metal-oxide-semiconductor field-effect transistors (MOSFETs) involving nanolithography and molecular-beam epitaxy. The active channel is undoped and is defined by a combination of nanometer-scale patterning and anisotropic etching of an n ++ layer grown on a silicon on insulator wafer. The method is self-limiting and can produce MOSFET devices with channel lengths of less than 10 nm. Measurements on the first batch of n-MOSFET devices fabricated with this approach show very good output characteristics and good control of short-channel effects. (c) 2000 American Institute of Physics

  2. Long-term research in Japan: amorphous metals, metal oxide varistors, high-power semiconductors and superconducting generators

    Energy Technology Data Exchange (ETDEWEB)

    Hane, G.J.; Yorozu, M.; Sogabe, T.; Suzuki, S.

    1985-04-01

    The review revealed that significant activity is under way in the research of amorphous metals, but that little fundamental work is being pursued on metal oxide varistors and high-power semiconductors. Also, the investigation of long-term research program plans for superconducting generators reveals that activity is at a low level, pending the recommendations of a study currently being conducted through Japan's Central Electric Power Council.

  3. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  4. Controlling the interface charge density in GaN-based metal-oxide-semiconductor heterostructures by plasma oxidation of metal layers

    International Nuclear Information System (INIS)

    Hahn, Herwig; Kalisch, Holger; Vescan, Andrei; Pécz, Béla; Kovács, András; Heuken, Michael

    2015-01-01

    In recent years, investigating and engineering the oxide-semiconductor interface in GaN-based devices has come into focus. This has been driven by a large effort to increase the gate robustness and to obtain enhancement mode transistors. Since it has been shown that deep interface states act as fixed interface charge in the typical transistor operating regime, it appears desirable to intentionally incorporate negative interface charge, and thus, to allow for a positive shift in threshold voltage of transistors to realise enhancement mode behaviour. A rather new approach to obtain such negative charge is the plasma-oxidation of thin metal layers. In this study, we present transmission electron microscopy and energy dispersive X-ray spectroscopy analysis as well as electrical data for Al-, Ti-, and Zr-based thin oxide films on a GaN-based heterostructure. It is shown that the plasma-oxidised layers have a polycrystalline morphology. An interfacial amorphous oxide layer is only detectable in the case of Zr. In addition, all films exhibit net negative charge with varying densities. The Zr layer is providing a negative interface charge density of more than 1 × 10 13  cm –2 allowing to considerably shift the threshold voltage to more positive values

  5. Iron oxide-mediated semiconductor photocatalysis vs. heterogeneous photo-Fenton treatment of viruses in wastewater. Impact of the oxide particle size.

    Science.gov (United States)

    Giannakis, Stefanos; Liu, Siting; Carratalà, Anna; Rtimi, Sami; Talebi Amiri, Masoud; Bensimon, Michaël; Pulgarin, César

    2017-10-05

    The photo-Fenton process is recognized as a promising technique towards microorganism disinfection in wastewater, but its efficiency is hampered at near-neutral pH operating values. In this work, we overcome these obstacles by using the heterogeneous photo-Fenton process as the default disinfecting technique, targeting MS2 coliphage in wastewater. The use of low concentrations of iron oxides in wastewater without H 2 O 2 (wüstite, maghemite, magnetite) has demonstrated limited semiconductor-mediated MS2 inactivation. Changing the operational pH and the size of the oxide particles indicated that the isoelectric point of the iron oxides and the active surface area are crucial in the success of the process, and the possible underlying mechanisms are investigated. Furthermore, the addition of low amounts of Fe-oxides (1mgL -1 ) and H 2 O 2 in the system (1, 5 and 10mgL -1 ) greatly enhanced the inactivation process, leading to heterogeneous photo-Fenton processes on the surface of the magnetically separable oxides used. Additionally, photo-dissolution of iron in the bulk, lead to homogeneous photo-Fenton, further aided by the complexation by the dissolved organic matter in the solution. Finally, we assess the impact of the presence of the bacterial host and the difference caused by the different iron sources (salts, oxides) and the Fe-oxide size (normal, nano-sized). Copyright © 2017 Elsevier B.V. All rights reserved.

  6. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    Science.gov (United States)

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  7. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  8. Empirical study of the metal-nitride-oxide-semiconductor device characteristics deduced from a microscopic model of memory traps

    International Nuclear Information System (INIS)

    Ngai, K.L.; Hsia, Y.

    1982-01-01

    A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features

  9. Chip-scale fluorescence microscope based on a silo-filter complementary metal-oxide semiconductor image sensor.

    Science.gov (United States)

    Ah Lee, Seung; Ou, Xiaoze; Lee, J Eugene; Yang, Changhuei

    2013-06-01

    We demonstrate a silo-filter (SF) complementary metal-oxide semiconductor (CMOS) image sensor for a chip-scale fluorescence microscope. The extruded pixel design with metal walls between neighboring pixels guides fluorescence emission through the thick absorptive filter to the photodiode of a pixel. Our prototype device achieves 13 μm resolution over a wide field of view (4.8 mm × 4.4 mm). We demonstrate bright-field and fluorescence longitudinal imaging of living cells in a compact, low-cost configuration.

  10. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  11. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  12. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    Energy Technology Data Exchange (ETDEWEB)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun; Sonde, Sushant; Banerjee, Sanjay K. [Department of Electrical and Computer Engineering, Microelectronics Research Center, The University of Texas at Austin, 10100 Burnet Road, Austin, Texas 78758 (United States); Kwon, Hyuk-Min [SK Hynix, Icheon, 2091, Gyeongchung-daero, Bubal-eub, Icheon-si, Gyeonggi-do 136-1 (Korea, Republic of); Orzali, Tommaso; Kim, Tae-Woo, E-mail: twkim78@gmail.com [SEMATECH Inc., 257 Fuller Rd #2200, Albany, New York 12203 (United States); Kim, Dae-Hyun [Kyungpook National University, 80, Daehak-ro, Buk-gu, Daegu 702-701 (Korea, Republic of)

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}), which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.

  13. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors

    Directory of Open Access Journals (Sweden)

    Jiangwei Liu

    2018-06-01

    Full Text Available Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high-k oxides on hydrogenated-diamond (H-diamond for metal-oxide-semiconductor (MOS capacitors and MOS field-effect transistors (MOSFETs is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High-k oxide insulators are deposited using atomic layer deposition (ALD and sputtering deposition (SD techniques. Electrical properties of the H-diamond MOS capacitors with high-k oxides of ALD-Al2O3, ALD-HfO2, ALD-HfO2/ALD-Al2O3 multilayer, SD-HfO2/ALD-HfO2 bilayer, SD-TiO2/ALD-Al2O3 bilayer, and ALD-TiO2/ALD-Al2O3 bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al2O3/H-diamond and SD-HfO2/ALD-HfO2/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO2/ALD-Al2O3 bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p-type channel characteristics for the ALD-Al2O3/H-diamond, SD-HfO2/ALD-HfO2/H-diamond, and ALD-TiO2/ALD-Al2O3/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high-k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  14. Single photon sources in 4H-SiC metal-oxide-semiconductor field-effect transistors

    Science.gov (United States)

    Abe, Y.; Umeda, T.; Okamoto, M.; Kosugi, R.; Harada, S.; Haruyama, M.; Kada, W.; Hanaizumi, O.; Onoda, S.; Ohshima, T.

    2018-01-01

    We present single photon sources (SPSs) embedded in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). They are formed in the SiC/SiO2 interface regions of wet-oxidation C-face 4H-SiC MOSFETs and were not found in other C-face and Si-face MOSFETs. Their bright room-temperature photoluminescence (PL) was observed in the range from 550 to 750 nm and revealed variable multi-peak structures as well as variable peak shifts. We characterized a wide variety of their PL spectra as the inevitable variation of local atomic structures at the interface. Their polarization dependence indicates that they are formed at the SiC side of the interface. We also demonstrate that it is possible to switch on/off the SPSs by a bias voltage of the MOSFET.

  15. Miniature semiconductor detectors for in vivo dosimetry

    International Nuclear Information System (INIS)

    Rosenfeld, A. B.; Cutajar, D.; Lerch, M. L. F.; Takacs, G.; Cornelius, I. M.; Yudelev, M.; Zaider, M.

    2006-01-01

    Silicon mini-semiconductor detectors are found in wide applications for in vivo personal dosimetry and dosimetry and Micro-dosimetry of different radiation oncology modalities. These applications are based on integral and spectroscopy modes of metal oxide semiconductor field effect transistor and silicon p-n junction detectors. The advantages and limitations of each are discussed. (authors)

  16. The role of metallic impurities in oxide semiconductors: first-principles calculations and PAC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Errico, L.A.; Fabricius, G.; Renteria, M. [Departamento de Fisica, Facultad de Ciencias Exactas, Universidad Nacional de La Plata, CC 67, 1900 La Plata (Argentina)

    2004-08-01

    We report an ab-initio comparative study of the electric-field-gradient tensor (EFG) and structural relaxations introduced by acceptor (Cd) and donor (Ta) impurities when they replace cations in a series of binary oxides: TiO{sub 2}, SnO{sub 2}, and In{sub 2}O{sub 3}. Calculations were performed with the Full-Potential Linearized-Augmented Plane Waves method that allows us to treat the electronic structure and the atomic relaxations in a fully self-consistent way. We considered different charge states for each impurity and studied the dependence on these charge states of the electronic properties and the structural relaxations. Our results are compared with available data coming from PAC experiments and previous calculations, allowing us to obtain a new insight on the role that metal impurities play in oxide semiconductors. It is clear from our results that simple models can not describe the measured EFGs at impurities in oxides even approximately. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. The origin of magnetism in anatase Co-doped TiO2 magnetic semiconductors

    NARCIS (Netherlands)

    Lee, Y.J.

    2010-01-01

    Dilute magnetic semiconductors (DMS) can be tailored by doping a small amount of elements containing a magnetic moment into host semiconductors, which leads to a new class of semiconductors with the functionality of tunable magnetic properties. Recently, oxide semiconductors have attained interests

  18. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.

  19. Memory characteristics of Au nanocrystals embedded in metal-oxide-semiconductor structure by using atomic-layer-deposited Al2O3 as control oxide

    International Nuclear Information System (INIS)

    Wang, C.-C.; Chiou, Y.-K.; Chang, C.-H.; Tseng, J.-Y.; Wu, L.-J.; Chen, C.-Y.; Wu, T.-B.

    2007-01-01

    The nonvolatile memory characteristics of metal-oxide-semiconductor (MOS) structures containing Au nanocrystals in the Al 2 O 3 /SiO 2 matrix were studied. In this work, we have demonstrated that the use of Al 2 O 3 as control oxide prepared by atomic-layer-deposition enhances the erase speed of the MOS capacitors. A giant capacitance-voltage hysteresis loop and a very short erase time which is lower than 1 ms can be obtained. Compared with the conventional floating-gate electrically erasable programmable read-only memories, the erase speed was promoted drastically. In addition, very low leakage current and large turn-around voltage resulting from electrons or holes stored in the Au nanocrystals were found in the current-voltage relation of the MOS capacitors

  20. Semiconductor composition containing iron, dysprosium, and terbium

    Science.gov (United States)

    Pooser, Raphael C.; Lawrie, Benjamin J.; Baddorf, Arthur P.; Malasi, Abhinav; Taz, Humaira; Farah, Annettee E.; Kalyanaraman, Ramakrishnan; Duscher, Gerd Josef Mansfred; Patel, Maulik K.

    2017-09-26

    An amorphous semiconductor composition includes 1 to 70 atomic percent iron, 15 to 65 atomic percent dysprosium, 15 to 35 atomic percent terbium, balance X, wherein X is at least one of an oxidizing element and a reducing element. The composition has an essentially amorphous microstructure, an optical transmittance of at least 50% in at least the visible spectrum and semiconductor electrical properties.

  1. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  2. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  3. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  4. A divalent rare earth oxide semiconductor: Yttrium monoxide

    Science.gov (United States)

    Kaminaga, Kenichi; Sei, Ryosuke; Hayashi, Kouichi; Happo, Naohisa; Tajiri, Hiroo; Oka, Daichi; Fukumura, Tomoteru; Hasegawa, Tetsuya

    Rare earth sesquioxides like Y2O3 are known as widegap insulators with the highly stable closed shell trivalent rare earth ions. On the other hand, rare earth monoxides such as YO have been recognized as gaseous phase, and only EuO and YbO were thermodynamically stable solid-phase rock salt monoxides. In this study, solid-phase rock salt yttrium monoxide, YO, was synthesized in a form of epitaxial thin film by pulsed laser deposition method. YO possesses unusual valence of Y2+ ([Kr] 4d1) . In contrast with Y2O3, YO was narrow gap semiconductor with dark-brown color. The electrical conductivity was tunable from 10-1 to 103 Ω-1 cm-1 by introducing oxygen vacancies as electron donor. Weak antilocalization behavior was observed indicating significant spin-orbit coupling owing to 4 d electron carrier. The absorption spectral shape implies the Mott-Hubbard insulator character of YO. Rare earth monoixdes will be new platform of functional oxides. This work was supported by JST-CREST, the Japan Society for the Promotion of Science (JSPS) with Grant-in-Aid for Scientific Research on Innovative Areas (Nos. 26105002 and 26105006), and Nanotechnology Platform (Project No.12024046) of MEXT, Japan.

  5. Effective dose assessment in the maxillofacial region using thermoluminescent (TLD) and metal oxide semiconductor field-effect transistor (MOSFET) dosemeters: a comparative study

    NARCIS (Netherlands)

    Koivisto, J.; Schulze, D.; Wolff, J.E.H.; Rottke, D.

    2014-01-01

    Objectives: The objective of this study was to compare the performance of metal oxide semiconductor field-effect transistor (MOSFET) technology dosemeters with thermoluminescent dosemeters (TLDs) (TLD 100; Thermo Fisher Scientific, Waltham, MA) in the maxillofacial area. Methods: Organ and effective

  6. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  7. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  8. Plasmonic doped semiconductor nanocrystals: Properties, fabrication, applications and perspectives

    Science.gov (United States)

    Kriegel, Ilka; Scotognella, Francesco; Manna, Liberato

    2017-02-01

    Degenerately doped semiconductor nanocrystals (NCs) are of recent interest to the NC community due to their tunable localized surface plasmon resonances (LSPRs) in the near infrared (NIR). The high level of doping in such materials with carrier densities in the range of 1021cm-3 leads to degeneracy of the doping levels and intense plasmonic absorption in the NIR. The lower carrier density in degenerately doped semiconductor NCs compared to noble metals enables LSPR tuning over a wide spectral range, since even a minor change of the carrier density strongly affects the spectral position of the LSPR. Two classes of degenerate semiconductors are most relevant in this respect: impurity doped semiconductors, such as metal oxides, and vacancy doped semiconductors, such as copper chalcogenides. In the latter it is the density of copper vacancies that controls the carrier concentration, while in the former the introduction of impurity atoms adds carriers to the system. LSPR tuning in vacancy doped semiconductor NCs such as copper chalcogenides occurs by chemically controlling the copper vacancy density. This goes in hand with complex structural modifications of the copper chalcogenide crystal lattice. In contrast the LSPR of degenerately doped metal oxide NCs is modified by varying the doping concentration or by the choice of host and dopant atoms, but also through the addition of capacitive charge carriers to the conduction band of the metal oxide upon post-synthetic treatments, such as by electrochemical- or photodoping. The NIR LSPRs and the option of their spectral fine-tuning make accessible important new features, such as the controlled coupling of the LSPR to other physical signatures or the enhancement of optical signals in the NIR, sensing application by LSPR tracking, energy production from the NIR plasmon resonance or bio-medical applications in the biological window. In this review we highlight the recent advances in the synthesis of various different plasmonic

  9. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  10. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    Science.gov (United States)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  11. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Leung, T.C.; Lynn, K.G.; Nielsen, B.; Forcier, M.P.; Weinberg, Z.A.; Rubloff, G.W.

    1992-01-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions

  12. Tantalum-based semiconductors for solar water splitting.

    Science.gov (United States)

    Zhang, Peng; Zhang, Jijie; Gong, Jinlong

    2014-07-07

    Solar energy utilization is one of the most promising solutions for the energy crises. Among all the possible means to make use of solar energy, solar water splitting is remarkable since it can accomplish the conversion of solar energy into chemical energy. The produced hydrogen is clean and sustainable which could be used in various areas. For the past decades, numerous efforts have been put into this research area with many important achievements. Improving the overall efficiency and stability of semiconductor photocatalysts are the research focuses for the solar water splitting. Tantalum-based semiconductors, including tantalum oxide, tantalate and tantalum (oxy)nitride, are among the most important photocatalysts. Tantalum oxide has the band gap energy that is suitable for the overall solar water splitting. The more negative conduction band minimum of tantalum oxide provides photogenerated electrons with higher potential for the hydrogen generation reaction. Tantalates, with tunable compositions, show high activities owning to their layered perovskite structure. (Oxy)nitrides, especially TaON and Ta3N5, have small band gaps to respond to visible-light, whereas they can still realize overall solar water splitting with the proper positions of conduction band minimum and valence band maximum. This review describes recent progress regarding the improvement of photocatalytic activities of tantalum-based semiconductors. Basic concepts and principles of solar water splitting will be discussed in the introduction section, followed by the three main categories regarding to the different types of tantalum-based semiconductors. In each category, synthetic methodologies, influencing factors on the photocatalytic activities, strategies to enhance the efficiencies of photocatalysts and morphology control of tantalum-based materials will be discussed in detail. Future directions to further explore the research area of tantalum-based semiconductors for solar water splitting

  13. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  14. Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors with sulfur passivation

    International Nuclear Information System (INIS)

    Tan Zhen; Zhao Lian-Feng; Wang Jing; Xu Jun

    2014-01-01

    Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors (MOSCAPs) with sulfur passivation were investigated and the chemical mechanisms of the sulfur passivation process were carefully studied. It was shown that the sulfur passivation treatment could reduce the interface trap density D it of the HfAlO/GaSb interface by 35% and reduce the equivalent oxide thickness (EOT) from 8 nm to 4 nm. The improved properties are due to the removal of the native oxide layer, as was proven by x-ray photoelectron spectroscopy measurements and high-resolution cross-sectional transmission electron microscopy (HRXTEM) results. It was also found that GaSb-based MOSCAPs with HfAlO gate dielectrics have interfacial properties superior to those using HfO 2 or Al 2 O 3 dielectric layers. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  15. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  16. Molecular coatings of nitride semiconductors for optoelectronics, electronics, and solar energy harvesting

    KAUST Repository

    Ng, Tien Khee; Zhao, Chao; Priante, Davide; Ooi, Boon S.; Hussein, Mohamed Ebaid Abdrabou

    2018-01-01

    Gallium nitride based semiconductors are provided having one or more passivated surfaces. The surfaces can have a plurality of thiol compounds attached thereto for enhancement of optoelectronic properties and/or solar water splitting properties. The surfaces can also include wherein the surface has been treated with chemical solution for native oxide removal and / or wherein the surface has attached thereto a plurality of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof to create a treated surface for enhancement of optoelectronic properties and / or solar water splitting properties. Methods of making the gallium nitride based semiconductors are also provided. Methods can include cleaning a native surface of a gallium nitride semiconductor to produce a cleaned surface, etching the cleaned surface to remove oxide layers on the surface, and applying single or multiple coatings of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof attached to the surface.

  17. Molecular coatings of nitride semiconductors for optoelectronics, electronics, and solar energy harvesting

    KAUST Repository

    Ng, Tien Khee

    2018-02-01

    Gallium nitride based semiconductors are provided having one or more passivated surfaces. The surfaces can have a plurality of thiol compounds attached thereto for enhancement of optoelectronic properties and/or solar water splitting properties. The surfaces can also include wherein the surface has been treated with chemical solution for native oxide removal and / or wherein the surface has attached thereto a plurality of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof to create a treated surface for enhancement of optoelectronic properties and / or solar water splitting properties. Methods of making the gallium nitride based semiconductors are also provided. Methods can include cleaning a native surface of a gallium nitride semiconductor to produce a cleaned surface, etching the cleaned surface to remove oxide layers on the surface, and applying single or multiple coatings of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof attached to the surface.

  18. Superconductivity in doped semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bustarret, E., E-mail: Etienne.bustarret@neel.cnrs.fr

    2015-07-15

    A historical survey of the main normal and superconducting state properties of several semiconductors doped into superconductivity is proposed. This class of materials includes selenides, tellurides, oxides and column-IV semiconductors. Most of the experimental data point to a weak coupling pairing mechanism, probably phonon-mediated in the case of diamond, but probably not in the case of strontium titanate, these being the most intensively studied materials over the last decade. Despite promising theoretical predictions based on a conventional mechanism, the occurrence of critical temperatures significantly higher than 10 K has not been yet verified. However, the class provides an enticing playground for testing theories and devices alike.

  19. Transparent p-type SnO nanowires with unprecedented hole mobility among oxide semiconductors

    KAUST Repository

    Caraveo-Frescas, J. A.

    2013-11-25

    p-type tin monoxide (SnO) nanowire field-effect transistors with stable enhancement mode behavior and record performance are demonstrated at 160 °C. The nanowire transistors exhibit the highest field-effect hole mobility (10.83 cm2 V−1 s−1) of any p-type oxide semiconductor processed at similar temperature. Compared to thin film transistors, the SnO nanowire transistors exhibit five times higher mobility and one order of magnitude lower subthreshold swing. The SnO nanowire transistors show three times lower threshold voltages (−1 V) than the best reported SnO thin film transistors and fifteen times smaller than p-type Cu 2O nanowire transistors. Gate dielectric and process temperature are critical to achieving such performance.

  20. DNA-decorated carbon-nanotube-based chemical sensors on complementary metal oxide semiconductor circuitry

    International Nuclear Information System (INIS)

    Chen, Chia-Ling; Yang, Chih-Feng; Dokmeci, Mehmet R; Agarwal, Vinay; Sonkusale, Sameer; Kim, Taehoon; Busnaina, Ahmed; Chen, Michelle

    2010-01-01

    We present integration of single-stranded DNA (ss-DNA)-decorated single-walled carbon nanotubes (SWNTs) onto complementary metal oxide semiconductor (CMOS) circuitry as nanoscale chemical sensors. SWNTs were assembled onto CMOS circuitry via a low voltage dielectrophoretic (DEP) process. Besides, bare SWNTs are reported to be sensitive to various chemicals, and functionalization of SWNTs with biomolecular complexes further enhances the sensing specificity and sensitivity. After decorating ss-DNA on SWNTs, we have found that the sensing response of the gas sensor was enhanced (up to ∼ 300% and ∼ 250% for methanol vapor and isopropanol alcohol vapor, respectively) compared with bare SWNTs. The SWNTs coupled with ss-DNA and their integration on CMOS circuitry demonstrates a step towards realizing ultra-sensitive electronic nose applications.

  1. Analysis of fluctuations in semiconductor devices

    Science.gov (United States)

    Andrei, Petru

    The random nature of ion implantation and diffusion processes as well as inevitable tolerances in fabrication result in random fluctuations of doping concentrations and oxide thickness in semiconductor devices. These fluctuations are especially pronounced in ultrasmall (nanoscale) semiconductor devices when the spatial scale of doping and oxide thickness variations become comparable with the geometric dimensions of devices. In the dissertation, the effects of these fluctuations on device characteristics are analyzed by using a new technique for the analysis of random doping and oxide thickness induced fluctuations. This technique is universal in nature in the sense that it is applicable to any transport model (drift-diffusion, semiclassical transport, quantum transport etc.) and it can be naturally extended to take into account random fluctuations of the oxide (trapped) charges and channel length. The technique is based on linearization of the transport equations with respect to the fluctuating quantities. It is computationally much (a few orders of magnitude) more efficient than the traditional Monte-Carlo approach and it yields information on the sensitivity of fluctuations of parameters of interest (e.g. threshold voltage, small-signal parameters, cut-off frequencies, etc.) to the locations of doping and oxide thickness fluctuations. For this reason, it can be very instrumental in the design of fluctuation-resistant structures of semiconductor devices. Quantum mechanical effects are taken into account by using the density-gradient model as well as through self-consistent Poisson-Schrodinger computations. Special attention is paid to the presenting of the technique in a form that is suitable for implementation on commercial device simulators. The numerical implementation of the technique is discussed in detail and numerous computational results are presented and compared with those previously published in literature.

  2. Catalyzed reactions at illuminated semiconductor interfaces

    International Nuclear Information System (INIS)

    Wrighton, M.S.

    1984-01-01

    Many desirable minority carrier chemical redox processes are too slow to compete with e - -h + recombination at illuminated semiconductor/liquid electrolyte junction interfaces. Reductions of H 2 O to H 2 or CO 2 to compounds having C--H bonds are too slow to compete with e - -h + recombination at illuminated p-type semiconductors, for example. Approaches to improve the rate of the desired processes involving surface modification techniques are described. Photoanodes are plagued by the additional problem of oxidative decomposition under illumination with > or =E/sub g/ illumination. The photo-oxidation of Cl - , Br - , and H 2 O is considered to illustrate the concepts involved. Proof of concept experiments establish that catalysis can be effective in dramatically improving direct solar fuel production; efficiencies of >10% have been demonstrated

  3. A 94GHz Temperature Compensated Low Noise Amplifier in 45nm Silicon-on-Insulator Complementary Metal-Oxide Semiconductor (SOI CMOS)

    Science.gov (United States)

    2014-01-01

    ring oscillator based temperature sensor will be designed to compensate for gain variations over temperature. For comparison to a competing solution...Simulated (Green) Capacitance of the GSG Pads ........................ 9 Figure 6: Die Picture and Schematic of the L-2L Coplanar Waveguides...complementary metal-oxide-semiconductor (CMOS) technology. A ring oscillator based temperature sensor was designed to compensate for gain variations

  4. Standard-free electron-probe microanalysis of thin films of HTSC-oxide and semiconductors (h<1μm)

    International Nuclear Information System (INIS)

    Kvardakov, A.M.; Mikhajlova, A.Ya.; San'gin, V.P.; Lazarev, V.B.

    1993-01-01

    A simplified variant of the standard-free electron-probe microanalysis is elaborated to carry out rapid analysis of chemical composition of >1μm thickness thin films of high-temperature superconductor oxides and semiconductors on alien substrates. The suggested technique has increased the efficiency of search for optimal conditions of preparation YBa 2 Cu 3 O x thin films existing in magnetron and InSb ion-beam techniques of spraying on SrTiO 3 and α-Al 2 O 3 monocrystal base substrates

  5. Spin-dependent transport properties of a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor structure

    Energy Technology Data Exchange (ETDEWEB)

    Kanaki, Toshiki, E-mail: kanaki@cryst.t.u-tokyo.ac.jp; Asahara, Hirokatsu; Ohya, Shinobu, E-mail: ohya@cryst.t.u-tokyo.ac.jp; Tanaka, Masaaki, E-mail: masaaki@ee.t.u-tokyo.ac.jp [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2015-12-14

    We fabricate a vertical spin metal-oxide-semiconductor field-effect transistor (spin-MOSFET) structure, which is composed of an epitaxial single-crystal heterostructure with a ferromagnetic-semiconductor GaMnAs source/drain, and investigate its spin-dependent transport properties. We modulate the drain-source current I{sub DS} by ∼±0.5% with a gate-source voltage of ±10.8 V and also modulate I{sub DS} by up to 60% with changing the magnetization configuration of the GaMnAs source/drain at 3.5 K. The magnetoresistance ratio is more than two orders of magnitude higher than that obtained in the previous studies on spin MOSFETs. Our result shows that a vertical structure is one of the hopeful candidates for spin MOSFET when the device size is reduced to a sub-micron or nanometer scale.

  6. Spin-dependent transport properties of a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor structure

    International Nuclear Information System (INIS)

    Kanaki, Toshiki; Asahara, Hirokatsu; Ohya, Shinobu; Tanaka, Masaaki

    2015-01-01

    We fabricate a vertical spin metal-oxide-semiconductor field-effect transistor (spin-MOSFET) structure, which is composed of an epitaxial single-crystal heterostructure with a ferromagnetic-semiconductor GaMnAs source/drain, and investigate its spin-dependent transport properties. We modulate the drain-source current I DS by ∼±0.5% with a gate-source voltage of ±10.8 V and also modulate I DS by up to 60% with changing the magnetization configuration of the GaMnAs source/drain at 3.5 K. The magnetoresistance ratio is more than two orders of magnitude higher than that obtained in the previous studies on spin MOSFETs. Our result shows that a vertical structure is one of the hopeful candidates for spin MOSFET when the device size is reduced to a sub-micron or nanometer scale

  7. Comparative analysis of oxide phase formation and its effects on electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor structures

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jaeyel [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Sehun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Jungsub; Yang, Changjae; Kim, Sujin; Seok, Chulkyun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Jinsub [Department of Electronic Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 443-270 (Korea, Republic of); Energy Semiconductor Research Center, Advanced Institutes of Convergence Technology, Seoul National University, Suwon 443-270 (Korea, Republic of)

    2012-06-01

    We report on the changes in the interfacial phases between SiO{sub 2} and InSb caused by various deposition temperatures and heat treatments. X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy were used to evaluate the relative amount of each phase present at the interface. The effect of interfacial phases on the electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor (MOS) structures was investigated by capacitance-voltage (C-V) measurements. The amount of both In and Sb oxides increased with the deposition temperature. The amount of interfacial In oxide was larger for all samples, regardless of the deposition and annealing temperatures and times. In particular, the annealed samples contained less than half the amount of Sb oxide compared with the as-deposited samples, indicating a strong interfacial reaction between Sb oxide and the InSb substrate during annealing. The interface trap density sharply increased for deposition temperatures above 240 Degree-Sign C. The C-V measurements and Raman spectroscopy indicated that elemental Sb accumulation due to the interfacial reaction of Sb oxide with InSb substrate was responsible for the increased interfacial trap densities in these SiO{sub 2}/InSb MOS structures. - Highlights: Black-Right-Pointing-Pointer We report the quantitative analysis of interfacial oxides at the SiO{sub 2}/InSb interface. Black-Right-Pointing-Pointer Interfacial oxides were measured quantitatively by X-ray Photoelectron Spectroscopy. Black-Right-Pointing-Pointer As-grown and annealed samples showed different compositions of oxide phases. Black-Right-Pointing-Pointer Considerable reduction of antimony oxide phases was observed during annealing. Black-Right-Pointing-Pointer Interface trap densities at the SiO{sub 2}/InSb interface were calculated.

  8. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  9. Additional compound semiconductor nanowires for photonics

    Science.gov (United States)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  10. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  11. Effect of Water Vapor and Surface Morphology on the Low Temperature Response of Metal Oxide Semiconductor Gas Sensors

    Directory of Open Access Journals (Sweden)

    Konrad Maier

    2015-09-01

    Full Text Available In this work the low temperature response of metal oxide semiconductor gas sensors is analyzed. Important characteristics of this low-temperature response are a pronounced selectivity to acid- and base-forming gases and a large disparity of response and recovery time constants which often leads to an integrator-type of gas response. We show that this kind of sensor performance is related to the trend of semiconductor gas sensors to adsorb water vapor in multi-layer form and that this ability is sensitively influenced by the surface morphology. In particular we show that surface roughness in the nanometer range enhances desorption of water from multi-layer adsorbates, enabling them to respond more swiftly to changes in the ambient humidity. Further experiments reveal that reactive gases, such as NO2 and NH3, which are easily absorbed in the water adsorbate layers, are more easily exchanged across the liquid/air interface when the humidity in the ambient air is high.

  12. Pr-O-Al-N dielectrics for metal insulator semiconductor stacks

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, Karsten; Torche, Mohamed; Sohal, Rakesh; Karavaev, Konstantin; Burkov, Yevgen; Schwiertz, Carola; Schmeisser, Dieter [Brandenburg University of Technology, Chair of Applied Physics and Sensors, K.-Wachsmann-Allee 1, 03046 Cottbus (Germany)

    2011-02-15

    This work focuses on praseodymium oxide films as a high-k material on silicon and silicon carbide (SiC) in metal insulator semiconductor samples. The electrical results are correlated to spectroscopic findings on this material system. Strong interfacial reactions between the praseodymium oxide and the semiconductor as well as silicon inter-diffusion into the high-k material are observed. The importance of a buffer layer is discussed and its optimisation is addressed, too. In particular the improvement of the performance by the introduction of an aluminium oxynitride buffer layer, which acts as an inter-diffusion barrier and reduces the leakage current, the interface state density and the equivalent oxide thickness is demonstrated. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  14. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  15. Carrier transport and electronic structure in amorphous oxide semiconductor, a-InGaZnO4

    International Nuclear Information System (INIS)

    Takagi, Akihiro; Nomura, Kenji; Ohta, Hiromichi; Yanagi, Hiroshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2005-01-01

    Carrier transport properties in amorphous oxide semiconductor InGaZnO 4 (a-IGZO) thin films were investigated in detail using temperature dependence of Hall measurements. It was found that Hall mobility increased distinctly as carrier concentration increased. Unlikely conventional amorphous semiconductors such as a-Si/H, definite normal Hall voltage signals were observed on the films with carrier concentrations (N e )>10 16 cm -3 , and Hall mobilities as large as 15 cm 2 (Vs) -1 were attained in the films with N e >10 20 cm -3 . When N e was less than 10 19 cm -3 , the temperature dependence of Hall mobility showed thermally-activated behavior in spite that carrier concentration was independent of temperature. While, it changed to almost degenerate conduction at N e >10 18 cm -3 . These behaviors are similar to those observed in single-crystalline IGZO, and are explained by percolation conduction through distributed potential barriers which are formed in the vicinity of the conduction band bottom due to the randomness of the amorphous structure. The effective mass of a-IGZO was estimated to be ∼0.34 m e (m e is the mass of free electron) from optical data, which is almost the same as that of crystalline IGZO (∼0.32 m e )

  16. Interface Structure of MoO3 on Organic Semiconductors

    Science.gov (United States)

    White, Robin T.; Thibau, Emmanuel S.; Lu, Zheng-Hong

    2016-01-01

    We have systematically studied interface structure formed by vapor-phase deposition of typical transition metal oxide MoO3 on organic semiconductors. Eight organic hole transport materials have been used in this study. Ultraviolet photoelectron spectroscopy and X-ray photoelectron spectroscopy are used to measure the evolution of the physical, chemical and electronic structure of the interfaces at various stages of MoO3 deposition on these organic semiconductor surfaces. For the interface physical structure, it is found that MoO3 diffuses into the underlying organic layer, exhibiting a trend of increasing diffusion with decreasing molecular molar mass. For the interface chemical structure, new carbon and molybdenum core-level states are observed, as a result of interfacial electron transfer from organic semiconductor to MoO3. For the interface electronic structure, energy level alignment is observed in agreement with the universal energy level alignment rule of molecules on metal oxides, despite deposition order inversion. PMID:26880185

  17. Positron annihilation in a metal-oxide semiconductor studied by using a pulsed monoenergetic positron beam

    Science.gov (United States)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Ohji, Y.

    1993-12-01

    The positron annihilation in a metal-oxide semiconductor was studied by using a pulsed monoenergetic positron beam. Lifetime spectra of positrons were measured as a function of incident positron energy for a polycrystalline Si(100 nm)/SiO2(400 nm)/Si specimen. Applying a gate voltage between the polycrystalline Si film and the Si substrate, positrons implanted into the specimen were accumulated at the SiO2/Si interface. From the measurements, it was found that the annihilation probability of ortho-positronium (ortho-Ps) drastically decreased at the SiO2/Si interface. The observed inhibition of the Ps formation was attributed to an interaction between positrons and defects at the SiO2/Si interface.

  18. A low on-resistance SOI LDMOS using a trench gate and a recessed drain

    International Nuclear Information System (INIS)

    Ge Rui; Luo Xiaorong; Jiang Yongheng; Zhou Kun; Wang Pei; Wang Qi; Wang Yuangang; Zhang Bo; Li Zhaoji

    2012-01-01

    An integrable silicon-on-insulator (SOI) power lateral MOSFET with a trench gate and a recessed drain (TGRD MOSFET) is proposed to reduce the on-resistance. Both of the trench gate extended to the buried oxide (BOX) and the recessed drain reduce the specific on-resistance (R on,sp ) by widening the vertical conduction area and shortening the extra current path. The trench gate is extended as a field plate improves the electric field distribution. Breakdown voltage (BV) of 97 V and R on,sp of 0.985 mΩ·cm 2 (V GS = 5 V) are obtained for a TGRD MOSFET with 6.5 μm half-cell pitch. Compared with the trench gate SOI MOSFET (TG MOSFET) and the conventional MOSFET, R on,sp of the TGRD MOSFET decreases by 46% and 83% at the same BV, respectively. Compared with the SOI MOSFET with a trench gate and a trench drain (TGTD MOSFET), BV of the TGRD MOSFET increases by 37% at the same R on,sp . (semiconductor devices)

  19. Multichannel, time-resolved picosecond laser ultrasound imaging and spectroscopy with custom complementary metal-oxide-semiconductor detector

    International Nuclear Information System (INIS)

    Smith, Richard J.; Light, Roger A.; Johnston, Nicholas S.; Pitter, Mark C.; Somekh, Mike G.; Sharples, Steve D.

    2010-01-01

    This paper presents a multichannel, time-resolved picosecond laser ultrasound system that uses a custom complementary metal-oxide-semiconductor linear array detector. This novel sensor allows parallel phase-sensitive detection of very low contrast modulated signals with performance in each channel comparable to that of a discrete photodiode and a lock-in amplifier. Application of the instrument is demonstrated by parallelizing spatial measurements to produce two-dimensional thickness maps on a layered sample, and spectroscopic parallelization is demonstrated by presenting the measured Brillouin oscillations from a gallium arsenide wafer. This paper demonstrates the significant advantages of our approach to pump probe systems, especially picosecond ultrasonics.

  20. Multichannel, time-resolved picosecond laser ultrasound imaging and spectroscopy with custom complementary metal-oxide-semiconductor detector

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Richard J.; Light, Roger A.; Johnston, Nicholas S.; Pitter, Mark C.; Somekh, Mike G. [Institute of Biophysics, Imaging and Optical Science, University of Nottingham, Nottinghamshire NG7 2RD (United Kingdom); Sharples, Steve D. [Applied Optics Group, Electrical Systems and Optics Research Division, University of Nottingham, Nottinghamshire NG7 2RD (United Kingdom)

    2010-02-15

    This paper presents a multichannel, time-resolved picosecond laser ultrasound system that uses a custom complementary metal-oxide-semiconductor linear array detector. This novel sensor allows parallel phase-sensitive detection of very low contrast modulated signals with performance in each channel comparable to that of a discrete photodiode and a lock-in amplifier. Application of the instrument is demonstrated by parallelizing spatial measurements to produce two-dimensional thickness maps on a layered sample, and spectroscopic parallelization is demonstrated by presenting the measured Brillouin oscillations from a gallium arsenide wafer. This paper demonstrates the significant advantages of our approach to pump probe systems, especially picosecond ultrasonics.

  1. Infrared rectification in a nanoantenna-coupled metal-oxide-semiconductor tunnel diode.

    Science.gov (United States)

    Davids, Paul S; Jarecki, Robert L; Starbuck, Andrew; Burckel, D Bruce; Kadlec, Emil A; Ribaudo, Troy; Shaner, Eric A; Peters, David W

    2015-12-01

    Direct rectification of electromagnetic radiation is a well-established method for wireless power conversion in the microwave region of the spectrum, for which conversion efficiencies in excess of 84% have been demonstrated. Scaling to the infrared or optical part of the spectrum requires ultrafast rectification that can only be obtained by direct tunnelling. Many research groups have looked to plasmonics to overcome antenna-scaling limits and to increase the confinement. Recently, surface plasmons on heavily doped Si surfaces were investigated as a way of extending surface-mode confinement to the thermal infrared region. Here we combine a nanostructured metallic surface with a heavily doped Si infrared-reflective ground plane designed to confine infrared radiation in an active electronic direct-conversion device. The interplay of strong infrared photon-phonon coupling and electromagnetic confinement in nanoscale devices is demonstrated to have a large impact on ultrafast electronic tunnelling in metal-oxide-semiconductor (MOS) structures. Infrared dispersion of SiO2 near a longitudinal optical (LO) phonon mode gives large transverse-field confinement in a nanometre-scale oxide-tunnel gap as the wavelength-dependent permittivity changes from 1 to 0, which leads to enhanced electromagnetic fields at material interfaces and a rectified displacement current that provides a direct conversion of infrared radiation into electric current. The spectral and electrical signatures of the nanoantenna-coupled tunnel diodes are examined under broadband blackbody and quantum-cascade laser (QCL) illumination. In the region near the LO phonon resonance, we obtained a measured photoresponsivity of 2.7 mA W(-1) cm(-2) at -0.1 V.

  2. Band-to-band tunneling in a carbon nanotube metal-oxide-semiconductor field-effect transistor is dominated by phonon assisted tunneling

    OpenAIRE

    Koswatta, Siyuranga O.; Lundstrom, Mark S.; Nikonov, Dmitri E.

    2007-01-01

    Band-to-band tunneling (BTBT) devices have recently gained a lot of interest due to their potential for reducing power dissipation in integrated circuits. We have performed extensive simulations for the BTBT operation of carbon nanotube metal-oxide-semiconductor field-effect transistors (CNT-MOSFETs) using the non-equilibrium Green's functions formalism for both ballistic and dissipative quantum transport. In comparison with recently reported experimental data (Y. Lu et al, J. Am. Chem. Soc.,...

  3. Semi-classical noise investigation for sub-40nm metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Spathis, C.; Birbas, A.; Georgakopoulou, K.

    2015-01-01

    Device white noise levels in short channel Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) dictate the performance and reliability of high-frequency circuits ranging from high-speed microprocessors to Low-Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source-channel junction. By adopting a semi-classical approach and taking retrospectively into account transport, short-channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices

  4. Semi-classical noise investigation for sub-40nm metal-oxide-semiconductor field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Spathis, C., E-mail: cspathis@ece.upatras.gr; Birbas, A.; Georgakopoulou, K. [Department of Electrical and Computer Engineering, University of Patras, Patras 26500 (Greece)

    2015-08-15

    Device white noise levels in short channel Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) dictate the performance and reliability of high-frequency circuits ranging from high-speed microprocessors to Low-Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source-channel junction. By adopting a semi-classical approach and taking retrospectively into account transport, short-channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices.

  5. Photoreduction of carbon dioxide and water into formaldehyde and methanol on semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Aurian-Blajeni, B; Halmann, M; Manassen, J

    1980-01-01

    Heterogeneous photoassisted reduction of aqueous carbon dioxide was achieved using semiconductor powders, with either high-pressure Hg-lamps or sunlight as energy sources. The products were methanol, formaldehyde and methane. The reaction was carried out either as a gas-solid process, by passing carbon dioxide and water vapor over illuminated semiconductor surfaces, or as a liquid-solid reaction, by illuminating aqueous suspensions of semiconductor powders through which carbon dioxide was bubbled. Best results, under illumination by Hg-lamps, were obtained with aqueous suspensions of strontium titanate, SrTiO3, tungsten oxide, WO3, and titanium oxide, TiO2, resulting in absorbed energy conversion efficiencies of 6, 5.9 and 1.2 per cent, respectively.

  6. Widely bandgap tunable amorphous Cd–Ga–O oxide semiconductors exhibiting electron mobilities ≥10 cm{sup 2 }V{sup −1 }s{sup −1}

    Energy Technology Data Exchange (ETDEWEB)

    Yanagi, Hiroshi, E-mail: hyanagi@yamanashi.ac.jp [Graduate Faculty of Interdisciplinary Research, University of Yamanashi, 4-4-37 Takeda, Kofu, Yamanashi 400-8510 (Japan); Sato, Chiyuki; Kimura, Yota [Interdisciplinary Graduate School of Medicine and Engineering, University of Yamanashi, 4-4-37 Takeda, Kofu, Yamanashi 400-8510 (Japan); Suzuki, Issei; Omata, Takahisa [Division of Material and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox S2-16, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Hosono, Hideo [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox S2-16, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Frontier Research Center, Tokyo Institute of Technology, Mailbox S2-16, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan)

    2015-02-23

    Amorphous oxide semiconductors exhibit large electron mobilities; however, their bandgaps are either too large for solar cells or too small for deep ultraviolet applications depending on the materials system. Herein, we demonstrate that amorphous Cd–Ga–O semiconductors display bandgaps covering the entire 2.5–4.3 eV region while maintaining large electron mobilities ≥10 cm{sup 2 }V{sup −1 }s{sup −1}. The band alignment diagram obtained by ultraviolet photoemission spectroscopy and the bandgap values reveal that these semiconductors form type-II heterojunctions with p-type Cu{sub 2}O, which is suitable for solar cells and solar-blind ultraviolet sensors.

  7. Semiconductor properties and protective role of passive films of iron base alloys

    International Nuclear Information System (INIS)

    Fujimoto, Shinji; Tsuchiya, Hiroaki

    2007-01-01

    Semiconductor properties of passive films formed on the Fe-18Cr alloy in a borate buffer solution (pH = 8.4) and 0.1 M H 2 SO 4 solution were examined using a photoelectrochemical spectroscopy and an electrochemical impedance spectroscopy. Photo current reveals two photo action spectra that derived from outer hydroxide and inner oxide layers. A typical n-type semiconductor behaviour is observed by both photo current and impedance for the passive films formed in the borate buffer solution. On the other hand, a negative photo current generated, the absolute value of which decreased as applied potential increased in the sulfuric acid solution. This indicates that the passive film behaves as a p-type semiconductor. However, Mott-Schottky plot revealed the typical n-type semiconductor property. It is concluded that the passive film on the Fe-18Cr alloy formed in the borate buffer solution is composed of both n-type outer hydroxide and inner oxide layers. On the other hand, the passive film of the Fe-18Cr alloy in the sulphuric acid consists of p-type oxide and n-type hydroxide layers. The behaviour of passive film growth and corrosion was discussed in terms of the electronic structure in the passive film

  8. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    Science.gov (United States)

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  9. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    Science.gov (United States)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  10. Positive and negative gain exceeding unity magnitude in silicon quantum well metal-oxide-semiconductor transistors

    Science.gov (United States)

    Hu, Gangyi; Wijesinghe, Udumbara; Naquin, Clint; Maggio, Ken; Edwards, H. L.; Lee, Mark

    2017-10-01

    Intrinsic gain (AV) measurements on Si quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors show that these devices can have |AV| > 1 in quantum transport negative transconductance (NTC) operation at room temperature. QW NMOS devices were fabricated using an industrial 45 nm technology node process incorporating ion implanted potential barriers to define a lateral QW in the conduction channel under the gate. While NTC at room temperature arising from transport through gate-controlled QW bound states has been previously established, it was unknown whether the quantum NTC mechanism could support gain magnitude exceeding unity. Bias conditions were found giving both positive and negative AV with |AV| > 1 at room temperature. This result means that QW NMOS devices could be useful in amplifier and oscillator applications.

  11. The physics of semiconductors an introduction including nanophysics and applications

    CERN Document Server

    Grundmann, Marius

    2016-01-01

    The 3rd edition of this successful textbook contains ample material for a comprehensive upper-level undergraduate or beginning graduate course, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. Coverage also includes additional advanced topics, such as Bragg mirrors, resonators, polarized and magnetic semiconductors, nanowires, quantum dots, multi-junction solar cells, thin film transistors, carbon-based nanostructures and transparent conductive oxides. The text derives explicit formulas for many results to support better under...

  12. Influence of quantizing magnetic field and Rashba effect on indium arsenide metal-oxide-semiconductor structure accumulation capacitance

    Science.gov (United States)

    Kovchavtsev, A. P.; Aksenov, M. S.; Tsarenko, A. V.; Nastovjak, A. E.; Pogosov, A. G.; Pokhabov, D. A.; Tereshchenko, O. E.; Valisheva, N. A.

    2018-05-01

    The accumulation capacitance oscillations behavior in the n-InAs metal-oxide-semiconductor structures with different densities of the built-in charge (Dbc) and the interface traps (Dit) at temperature 4.2 K in the magnetic field (B) 2-10 T, directed perpendicular to the semiconductor-dielectric interface, is studied. A decrease in the oscillation frequency and an increase in the capacitance oscillation amplitude are observed with the increase in B. At the same time, for a certain surface accumulation band bending, the influence of the Rashba effect, which is expressed in the oscillations decay and breakdown, is traced. The experimental capacitance-voltage curves are in a good agreement with the numeric simulation results of the self-consistent solution of Schrödinger and Poisson equations in the magnetic field, taking into account the quantization, nonparabolicity of dispersion law, and Fermi-Dirac electron statistics, with the allowance for the Rashba effect. The Landau quantum level broadening in a two-dimensional electron gas (Lorentzian-shaped density of states), due to the electron scattering mechanism, linearly depends on the magnetic field. The correlation between the interface electronic properties and the characteristic scattering times was established.

  13. Semiconductor electrolyte photovoltaic energy converter

    Science.gov (United States)

    Anderson, W. W.; Anderson, L. B.

    1975-01-01

    Feasibility and practicality of a solar cell consisting of a semiconductor surface in contact with an electrolyte are evaluated. Basic components and processes are detailed for photovoltaic energy conversion at the surface of an n-type semiconductor in contact with an electrolyte which is oxidizing to conduction band electrons. Characteristics of single crystal CdS, GaAs, CdSe, CdTe and thin film CdS in contact with aqueous and methanol based electrolytes are studied and open circuit voltages are measured from Mott-Schottky plots and open circuit photo voltages. Quantum efficiencies for short circuit photo currents of a CdS crystal and a 20 micrometer film are shown together with electrical and photovoltaic properties. Highest photon irradiances are observed with the GaAs cell.

  14. Memory characteristics of an MOS capacitor structure with double-layer semiconductor and metal heterogeneous nanocrystals

    International Nuclear Information System (INIS)

    Ni Henan; Wu Liangcai; Song Zhitang; Hui Chun

    2009-01-01

    An MOS (metal oxide semiconductor) capacitor structure with double-layer heterogeneous nanocrystals consisting of semiconductor and metal embedded in a gate oxide for nonvolatile memory applications has been fabricated and characterized. By combining vacuum electron-beam co-evaporated Si nanocrystals and self-assembled Ni nanocrystals in a SiO 2 matrix, an MOS capacitor with double-layer heterogeneous nanocrystals can have larger charge storage capacity and improved retention characteristics compared to one with single-layer nanocrystals. The upper metal nanocrystals as an additional charge trap layer enable the direct tunneling mechanism to enhance the flat voltage shift and prolong the retention time. (semiconductor devices)

  15. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  16. Combinatorial Discovery and Optimization of the Composition, Doping and Morphology of New Oxide Semiconductors for Efficient Photoelectrochemical Water Splitting

    Energy Technology Data Exchange (ETDEWEB)

    Parkinson, Bruce A. [Univ. of Wyoming, Laramie, WY (United States); Jianghua, He [Univ. of Wyoming, Laramie, WY (United States)

    2015-01-06

    The increasing need for carbon free energy has focused renewed attention on solar energy conversion. Although photovoltaic cells excel at directly converting of solar energy to electricity, they do not directly produce stored energy or fuels that account for more than 75% of current energy use. Direct photoelectrolysis of water has the advantage of converting solar energy directly to hydrogen, an ideal non-carbon and nonpolluting energy carrier, by replacing both a photovoltaic array and an electrolysis unit with one potentially inexpensive device. Unfortunately no materials are currently known to efficiently photoelectrolyze water that are, efficient, inexpensive and stable under illumination in electrolytes for many years. Nanostructured semiconducting metal oxides could potentially fulfill these requirements, making them the most promising materials for solar water photoelectrolysis, however no oxide semiconductor has yet been discovered with all the required properties. We have developed a simple, high-throughput combinatorial approach to prepare and screen many multi component metal oxides for water photoelectrolysis activity. The approach uses ink jet printing of overlapping patterns of soluble metal oxide precursors onto conductive glass substrates. Subsequent pyrolysis produces metal oxide phases that are screened for photoelectrolysis activity by measuring photocurrents produced by scanning a laser over the printed patterns in aqueous electrolytes. Several promising and unexpected compositions have been identified.

  17. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Moghadam, Reza M. [Department; Xiao, Zhiyong [Department; Ahmadi-Majlan, Kamyar [Department; Grimley, Everett D. [Department; Bowden, Mark [Environmental; amp, Biological Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ong, Phuong-Vu [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Chambers, Scott A. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Lebeau, James M. [Department; Hong, Xia [Department; Sushko, Peter V. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ngai, Joseph H. [Department

    2017-09-13

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, ferroelectric materials integrated on semiconductors could lead to low-power field-effect devices that can be used for logic or memory. Essential to realizing such field-effect devices is the development of ferroelectric metal-oxide-semiconductor (MOS) capacitors, in which the polarization of a ferroelectric gate is coupled to the surface potential of a semiconducting channel. Here we demonstrate that ferroelectric MOS capacitors can be realized using single crystalline SrZrxTi1-xO3 (x= 0.7) that has been epitaxially grown on Ge. We find that the ferroelectric properties of SrZrxTi1-xO3 are exceptionally robust, as gate layers as thin as 5 nm give rise to hysteretic capacitance-voltage characteristics that are 2 V in width. The development of ferroelectric MOS capacitors with gate thicknesses that are technologically relevant opens a pathway to realize scalable ferroelectric field-effect devices.

  18. Platinum nanoparticles on gallium nitride surfaces: effect of semiconductor doping on nanoparticle reactivity.

    Science.gov (United States)

    Schäfer, Susanne; Wyrzgol, Sonja A; Caterino, Roberta; Jentys, Andreas; Schoell, Sebastian J; Hävecker, Michael; Knop-Gericke, Axel; Lercher, Johannes A; Sharp, Ian D; Stutzmann, Martin

    2012-08-01

    Platinum nanoparticles supported on n- and p-type gallium nitride (GaN) are investigated as novel hybrid systems for the electronic control of catalytic activity via electronic interactions with the semiconductor support. In situ oxidation and reduction were studied with high pressure photoemission spectroscopy. The experiments revealed that the underlying wide-band-gap semiconductor has a large influence on the chemical composition and oxygen affinity of supported nanoparticles under X-ray irradiation. For as-deposited Pt cuboctahedra supported on n-type GaN, a higher fraction of oxidized surface atoms was observed compared to cuboctahedral particles supported on p-type GaN. Under an oxygen atmosphere, immediate oxidation was recorded for nanoparticles on n-type GaN, whereas little oxidation was observed for nanoparticles on p-type GaN. Together, these results indicate that changes in the Pt chemical state under X-ray irradiation depend on the type of GaN doping. The strong interaction between the nanoparticles and the support is consistent with charge transfer of X-ray photogenerated free carriers at the semiconductor-nanoparticle interface and suggests that GaN is a promising wide-band-gap support material for photocatalysis and electronic control of catalysis.

  19. An Ultrasensitive Organic Semiconductor NO2 Sensor Based on Crystalline TIPS-Pentacene Films.

    Science.gov (United States)

    Wang, Zi; Huang, Lizhen; Zhu, Xiaofei; Zhou, Xu; Chi, Lifeng

    2017-10-01

    Organic semiconductor gas sensor is one of the promising candidates of room temperature operated gas sensors with high selectivity. However, for a long time the performance of organic semiconductor sensors, especially for the detection of oxidizing gases, is far behind that of the traditional metal oxide gas sensors. Although intensive attempts have been made to address the problem, the performance and the understanding of the sensing mechanism are still far from sufficient. Herein, an ultrasensitive organic semiconductor NO 2 sensor based on 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS-petacene) is reported. The device achieves a sensitivity over 1000%/ppm and fast response/recovery, together with a low limit of detection (LOD) of 20 ppb, all of which reach the level of metal oxide sensors. After a comprehensive analysis on the morphology and electrical properties of the organic films, it is revealed that the ultrahigh performance is largely related to the film charge transport ability, which was less concerned in the studies previously. And the combination of efficient charge transport and low original charge carrier concentration is demonstrated to be an effective access to obtain high performance organic semiconductor gas sensors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Ultraviolet-visible electroluminescence from metal-oxide-semiconductor devices with CeO2 films on silicon

    International Nuclear Information System (INIS)

    Lv, Chunyan; Zhu, Chen; Wang, Canxing; Li, Dongsheng; Ma, Xiangyang; Yang, Deren

    2015-01-01

    We report on ultraviolet-visible (UV-Vis) electroluminescence (EL) from metal-oxide-semiconductor (MOS) devices with the CeO 2 films annealed at low temperatures. At the same injection current, the UV-Vis EL from the MOS device with the 550 °C-annealed CeO 2 film is much stronger than that from the counterpart with the 450 °C-annealed CeO 2 film. This is due to that the 550 °C-annealed CeO 2 film contains more Ce 3+ ions and oxygen vacancies. It is tentatively proposed that the recombination of the electrons in multiple oxygen-vacancy–related energy levels with the holes in Ce 4f 1 energy band pertaining to Ce 3+ ions leads to the UV-Vis EL

  1. Wide-gap layered oxychalcogenide semiconductors: Materials, electronic structures and optoelectronic properties

    International Nuclear Information System (INIS)

    Ueda, Kazushige; Hiramatsu, Hidenori; Hirano, Masahiro; Kamiya, Toshio; Hosono, Hideo

    2006-01-01

    Applying the concept of materials design for transparent conductive oxides to layered oxychalcogenides, several p-type and n-type layered oxychalcogenides were proposed as wide-gap semiconductors and their basic optical and electrical properties were examined. The layered oxychalcogenides are composed of ionic oxide layers and covalent chalcogenide layers, which bring wide-gap and conductive properties to these materials, respectively. The electronic structures of the materials were examined by normal/inverse photoemission spectroscopy and energy band calculations. The results of the examinations suggested that these materials possess unique features more than simple wide-gap semiconductors. Namely, the layered oxychalcogenides are considered to be extremely thin quantum wells composed of the oxide and chalcogenide layers or 2D chalcogenide crystals/molecules embedded in an oxide matrix. Observation of step-like absorption edges, large band gap energy and large exciton binding energy demonstrated these features originating from 2D density of states and quantum size effects in these layered materials

  2. 2012 Gordon Research Conference on Defects in Semiconductors - Formal Schedule and Speaker/Poster Program

    Energy Technology Data Exchange (ETDEWEB)

    Glaser, Evan [Naval Research Lab. (NRL), Washington, DC (United States)

    2012-08-17

    The meeting shall strive to develop and further the fundamental understanding of defects and their roles in the structural, electronic, optical, and magnetic properties of bulk, thin film, and nanoscale semiconductors and device structures. Point and extended defects will be addressed in a broad range of electronic materials of particular current interest, including wide bandgap semiconductors, metal-oxides, carbon-based semiconductors (e.g., diamond, graphene, etc.), organic semiconductors, photovoltaic/solar cell materials, and others of similar interest. This interest includes novel defect detection/imaging techniques and advanced defect computational methods.

  3. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-01-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high

  5. Synthesis of visible-light responsive graphene oxide/TiO(2) composites with p/n heterojunction.

    Science.gov (United States)

    Chen, Chao; Cai, Weimin; Long, Mingce; Zhou, Baoxue; Wu, Yahui; Wu, Deyong; Feng, Yujie

    2010-11-23

    Graphene oxide/TiO(2) composites were prepared by using TiCl(3) and graphene oxide as reactants. The concentration of graphene oxide in starting solution played an important role in photoelectronic and photocatalytic performance of graphene oxide/TiO(2) composites. Either a p-type or n-type semiconductor was formed by graphene oxide in graphene oxide/TiO(2) composites. These semiconductors could be excited by visible light with wavelengths longer than 510 nm and acted as sensitizer in graphene oxide/TiO(2) composites. Visible-light driven photocatalytic performance of graphene oxide/TiO(2) composites in degradation of methyl orange was also studied. Crystalline quality and chemical states of carbon elements from graphene oxide in graphene oxide/TiO(2) composites depended on the concentration of graphene oxide in the starting solution. This study shows a possible way to fabricate graphene oxide/semiconductor composites with different properties by using a tunable semiconductor conductivity type of graphene oxide.

  6. Positron annihilation and Wheeler complexes in semiconductors

    International Nuclear Information System (INIS)

    Prokop'ev, E.P.

    1995-01-01

    Properties of Ps-Ex (positron-exciton) complex nature Wheeler complexes that may be formed at irradiation of semiconductors and ion crystals by positrons at low temperature under conditions of optical excitation by excitons are studied. Binding energy of similar and more complex systems regarding decomposition in Ps and Ex and/or Ex ± exceeds, at least, 0.1 eV, while lifetime regarding biquantum-self-annihilation constitutes τ 2γ ∼5.02x10 - 10 κ c 3 c (κ c -phenomenological parameter of the effective mass method). The lifetime estimations enabled to conclude that Ps-Ex complexes may be detected in some oxide semiconductors, in zinc sulfide, as well as, in alkaline-haloid crystals. At the same time, in silicon, gallium arsenide and in other semiconductors of A 3 B 5 and A 2 B 6 it is highly improbable to observe these complexes. 27 refs

  7. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  8. PdO doping tunes band-gap energy levels as well as oxidative stress responses to a Co₃O₄ p-type semiconductor in cells and the lung.

    Science.gov (United States)

    Zhang, Haiyuan; Pokhrel, Suman; Ji, Zhaoxia; Meng, Huan; Wang, Xiang; Lin, Sijie; Chang, Chong Hyun; Li, Linjiang; Li, Ruibin; Sun, Bingbing; Wang, Meiying; Liao, Yu-Pei; Liu, Rong; Xia, Tian; Mädler, Lutz; Nel, André E

    2014-04-30

    We demonstrate through PdO doping that creation of heterojunctions on Co3O4 nanoparticles can quantitatively adjust band-gap and Fermi energy levels to study the impact of metal oxide nanoparticle semiconductor properties on cellular redox homeostasis and hazard potential. Flame spray pyrolysis (FSP) was used to synthesize a nanoparticle library in which the gradual increase in the PdO content (0-8.9%) allowed electron transfer from Co3O4 to PdO to align Fermi energy levels across the heterojunctions. This alignment was accompanied by free hole accumulation at the Co3O4 interface and production of hydroxyl radicals. Interestingly, there was no concomitant superoxide generation, which could reflect the hole dominance of a p-type semiconductor. Although the electron flux across the heterojunctions induced upward band bending, the E(c) levels of the doped particles showed energy overlap with the biological redox potential (BRP). This allows electron capture from the redox couples that maintain the BRP from -4.12 to -4.84 eV, causing disruption of cellular redox homeostasis and induction of oxidative stress. PdO/Co3O4 nanoparticles showed significant increases in cytotoxicity at 25, 50, 100, and 200 μg/mL, which was enhanced incrementally by PdO doping in BEAS-2B and RAW 264.7 cells. Oxidative stress presented as a tiered cellular response involving superoxide generation, glutathione depletion, cytokine production, and cytotoxicity in epithelial and macrophage cell lines. A progressive series of acute pro-inflammatory effects could also be seen in the lungs of animals exposed to incremental PdO-doped particles. All considered, generation of a combinatorial PdO/Co3O4 nanoparticle library with incremental heterojunction density allowed us to demonstrate the integrated role of E(v), E(c), and E(f) levels in the generation of oxidant injury and inflammation by the p-type semiconductor, Co3O4.

  9. General Observation of Photocatalytic Oxygen Reduction to Hydrogen Peroxide by Organic Semiconductor Thin Films and Colloidal Crystals.

    Science.gov (United States)

    Gryszel, Maciej; Sytnyk, Mykhailo; Jakešová, Marie; Romanazzi, Giuseppe; Gabrielsson, Roger; Heiss, Wolfgang; Głowacki, Eric Daniel

    2018-04-25

    Low-cost semiconductor photocatalysts offer unique possibilities for industrial chemical transformations and energy conversion applications. We report that a range of organic semiconductors are capable of efficient photocatalytic oxygen reduction to H 2 O 2 in aqueous conditions. These semiconductors, in the form of thin films, support a 2-electron/2-proton redox cycle involving photoreduction of dissolved O 2 to H 2 O 2 , with the concurrent photooxidation of organic substrates: formate, oxalate, and phenol. Photochemical oxygen reduction is observed in a pH range from 2 to 12. In cases where valence band energy of the semiconductor is energetically high, autoxidation competes with oxidation of the donors, and thus turnover numbers are low. Materials with deeper valence band energies afford higher stability and also oxidation of H 2 O to O 2 . We found increased H 2 O 2 evolution rate for surfactant-stabilized nanoparticles versus planar thin films. These results evidence that photochemical O 2 reduction may be a widespread feature of organic semiconductors, and open potential avenues for organic semiconductors for catalytic applications.

  10. Nanomorphology Effects in Semiconductors with Native Ferromagnetism: Hierarchical Europium (II) Oxide Tubes Prepared via a Topotactic Nanostructure Transition.

    Science.gov (United States)

    Trepka, Bastian; Erler, Philipp; Selzer, Severin; Kollek, Tom; Boldt, Klaus; Fonin, Mikhail; Nowak, Ulrich; Wolf, Daniel; Lubk, Axel; Polarz, Sebastian

    2018-01-01

    Semiconductors with native ferromagnetism barely exist and defined nanostructures are almost unknown. This lack impedes the exploration of a new class of materials characterized by a direct combination of effects on the electronic system caused by quantum confinement effects with magnetism. A good example is EuO for which currently no reliable routes for nanoparticle synthesis can be established. Bottom-up approaches applicable to other oxides fail because of the labile oxidation state +II. Instead of targeting a direct synthesis, the two steps-"structure control" and "chemical transformation"-are separated. The generation of a transitional, hybrid nanophase is followed by its conversion into EuO under full conservation of all morphological features. Hierarchical EuO materials are now accessible in the shape of oriented nanodisks stacked to tubular particles. Magnetically, the coupling of either vortex or onion states has been found. An unexpected temperature dependence is governed by thermally activated transitions between these states. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Proceedings of wide band gap semiconductors

    International Nuclear Information System (INIS)

    Moustakas, T.D.; Pankove, J.I.; Hamakawa, Y.

    1992-01-01

    This book contains the proceedings of wide band gap semiconductors. Wide band gap semiconductors are under intense study because of their potential applications in photonic devices in the visible and ultraviolet part of the electromagnetic spectrum, and devices for high temperature, high frequency and high power electronics. Additionally, due to their unique mechanical, thermal, optical, chemical, and electronic properties many wide band gap semiconductors are anticipated to find applications in thermoelectric, electrooptic, piezoelectric and acoustooptic devices as well as protective coatings, hard coatings and heat sinks. Material systems covered in this symposium include diamond, II-VI compounds, III-V nitrides, silicon carbide, boron compounds, amorphous and microcrystalline semiconductors, chalcopyrites, oxides and halides. The various papers addressed recent experimental and theoretical developments. They covered issues related to crystal growth (bulk and thin films), structure and microstructure, defects, doping, optoelectronic properties and device applications. A theoretical session was dedicated to identifying common themes in the heteroepitaxy and the role of defects in doping, compensation and phase stability of this unique class of materials. Important experimental milestones included the demonstrations of bright blue injection luminescence at room temperatures from junctions based on III-V nitrides and a similar result from multiple quantum wells in a ZnSe double heterojunction at liquid nitrogen temperatures

  12. Neutron and gamma irradiation effects on power semiconductor switches

    International Nuclear Information System (INIS)

    Schwarze, G.E.; Frasca, A.J.

    1990-01-01

    The performance characteristics of high power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN bipolar junction transistors (BJTs), and metal-oxide-semiconductor field effect transistors (MOSFETs)

  13. Magnesium Oxide (MgO) pH-sensitive Sensing Membrane in Electrolyte-Insulator-Semiconductor Structures with CF4 Plasma Treatment.

    Science.gov (United States)

    Kao, Chyuan-Haur; Chang, Chia Lung; Su, Wei Ming; Chen, Yu Tzu; Lu, Chien Cheng; Lee, Yu Shan; Hong, Chen Hao; Lin, Chan-Yu; Chen, Hsiang

    2017-08-03

    Magnesium oxide (MgO) sensing membranes in pH-sensitive electrolyte-insulator-semiconductor structures were fabricated on silicon substrate. To optimize the sensing capability of the membrane, CF 4 plasma was incorporated to improve the material quality of MgO films. Multiple material analyses including FESEM, XRD, AFM, and SIMS indicate that plasma treatment might enhance the crystallization and increase the grain size. Therefore, the sensing behaviors in terms of sensitivity, linearity, hysteresis effects, and drift rates might be improved. MgO-based EIS membranes with CF 4 plasma treatment show promise for future industrial biosensing applications.

  14. Characterization of advanced semiconductor materials by positron annihilation

    International Nuclear Information System (INIS)

    Uedono, Akira; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Ishibashi, Shoji

    2005-01-01

    Positron annihilation is an established technique for investigating vacancy-type defects near surfaces or interfaces. Using this technique, one can identify defect species in a nondestructive manner. Because there is no restriction of sample conductivity or temperature, this technique can be applied to a various materials, such as semiconductors, metals, metal oxides, and polymers. The positron annihilation has been applied to the studies of Si-technology related materials, which show that it can provide useful information for the development of semiconductor devices. In this article, we report the results obtained for electroplated Cu, strained Si and high-k materials. (author)

  15. Thermal oxidation of III-V compounds

    International Nuclear Information System (INIS)

    Monteiro, O.R.; Evans, J.W.

    1988-01-01

    The thermal oxidation of two important III-V compound semiconductor materials, namely GaAs and InP, has been studied between 300 and 600 0 C. In-situ TEM, cross-sectional TEM (XTEM) and SIMS analyses were used to characterize the reaction products. The first technique allows us to access the reactions at the very moment they are occurring. XTEM provides a clearer picture of the distribution of phases in the oxidized samples. SIMS gives us information on the dopant redistribution after oxidation as well as enrichment of group V element at the oxide semiconductor interface. Based on those results, the reaction products were characterized and reaction mechanisms proposed

  16. Properties of InGaAs/GaAs metal-oxide-semiconductor heterostructure field-effect transistors modified by surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Gregušová, D., E-mail: Dagmar.Gregusova@savba.sk [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Gucmann, F.; Kúdela, R. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Mičušík, M. [Polymer Institute of Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84541 (Slovakia); Stoklas, R.; Válik, L. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Greguš, J. [Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina, Bratislava SK-84248 (Slovakia); Blaho, M. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Kordoš, P. [Institute of Electronics and Photonics, Faculty of Electrical Engineering and Information Technology STU, Ilkovičova 3, Bratislava SK-81219 (Slovakia)

    2017-02-15

    Highlights: • AlGaAs/InGaAs/GaAs-based metal oxide semiconductor transistors-MOSHFET. • Thin Al-layer deposited in-situ and oxidize in air – gate insulator. • MOSHFET vs HFET transistor properties, density of traps evaluated. - Abstract: GaAs-based heterostructures exhibit excellent carrier transport properties, mainly the high carrier velocity. An AlGaAs-GaAs heterostructure field-effect transistor (HFET) with an InGaAs channel was prepared using metal-organic chemical vapor deposition (MOVPE). An AlOx layer was formed on the AlGaAs barrier layer by the air-assisted oxidation of a thin Al layer deposited in-situ in an MOVPE reactor immediately after AlGaAs/InGaAs growth. The HFETs and MOSHFETs exhibited a very low trap state density in the order of 10{sup 11} cm{sup −2} eV{sup −1}. Capacitance measurement yielded no significant difference between the HFET and MOSHFET structures. The formation of an AlOx layer modified the surface by partially eliminating surface states that arise from Ga-and As-based native oxides. The presence of an AlOx layer reflected in a reduced gate leakage current, which was evidenced by the two-terminal transistor measurement. Presented preparation procedure and device properties show great potential of AlGaAs/InGaAs-based MOSHFETs.

  17. Nano sulfide and oxide semiconductors as promising materials for studies by positron annihilation

    International Nuclear Information System (INIS)

    Nambissan, P M G

    2013-01-01

    A number of wide band gap sulfide and oxide semiconducting nanomaterial systems were investigated using the experimental techniques of positron lifetime and coincidence Doppler broadening measurements. The results indicated several features of the nanomaterial systems, which were found strongly related to the presence of vacancy-type defects and their clusters. Quantum confinement effects were displayed in these studies as remarkable changes in the positron lifetimes and the lineshape parameters around the same grain sizes below which characteristic blue shifts were observed in the optical absorption spectra. Considerable enhancement in the band gap and significant rise of the positron lifetimes were found occurring when the particle sizes were reduced to very low sizes. The results of doping or substitutions by other cations in semiconductor nanosystems were also interesting. Variously heat-treated TiO 2 nanoparticles were studied recently and change of positron annihilation parameters across the anatase to rutile structural transition are carefully analyzed. Preliminary results of positron annihilation studies on Eu-doped CeO nanoparticles are also presented.

  18. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    Science.gov (United States)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  19. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    International Nuclear Information System (INIS)

    Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1993-01-01

    Studies of SiO 2 -Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO 2 -Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown

  20. Nano sulfide and oxide semiconductors as promising materials for studies by positron annihilation

    Science.gov (United States)

    Nambissan, P. M. G.

    2013-06-01

    A number of wide band gap sulfide and oxide semiconducting nanomaterial systems were investigated using the experimental techniques of positron lifetime and coincidence Doppler broadening measurements. The results indicated several features of the nanomaterial systems, which were found strongly related to the presence of vacancy-type defects and their clusters. Quantum confinement effects were displayed in these studies as remarkable changes in the positron lifetimes and the lineshape parameters around the same grain sizes below which characteristic blue shifts were observed in the optical absorption spectra. Considerable enhancement in the band gap and significant rise of the positron lifetimes were found occurring when the particle sizes were reduced to very low sizes. The results of doping or substitutions by other cations in semiconductor nanosystems were also interesting. Variously heat-treated TiO2 nanoparticles were studied recently and change of positron annihilation parameters across the anatase to rutile structural transition are carefully analyzed. Preliminary results of positron annihilation studies on Eu-doped CeO nanoparticles are also presented.

  1. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  2. Positron annihilation and Wheeler complexes in semiconductors

    International Nuclear Information System (INIS)

    Prokob'ev, E.P.

    1995-01-01

    The Wheeler complexes Ps-Ex (positronium-exciton) were studied. These complexes are formed during irradiation of semiconductors and ionic crystals with positrons at low temperatures under optical excitation by excitons. The binding energy of these and more complex entities preventing dissociation into Ps and Ex and/or Ex ± is at least 0.1 eV, and the lifetime for the two-photon self-annihilation is τ 2γ ∼ 5.02 x 10 -10 x c 3 s (x c is the phenomenological parameter of the effective-mass method). The estimation of lifetimes τ 2γ and τ 2γ t (the total lifetime of Ps-Ex complexes with account for positron annihilation on valence electrons) led to the conclusion that Ps-Ex complexes can be observed in a number of oxide semiconductors, in zinc sulfide, and in alkali halide crystals; however, it was difficult to observe such complexes in silicon, gallium arsenide, and other A 3 B 5 and A 2 B 6 semiconductors

  3. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  4. LDMOS Channel Thermometer Based on a Thermal Resistance Sensor for Balancing Temperature in Monolithic Power ICs

    Directory of Open Access Journals (Sweden)

    Tingyou Lin

    2017-06-01

    Full Text Available This paper presents a method of thermal balancing for monolithic power integrated circuits (ICs. An on-chip temperature monitoring sensor that consists of a poly resistor strip in each of multiple parallel MOSFET banks is developed. A temperature-to-frequency converter (TFC is proposed to quantize on-chip temperature. A pulse-width-modulation (PWM methodology is developed to balance the channel temperature based on the quantization. The modulated PWM pulses control the hottest of metal-oxide-semiconductor field-effect transistor (MOSFET bank to reduce its power dissipation and heat generation. A test chip with eight parallel MOSFET banks is fabricated in TSMC 0.25 μm HV BCD processes, and total area is 900 × 914 μm2. The maximal temperature variation among the eight banks can reduce to 2.8 °C by the proposed thermal balancing system from 9.5 °C with 1.5 W dissipation. As a result, our proposed system improves the lifetime of a power MOSFET by 20%.

  5. Ratiometric, filter-free optical sensor based on a complementary metal oxide semiconductor buried double junction photodiode.

    Science.gov (United States)

    Yung, Ka Yi; Zhan, Zhiyong; Titus, Albert H; Baker, Gary A; Bright, Frank V

    2015-07-16

    We report a complementary metal oxide semiconductor integrated circuit (CMOS IC) with a buried double junction (BDJ) photodiode that (i) provides a real-time output signal that is related to the intensity ratio at two emission wavelengths and (ii) simultaneously eliminates the need for an optical filter to block Rayleigh scatter. We demonstrate the BDJ platform performance for gaseous NH3 and aqueous pH detection. We also compare the BDJ performance to parallel results obtained by using a slew scanned fluorimeter (SSF). The BDJ results are functionally equivalent to the SSF results without the need for any wavelength filtering or monochromators and the BDJ platform is not prone to errors associated with source intensity fluctuations or sensor signal drift. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    Science.gov (United States)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  7. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  8. Method to quantify the delocalization of electronic states in amorphous semiconductors and its application to assessing charge carrier mobility of p -type amorphous oxide semiconductors

    Science.gov (United States)

    de Jamblinne de Meux, A.; Pourtois, G.; Genoe, J.; Heremans, P.

    2018-01-01

    Amorphous semiconductors are usually characterized by a low charge carrier mobility, essentially related to their lack of long-range order. The development of such material with higher charge carrier mobility is hence challenging. Part of the issue comes from the difficulty encountered by first-principles simulations to evaluate concepts such as the electron effective mass for disordered systems since the absence of periodicity induced by the disorder precludes the use of common concepts derived from condensed matter physics. In this paper, we propose a methodology based on first-principles simulations that partially solves this problem, by quantifying the degree of delocalization of a wave function and of the connectivity between the atomic sites within this electronic state. We validate the robustness of the proposed formalism on crystalline and molecular systems and extend the insights gained to disordered/amorphous InGaZnO4 and Si. We also explore the properties of p -type oxide semiconductor candidates recently reported to have a low effective mass in their crystalline phases [G. Hautier et al., Nat. Commun. 4, 2292 (2013), 10.1038/ncomms3292]. Although in their amorphous phase none of the candidates present a valence band with delocalization properties matching those found in the conduction band of amorphous InGaZnO4, three of the seven analyzed materials show some potential. The most promising candidate, K2Sn2O3 , is expected to possess in its amorphous phase a slightly higher hole mobility than the electron mobility in amorphous silicon.

  9. Study of Si/Si, Si/SiO2, and metal-oxide-semiconductor (MOS) using positrons

    International Nuclear Information System (INIS)

    Leung, To Chi.

    1991-01-01

    A variable-energy positron beam is used to study Si/Si, Si/SiO 2 , and metal-oxide-semiconductor (MOS) structures. The capability of depth resolution and the remarkable sensitivity to defects have made the positron annihilation technique a unique tool in detecting open-volume defects in the newly innovated low temperature (300C) molecular-beam-epitaxy (MBE) Si/Si. These two features of the positron beam have further shown its potential role in the study of the Si/SiO 2 . Distinct annihilation characteristics has been observed at the interface and has been studied as a function of the sample growth conditions, annealing (in vacuum), and hydrogen exposure. The MOS structure provides an effective way to study the electrical properties of the Si/SiO 2 interface as a function of applied bias voltage. The annihilation characteristics show a large change as the device condition is changed from accumulation to inversion. The effect of forming gas (FG) anneal is studied using positron annihilation and the result is compared with capacitance-voltage (C-V) measurements. The reduction in the number of interface states is found correlated with the changes in the positron spectra. The present study shows the importance of the positron annihilation technique as a non-contact, non-destructive, and depth-sensitive characterization tool to study the Si-related systems, in particular, the Si/SiO 2 interface which is of crucial importance in semiconductor technology, and fundamental understanding of the defects responsible for degradation of the electrical properties

  10. Lack of enhanced photocatalytic formation of iodine on particulate semiconductor mixtures.

    Science.gov (United States)

    Karunakaran, C; Anilkumar, P; Vinayagamoorthy, P

    2012-12-01

    Under UV-A light illumination, formation of iodine from iodide ion on the surfaces of anatase TiO(2), ZnO, Fe(2)O(3), CeO(2), MoO(3), Bi(2)O(3), and Nb(2)O(5) increases with the concentration of iodide ion, airflow rate and light intensity and conform to the Langmuir-Hinshelwood kinetic model. Measurement of the particle size of the semiconductor oxides by light scattering method and deduction of the same from the determined specific surface area show that the oxide particles agglomerate in suspension. However, mixtures of any two listed particulate semiconductors do not show enhanced photocatalytic formation of iodine indicating absence of interparticle charge transfer. The results are rationalized. Copyright © 2012 Elsevier B.V. All rights reserved.

  11. Hybrid artificial photosynthetic systems comprising semiconductors as light harvesters and biomimetic complexes as molecular cocatalysts.

    Science.gov (United States)

    Wen, Fuyu; Li, Can

    2013-11-19

    Solar fuel production through artificial photosynthesis may be a key to generating abundant and clean energy, thus addressing the high energy needs of the world's expanding population. As the crucial components of photosynthesis, the artificial photosynthetic system should be composed of a light harvester (e.g., semiconductor or molecular dye), a reduction cocatalyst (e.g., hydrogenase mimic, noble metal), and an oxidation cocatalyst (e.g., photosystem II mimic for oxygen evolution from water oxidation). Solar fuel production catalyzed by an artificial photosynthetic system starts from the absorption of sunlight by the light harvester, where charge separation takes place, followed by a charge transfer to the reduction and oxidation cocatalysts, where redox reaction processes occur. One of the most challenging problems is to develop an artificial photosynthetic solar fuel production system that is both highly efficient and stable. The assembly of cocatalysts on the semiconductor (light harvester) not only can facilitate the charge separation, but also can lower the activation energy or overpotential for the reactions. An efficient light harvester loaded with suitable reduction and oxidation cocatalysts is the key for high efficiency of artificial photosynthetic systems. In this Account, we describe our strategy of hybrid photocatalysts using semiconductors as light harvesters with biomimetic complexes as molecular cocatalysts to construct efficient and stable artificial photosynthetic systems. We chose semiconductor nanoparticles as light harvesters because of their broad spectral absorption and relatively robust properties compared with a natural photosynthesis system. Using biomimetic complexes as cocatalysts can significantly facilitate charge separation via fast charge transfer from the semiconductor to the molecular cocatalysts and also catalyze the chemical reactions of solar fuel production. The hybrid photocatalysts supply us with a platform to study the

  12. Physics and Chemistry on Well-Defined Semiconductor and Oxide Surfaces

    Science.gov (United States)

    Chen, Peijun

    High resolution electron energy loss spectroscopy (HREELS) and other surface spectroscopic techniques have been employed to investigate the following two classes of surface/interface phenomena on well-defined semiconductor and oxide surfaces: (i) the fundamental physical and chemical processes involved in gas-solid interaction on silicon single crystal surfaces, and (ii) the physical and chemical properties of metal-oxide interfaces. The particular systems reported in this dissertation are: NH_3, PH_3 and B_ {10}H_{14} on Si(111)-(7 x 7); NH_3 on Si(100) -(2 x 1); atomic H on Si(111)-(7 x 7) and boron-modified Si(111); Al on Al_2O_3 and Sn on SiO_2.. On silicon surfaces, the surface dangling bonds function as the primary adsorption sites where surface chemical processes take place. The unambiguous identification of surface species by vibrational spectroscopy allows the elementary steps involved in these surface chemical processes to be followed on a molecular level. For adsorbate molecules such as NH_3 and PH_3, the nature of the initial low temperature (100 -300 K) adsorption is found to be dissociative, while that for B_{10}H_ {14} is non-dissociative. This has been deduced based upon the presence (or absence) of specific characteristic vibrational mode(s) on surface. By following the evolution of surface species as a function of temperature, the elementary steps leading to silicon nitride thin film growth and doping of silicon are elucidated. In the case of NH_3 on Si(111)-(7 x 7) and Si(100)-(2 x 1), a detailed understanding on the role of substrate surface structure in controlling the surface reactivity has been gained on the basis of a Si adatom backbond-strain relief mechanism on the Si(111) -(7 x 7). The electronic modification to Si(111) surface by subsurface boron doping has been shown to quench its surface chemistry, even for the most aggressive atomic H. This discovery is potentially meaningful to the technology of gas-phase silicon etching. The

  13. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  14. Nonresonant Faraday rotation in glassy semiconductors

    Science.gov (United States)

    van den Keybus, P.; Grevendonk, W.

    1986-06-01

    Nonresonant interband Faraday rotation in amorphous semiconductors, as a function of photon energy, may be described by an equation derived for direct transitions in crystalline semiconductors. In this paper it is shown how this equation may be obtained for the former case also, assuming a parabolic density of states function N(E) and a correlation between valence- and conduction-band states. The analysis of experiments on chalcogenide glasses reveals a Faraday-rotation energy gap EFRg that is significantly larger than the optical gap Eoptg. The effect is attributed to transitions between extended states, so that it is meaningful to compare EFRg with the mobility gap Eμg. For oxide glasses both gaps are comparable but for chalcogenide glasses EFRg is too large by a few tenths of 1 eV.

  15. Indium tin oxide films prepared by atmospheric plasma annealing and their semiconductor-metal conductivity transition around room temperature

    International Nuclear Information System (INIS)

    Li Yali; Li Chunyang; He Deyan; Li Junshuai

    2009-01-01

    We report the synthesis of indium tin oxide (ITO) films using the atmospheric plasma annealing (APA) technique combined with the spin-coating method. The ITO film with a low resistivity of ∼4.6 x 10 -4 Ω cm and a high visible light transmittance, above 85%, was achieved. Hall measurement indicates that compared with the optimized ITO films deposited by magnetron sputtering, the above-mentioned ITO film has a higher carrier concentration of ∼1.21 x 10 21 cm -3 and a lower mobility of ∼11.4 cm 2 V -1 s -1 . More interestingly, these electrical characteristics result in the semiconductor-metal conductivity transition around room temperature for the ITO films prepared by APA.

  16. Radiation hardness of β-Ga2O3 metal-oxide-semiconductor field-effect transistors against gamma-ray irradiation

    Science.gov (United States)

    Wong, Man Hoi; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Sasaki, Kohei; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka

    2018-01-01

    The effects of ionizing radiation on β-Ga2O3 metal-oxide-semiconductor field-effect transistors (MOSFETs) were investigated. A gamma-ray tolerance as high as 1.6 MGy(SiO2) was demonstrated for the bulk Ga2O3 channel by virtue of weak radiation effects on the MOSFETs' output current and threshold voltage. The MOSFETs remained functional with insignificant hysteresis in their transfer characteristics after exposure to the maximum cumulative dose. Despite the intrinsic radiation hardness of Ga2O3, radiation-induced gate leakage and drain current dispersion ascribed respectively to dielectric damage and interface charge trapping were found to limit the overall radiation hardness of these devices.

  17. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  18. Laser line scan underwater imaging by complementary metal-oxide-semiconductor camera

    Science.gov (United States)

    He, Zhiyi; Luo, Meixing; Song, Xiyu; Wang, Dundong; He, Ning

    2017-12-01

    This work employs the complementary metal-oxide-semiconductor (CMOS) camera to acquire images in a scanning manner for laser line scan (LLS) underwater imaging to alleviate backscatter impact of seawater. Two operating features of the CMOS camera, namely the region of interest (ROI) and rolling shutter, can be utilized to perform image scan without the difficulty of translating the receiver above the target as the traditional LLS imaging systems have. By the dynamically reconfigurable ROI of an industrial CMOS camera, we evenly divided the image into five subareas along the pixel rows and then scanned them by changing the ROI region automatically under the synchronous illumination by the fun beams of the lasers. Another scanning method was explored by the rolling shutter operation of the CMOS camera. The fun beam lasers were turned on/off to illuminate the narrow zones on the target in a good correspondence to the exposure lines during the rolling procedure of the camera's electronic shutter. The frame synchronization between the image scan and the laser beam sweep may be achieved by either the strobe lighting output pulse or the external triggering pulse of the industrial camera. Comparison between the scanning and nonscanning images shows that contrast of the underwater image can be improved by our LLS imaging techniques, with higher stability and feasibility than the mechanically controlled scanning method.

  19. Anomalous output characteristic shift for the n-type lateral diffused metal-oxide-semiconductor transistor with floating P-top layer

    International Nuclear Information System (INIS)

    Liu, Siyang; Zhang, Chunwei; Sun, Weifeng; Su, Wei; Wang, Shaorong; Ma, Shulang; Huang, Yu

    2014-01-01

    Anomalous output characteristic shift of the n-type lateral diffused metal-oxide-semiconductor transistor with floating P-top layer is investigated. It shows that the linear drain current has obvious decrease when the output characteristic of fresh device is measured for two consecutive times. The charge pumping experiments demonstrate that the decrease is not from hot-carrier degradation. The reduction of cross section area for the current flowing, which results from the squeezing of the depletion region surrounding the P-top layer, is responsible for the shift. Consequently, the current capability of this special device should be evaluated by the second measured output characteristic

  20. Anomalous output characteristic shift for the n-type lateral diffused metal-oxide-semiconductor transistor with floating P-top layer

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Siyang; Zhang, Chunwei; Sun, Weifeng, E-mail: swffrog@seu.edu.cn [National ASIC System Engineering Research Center, Southeast University, Nanjing 210096 (China); Su, Wei; Wang, Shaorong; Ma, Shulang; Huang, Yu [CSMC Technologies Corporation, Wuxi 214061 (China)

    2014-04-14

    Anomalous output characteristic shift of the n-type lateral diffused metal-oxide-semiconductor transistor with floating P-top layer is investigated. It shows that the linear drain current has obvious decrease when the output characteristic of fresh device is measured for two consecutive times. The charge pumping experiments demonstrate that the decrease is not from hot-carrier degradation. The reduction of cross section area for the current flowing, which results from the squeezing of the depletion region surrounding the P-top layer, is responsible for the shift. Consequently, the current capability of this special device should be evaluated by the second measured output characteristic.

  1. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  2. PdO Doping Tunes Band-Gap Energy Levels as Well as Oxidative Stress Responses to a Co3O4p-Type Semiconductor in Cells and the Lung

    Science.gov (United States)

    2014-01-01

    We demonstrate through PdO doping that creation of heterojunctions on Co3O4 nanoparticles can quantitatively adjust band-gap and Fermi energy levels to study the impact of metal oxide nanoparticle semiconductor properties on cellular redox homeostasis and hazard potential. Flame spray pyrolysis (FSP) was used to synthesize a nanoparticle library in which the gradual increase in the PdO content (0–8.9%) allowed electron transfer from Co3O4 to PdO to align Fermi energy levels across the heterojunctions. This alignment was accompanied by free hole accumulation at the Co3O4 interface and production of hydroxyl radicals. Interestingly, there was no concomitant superoxide generation, which could reflect the hole dominance of a p-type semiconductor. Although the electron flux across the heterojunctions induced upward band bending, the Ec levels of the doped particles showed energy overlap with the biological redox potential (BRP). This allows electron capture from the redox couples that maintain the BRP from −4.12 to −4.84 eV, causing disruption of cellular redox homeostasis and induction of oxidative stress. PdO/Co3O4 nanoparticles showed significant increases in cytotoxicity at 25, 50, 100, and 200 μg/mL, which was enhanced incrementally by PdO doping in BEAS-2B and RAW 264.7 cells. Oxidative stress presented as a tiered cellular response involving superoxide generation, glutathione depletion, cytokine production, and cytotoxicity in epithelial and macrophage cell lines. A progressive series of acute pro-inflammatory effects could also be seen in the lungs of animals exposed to incremental PdO-doped particles. All considered, generation of a combinatorial PdO/Co3O4 nanoparticle library with incremental heterojunction density allowed us to demonstrate the integrated role of Ev, Ec, and Ef levels in the generation of oxidant injury and inflammation by the p-type semiconductor, Co3O4. PMID:24673286

  3. Physical and electrical characteristics of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with rare earth Er2O3 as a gate dielectric

    International Nuclear Information System (INIS)

    Lin, Ray-Ming; Chu, Fu-Chuan; Das, Atanu; Liao, Sheng-Yu; Chou, Shu-Tsun; Chang, Liann-Be

    2013-01-01

    In this study, the rare earth erbium oxide (Er 2 O 3 ) was deposited using an electron beam onto an AlGaN/GaN heterostructure to fabricate metal-oxide-semiconductor high-electron-mobility transistors (MOS–HEMTs) that exhibited device performance superior to that of a conventional HEMT. Under similar bias conditions, the gate leakage currents of these MOS–HEMT devices were four orders of magnitude lower than those of conventional Schottky gate HEMTs. The measured sub-threshold swing (SS) and the effective trap state density (N t ) of the MOS–HEMT were 125 mV/decade and 4.3 × 10 12 cm −2 , respectively. The dielectric constant of the Er 2 O 3 layer in this study was 14, as determined through capacitance–voltage measurements. In addition, the gate–source reverse breakdown voltage increased from –166 V for the conventional HEMT to –196 V for the Er 2 O 3 MOS–HEMT. - Highlights: ► GaN/AlGaN/Er 2 O 3 metal-oxide semiconductor high electron mobility transistor ► Physical and electrical characteristics are presented. ► Electron beam evaporated Er 2 O 3 with excellent surface roughness ► Device exhibits reduced gate leakage current and improved I ON /I OFF ratio

  4. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  5. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    Energy Technology Data Exchange (ETDEWEB)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin; Roy, A. -M.; Curry, Matthew Jon; Ten Eyck, Gregory A.; Manginell, Ronald P.; Wendt, Joel R.; Pluym, Tammy; Carr, Stephen M; Ward, Daniel Robert; Lilly, Michael; pioro-ladriere, michel

    2017-07-01

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down to the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.

  6. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  7. Study of non-stoichiometric BaSrTiFeO3 oxide dedicated to semiconductor gas sensors

    International Nuclear Information System (INIS)

    Fasquelle, D.; Verbrugghe, N.; Deputier, S.

    2016-01-01

    Developing instrumentation systems compatible with the European RoHS directive (restriction of hazardous substances) to monitor our environment is of great interest for our society. Our research therefore aims at developing innovating integrated systems of detection dedicated to the characterization of various environmental exposures. These systems, which integrate new gas sensors containing lead-free oxides, are dedicated to the detection of flammable and toxic gases. We have firstly chosen to study semiconductor gas sensors implemented with lead-free oxides in view to develop RoHS devices. Therefore thick films deposited by spin-coating and screen-printing have been chosen for their robustness, ease to realize and ease to finally obtain cost-effective sensors. As crystalline defects and ionic vacancies are of great interest for gas detection, we have decided to study a non-stoichiometric composition of the BaSrTiFeO 3 sensible oxide. Nonstoichiometric BaSrTiFeO 3 lead-free oxide thick films were deposited by screen-printing on polycrystalline AFO 3 substrates covered by a layer of Ag-Pd acting as bottom electrode. The physical characterizations have revealed a crystalline structure mainly composed of BaTiO 3 pseudo-cubic phase and Ba 4 Ti 12 O 27 monoclinic phase for the powder, and a porous microstructure for the thick films. When compared to a BSTF thick film with a stoichiometric composition, a notable increase in the BSTF dielectric constant value was observed when taking into account of a similar microstructure and grain size. The loss tangent mean value varies more softly for the non-stoichiometric BaSrTiFeO 3 films than for the perovskite BSTF film as tanδ decreases from 0.45 to 0.04 when the frequency increases from 100 Hz to 1 MHz. (paper)

  8. Comprehensive and fully self-consistent modeling of modern semiconductor lasers

    International Nuclear Information System (INIS)

    Nakwaski, W.; Sarzał, R. P.

    2016-01-01

    The fully self-consistent model of modern semiconductor lasers used to design their advanced structures and to understand more deeply their properties is given in the present paper. Operation of semiconductor lasers depends not only on many optical, electrical, thermal, recombination, and sometimes mechanical phenomena taking place within their volumes but also on numerous mutual interactions between these phenomena. Their experimental investigation is quite complex, mostly because of miniature device sizes. Therefore, the most convenient and exact method to analyze expected laser operation and to determine laser optimal structures for various applications is to examine the details of their performance with the aid of a simulation of laser operation in various considered conditions. Such a simulation of an operation of semiconductor lasers is presented in this paper in a full complexity of all mutual interactions between the above individual physical processes. In particular, the hole-burning effect has been discussed. The impacts on laser performance introduced by oxide apertures (their sizes and localization) have been analyzed in detail. Also, some important details concerning the operation of various types of semiconductor lasers are discussed. The results of some applications of semiconductor lasers are shown for successive laser structures. (paper)

  9. Universal strategy for Ohmic hole injection into organic semiconductors with high ionization energies.

    Science.gov (United States)

    Kotadiya, Naresh B; Lu, Hao; Mondal, Anirban; Ie, Yutaka; Andrienko, Denis; Blom, Paul W M; Wetzelaer, Gert-Jan A H

    2018-04-01

    Barrier-free (Ohmic) contacts are a key requirement for efficient organic optoelectronic devices, such as organic light-emitting diodes, solar cells, and field-effect transistors. Here, we propose a simple and robust way of forming an Ohmic hole contact on organic semiconductors with a high ionization energy (IE). The injected hole current from high-work-function metal-oxide electrodes is improved by more than an order of magnitude by using an interlayer for which the sole requirement is that it has a higher IE than the organic semiconductor. Insertion of the interlayer results in electrostatic decoupling of the electrode from the semiconductor and realignment of the Fermi level with the IE of the organic semiconductor. The Ohmic-contact formation is illustrated for a number of material combinations and solves the problem of hole injection into organic semiconductors with a high IE of up to 6 eV.

  10. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide-Semiconductor Image Sensors.

    Science.gov (United States)

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-05-02

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components.

  11. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  12. Searching Room Temperature Ferromagnetism in Wide Gap Semiconductors Fe-doped Strontium Titanate and Zinc Oxide

    CERN Document Server

    Pereira, LMC; Wahl, U

    Scientific findings in the very beginning of the millennium are taking us a step further in the new paradigm of technology: spintronics. Upgrading charge-based electronics with the additional degree of freedom of the carriers spin-state, spintronics opens a path to the birth of a new generation of devices with the potential advantages of non-volatility and higher processing speed, integration densities and power efficiency. A decisive step towards this new age lies on the attribution of magnetic properties to semiconductors, the building block of today's electronics, that is, the realization of ferromagnetic semiconductors (FS) with critical temperatures above room temperature. Unfruitful search for intrinsic RT FS lead to the concept of Dilute(d) Magnetic Semiconductors (DMS): ordinary semiconductor materials where 3 d transition metals randomly substitute a few percent of the matrix cations and, by some long-range mechanism, order ferromagnetically. The times are of intense research activity and the last fe...

  13. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  14. Structural, optical and electrical properties of tin oxide thin films for application as a wide band gap semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Riti; Ahmad, Shabir; Aziz, Anver; Siddiqui, Azher Majid, E-mail: amsiddiqui@jmi.ac.in [Department of Physics, Jamia Millia Islamia, New Delhi-110025 (India)

    2015-08-28

    Tin oxide (SnO) thin films were synthesized using thermal evaporation technique. Ultra pure metallic tin was deposited on glass substrates using thermal evaporator under high vacuum. The thickness of the tin deposited films was kept at 100nm. Subsequently, the as-deposited tin films were annealed under oxygen environment for a period of 3hrs to obtain tin oxide films. To analyse the suitability of the synthesized tin oxide films as a wide band gap semiconductor, various properties were studied. Structural parameters were studied using XRD and SEM-EDX. The optical properties were studied using UV-Vis Spectrophotometry and the electrical parameters were calculated using the Hall-setup. XRD and SEM confirmed the formation of SnO phase. Uniform texture of the film can be seen through the SEM images. Presence of traces of unoxidised Sn has also been confirmed through the XRD spectra. The band gap calculated was around 3.6eV and the optical transparency around 50%. The higher value of band gap and lower value of optical transparency can be attributed to the presence of unoxidised Sn. The values of resistivity and mobility as measured by the Hall setup were 78Ωcm and 2.92cm{sup 2}/Vs respectively. The reasonable optical and electrical parameters make SnO a suitable candidate for optoelectronic and electronic device applications.

  15. Highly stable copper oxide composite as an effective photocathode for water splitting via a facile electrochemical synthesis strategy

    KAUST Repository

    Zhang, Zhonghai; Wang, Peng

    2012-01-01

    focused on n-type metal oxide semiconductors as photoanodes, whereas studies of p-type metal oxide semiconductors as photocathodes where hydrogen is generated are scarce. In this paper, highly efficient and stable copper oxide composite photocathode

  16. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    Science.gov (United States)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  17. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  18. Comparison of modification strategies towards enhanced charge carrier separation and photocatalytic degradation activity of metal oxide semiconductors (TiO{sub 2}, WO{sub 3} and ZnO)

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, S. Girish [Department of Physics, Indian Institute of Science, Bengaluru, 560012 Karnataka (India); Department of Chemistry, School of Engineering and Technology, CMR University, Bengaluru, 562149, Karnataka (India); Rao, K.S.R. Koteswara, E-mail: raoksrk@gmail.com [Department of Physics, Indian Institute of Science, Bengaluru, 560012 Karnataka (India)

    2017-01-01

    Graphical abstract: Semiconductor metal oxides: Modifications, charge carrier dynamics and photocatalysis. - Highlights: • TiO{sub 2}, WO{sub 3} and ZnO based photocatalysis is reviewed. • Advances to improve the efficiency are emphasized. • Differences and similarities in the modifications are highlighted. • Charge carrier dynamics for each strategy are discussed. - Abstract: Metal oxide semiconductors (TiO{sub 2}, WO{sub 3} and ZnO) finds unparalleled opportunity in wastewater purification under UV/visible light, largely encouraged by their divergent admirable features like stability, non-toxicity, ease of preparation, suitable band edge positions and facile generation of active oxygen species in the aqueous medium. However, the perennial failings of these photocatalysts emanates from the stumbling blocks like rapid charge carrier recombination and meager visible light response. In this review, tailoring the surface-bulk electronic structure through the calibrated and veritable approaches such as impurity doping, deposition with noble metals, sensitizing with other compounds (dyes, polymers, inorganic complexes and simple chelating ligands), hydrogenation process (annealing under hydrogen atmosphere), electronic integration with other semiconductors, modifying with carbon nanostructures, designing with exposed facets and tailoring with hierarchical morphologies to overcome their critical drawbacks are summarized. Taking into account the materials intrinsic properties, the pros and cons together with similarities and striking differences for each strategy in specific to TiO{sub 2}, WO{sub 3} & ZnO are highlighted. These subtlety enunciates the primacy for improving the structure-electronic properties of metal oxides and credence to its fore in the practical applications. Future research must focus on comparing the performances of ZnO, TiO{sub 2} and WO{sub 3} in parallel to get insight into their photocatalytic behaviors. Such comparisons not only reveal

  19. Vapor-Liquid-Solid Etch of Semiconductor Surface Channels by Running Gold Nanodroplets.

    Science.gov (United States)

    Nikoobakht, Babak; Herzing, Andrew; Muramoto, Shin; Tersoff, Jerry

    2015-12-09

    We show that Au nanoparticles spontaneously move across the (001) surface of InP, InAs, and GaP when heated in the presence of water vapor. As they move, the particles etch crystallographically aligned grooves into the surface. We show that this process is a negative analogue of the vapor-liquid-solid (VLS) growth of semiconductor nanowires: the semiconductor dissolves into the catalyst and reacts with water vapor at the catalyst surface to create volatile oxides, depleting the dissolved cations and anions and thus sustaining the dissolution process. This VLS etching process provides a new tool for directed assembly of structures with sublithographic dimensions, as small as a few nanometers in diameter. Au particles above 100 nm in size do not exhibit this process but remain stationary, with oxide accumulating around the particles.

  20. Wafer-scale laser pantography: Fabrication of n-metal-oxide-semiconductor transistors and small-scale integrated circuits by direct-write laser-induced pyrolytic reactions

    International Nuclear Information System (INIS)

    McWilliams, B.M.; Herman, I.P.; Mitlitsky, F.; Hyde, R.A.; Wood, L.L.

    1983-01-01

    A complete set of processes sufficient for manufacture of n-metal-oxide-semiconductor (n-MOS) transistors by a laser-induced direct-write process has been demonstrated separately, and integrated to yield functional transistors. Gates and interconnects were fabricated of various combinations of n-doped and intrinsic polysilicon, tungsten, and tungsten silicide compounds. Both 0.1-μm and 1-μm-thick gate oxides were micromachined with and without etchant gas, and the exposed p-Si [100] substrate was cleaned and, at times, etched. Diffusion regions were doped by laser-induced pyrolytic decomposition of phosphine followed by laser annealing. Along with the successful manufacture of working n-MOS transistors and a set of elementary digital logic gates, this letter reports the successful use of several laser-induced surface reactions that have not been reported previously

  1. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  2. Design and exploration of semiconductors from first principles: A review of recent advances

    Science.gov (United States)

    Oba, Fumiyasu; Kumagai, Yu

    2018-06-01

    Recent first-principles approaches to semiconductors are reviewed, with an emphasis on theoretical insight into emerging materials and in silico exploration of as-yet-unreported materials. As relevant theory and methodologies have developed, along with computer performance, it is now feasible to predict a variety of material properties ab initio at the practical level of accuracy required for detailed understanding and elaborate design of semiconductors; these material properties include (i) fundamental bulk properties such as band gaps, effective masses, dielectric constants, and optical absorption coefficients; (ii) the properties of point defects, including native defects, residual impurities, and dopants, such as donor, acceptor, and deep-trap levels, and formation energies, which determine the carrier type and density; and (iii) absolute and relative band positions, including ionization potentials and electron affinities at semiconductor surfaces, band offsets at heterointerfaces between dissimilar semiconductors, and Schottky barrier heights at metal–semiconductor interfaces, which are often discussed systematically using band alignment or lineup diagrams. These predictions from first principles have made it possible to elucidate the characteristics of semiconductors used in industry, including group III–V compounds such as GaN, GaP, and GaAs and their alloys with related Al and In compounds; amorphous oxides, represented by In–Ga–Zn–O transparent conductive oxides (TCOs), represented by In2O3, SnO2, and ZnO; and photovoltaic absorber and buffer layer materials such as CdTe and CdS among group II–VI compounds and chalcopyrite CuInSe2, CuGaSe2, and CuIn1‑ x Ga x Se2 (CIGS) alloys, in addition to the prototypical elemental semiconductors Si and Ge. Semiconductors attracting renewed or emerging interest have also been investigated, for instance, divalent tin compounds, including SnO and SnS; wurtzite-derived ternary compounds such as ZnSnN2 and Cu

  3. Improving Breakdown Behavior by Substrate Bias in a Novel Double Epi-layer Lateral Double Diffused MOS Transistor

    International Nuclear Information System (INIS)

    Li Qi; Wang Wei-Dong; Liu Yun; Wei Xue-Ming

    2012-01-01

    A new lateral double diffused MOS (LDMOS) transistor with a double epitaxial layer formed by an n-type substrate and a p-type epitaxial layer is reported (DEL LDMOS). The mechanism of the improved breakdown characteristic is that the high electric field around the drain is reduced by substrate reverse bias, which causes the redistribution of the bulk electric field in the drift region, and the vertical blocking voltage is shared by the drain side and the source side. The numerical results indicate that the trade-off between breakdown voltage and on-resistance of the proposed device is improved greatly in comparison to that of the conventional LDMOS. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    Science.gov (United States)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  5. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  6. Neutron and gamma irradiation effects on power semiconductor switches

    Science.gov (United States)

    Schwarze, G. E.; Frasca, A. J.

    1990-01-01

    The performance characteristics of high power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN Bipolar Junction Transistors (BJTs), and Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs). BJTs show a rapid decrease in gain, blocking voltage, and storage time for neutron irradiation, and MOSFETs show a rapid decrease in the gate threshold voltage for gamma irradiation.

  7. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  8. A Wide-Range Tunable Level-Keeper Using Vertical Metal-Oxide-Semiconductor Field-Effect Transistors for Current-Reuse Systems

    Science.gov (United States)

    Tanoi, Satoru; Endoh, Tetsuo

    2012-04-01

    A wide-range tunable level-keeper using vertical metal-oxide-semiconductor field-effect transistors (MOSFETs) is proposed for current-reuse analog systems. The design keys for widening tunable range of the operation are a two-path feed-back and a vertical MOSFET with back-bias-effect free. The proposed circuit with the vertical MOSFETs shows the 1.23-V tunable-range of the input level with the 2.4-V internal-supply voltage (VDD) in the simulation. This tunable-range of the proposed circuit is 4.7 times wider than that of the conventional. The achieved current efficiency of the proposed level-keeper is 66% at the 1.2-V output with the 2.4-V VDD. This efficiency of the proposed circuit is twice higher than that of the traditional voltage down converter.

  9. Fabrication of solid-state secondary battery using semiconductors and evaluation of its charge/discharge characteristics

    Science.gov (United States)

    Sasaki, Atsuya; Sasaki, Akito; Hirabayashi, Hideaki; Saito, Shuichi; Aoki, Katsuaki; Kataoka, Yoshinori; Suzuki, Koji; Yabuhara, Hidehiko; Ito, Takahiro; Takagi, Shigeyuki

    2018-04-01

    Li-ion batteries have attracted interest for use as storage batteries. However, the risk of fire has not yet been resolved. Although solid Li-ion batteries are possible alternatives, their performance characteristics are unsatisfactory. Recently, research on utilizing the accumulation of carriers at the trap levels of semiconductors has been performed. However, the detailed charge/discharge characteristics and principles have not been reported. In this report, we attempted to form new n-type oxide semiconductor/insulator/p-type oxide semiconductor structures. The battery characteristics of these structures were evaluated by charge/discharge measurements. The obtained results clearly indicated the characteristics of rechargeable batteries. Furthermore, the fabricated structure accumulated an approximately 5000 times larger number of carriers than a parallel plate capacitor. Additionally, by constructing circuit models based on the experimental results, the charge/discharge mechanisms were considered. This is the first detailed experimental report on a rechargeable battery that operates without the double injection of ions and electrons.

  10. Mercuric iodide semiconductor detectors encapsulated in polymeric resin

    Energy Technology Data Exchange (ETDEWEB)

    Martins, Joao F. Trencher; Santos, Robinson A. dos; Ferraz, Caue de M.; Oliveira, Adriano S.; Velo, Alexandre F.; Mesquita, Carlos H. de; Hamada, Margarida M., E-mail: mmhamada@ipen.br [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Disch, Christian; Fiederle, Michael [Albert-Ludwigs Universität Freiburg - UniFreibrug, Freiburg Materials Research Center - FMF, Freiburg (Germany)

    2015-07-01

    The development of new semiconductor radiation detectors always finds many setback factors, such as: high concentration of impurities in the start materials, poor long term stability, the surface oxidation and other difficulties discussed extensively in the literature, that limit their use. In this work was studied, the application of a coating resin on HgI2 detectors, in order to protect the semiconductor crystal reactions from atmospheric gases and to isolate electrically the surface of the crystals. Four polymeric resins were analyzed: Resin 1: 50% - 100%Heptane, 10% - 25% methylcyclohexane, <1% cyclohexane; Resin 2: 25% - 50% ethanol, 25% - 50% acetone, <2,5% ethylacetate; Resin 3: 50% - 100% methylacetate, 5% - 10% n-butylacetate; Resin 4: 50% - 100% ethyl-2-cyanacrylat. The influence of the polymeric resin type used on the spectroscopic performance of the HgI{sub 2} semiconductor detector is, clearly, demonstrated. The better result was found for the detector encapsulated with Resin 3. An increase of up to 26 times at the stability time was observed for the detectors encapsulated compared to that non-encapsulated detector. (author)

  11. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  12. Nitrogen doped nanocrystalline semiconductor metal oxide: An efficient UV active photocatalyst for the oxidation of an organic dye using slurry Photoreactor.

    Science.gov (United States)

    Ramachandran, Saranya; Sivasamy, A; Kumar, B Dinesh

    2016-12-01

    Water pollution is a cause for serious concern in today's world. A major contributor to water pollution is industrial effluents containing dyes and other organic molecules. Waste water treatment has become a priority area in today's applied scientific research as it seeks to minimize the toxicity of the effluents being discharged and increase the possibility of water recycling. An efficient and eco-friendly way of degrading toxic molecules is to use nano metal-oxide photocatalysts. The present study aims at enhancing the photocatalytic activity of a semiconductor metal oxide by doping it with nitrogen. A sol-gel cum combustion method was employed to synthesize the catalyst. The prepared catalyst was characterized by FT-IR, XRD, UV-DRS, FESEM and AFM techniques. UV-DRS result showed the catalyst to possess band gap energy of 2.97eV, thus making it active in the UV region of the spectrum. Its photocatalytic activity was evaluated by the degradation of a model pollutant-Orange G dye, under UV light irradiation. Preliminary experiments were carried out to study the effects of pH, catalyst dosage and initial dye concentration on the extent of dye degradation. Kinetic studies revealed that the reaction followed pseudo first order kinetics. The effect of electrolytes on catalyst efficiency was also studied. The progress of the reaction was monitored by absorption studies and measuring the reduction in COD. The catalyst thus prepared was seen to have a high photocatalytic efficiency. The use of this catalyst is a promising means of waste water treatment. Copyright © 2016 Elsevier Inc. All rights reserved.

  13. Enhancement mode GaN-based multiple-submicron channel array gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors

    Science.gov (United States)

    Lee, Ching-Ting; Wang, Chun-Chi

    2018-04-01

    To study the function of channel width in multiple-submicron channel array, we fabricated the enhancement mode GaN-based gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors (MOS-HEMTs) with a channel width of 450 nm and 195 nm, respectively. In view of the enhanced gate controllability in a narrower fin-channel structure, the transconductance was improved from 115 mS/mm to 151 mS/mm, the unit gain cutoff frequency was improved from 6.2 GHz to 6.8 GHz, and the maximum oscillation frequency was improved from 12.1 GHz to 13.1 GHz of the devices with a channel width of 195 nm, compared with the devices with a channel width of 450 nm.

  14. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  15. On-chip growth of semiconductor metal oxide nanowires for gas sensors: A review

    Directory of Open Access Journals (Sweden)

    Chu Manh Hung

    2017-09-01

    Full Text Available Semiconductor metal oxide nanowires (SMO-NWs show great potential for novel gas sensor applications because of their distinct properties, such as a high surface area to volume aspect ratio, high crystallinity and perfect pathway for electron transfer (length of NW. SMO-NW sensors can be configured as resistors or field-effect transistors for gas detection and different configurations, such as a single NW, multiple NWs, and networked NW films, have been established. Surface-functionalizing NWs with catalyst elements and self-heating NWs provide additional advantages for highly selective and low-power consumption gas sensors. However, an appropriate design of SMO-NWs is of practical importance in enhancing the gas-sensing performance of SMO-NW sensors. The on-chip growth of SMO-NWs possesses many advantages which can thus be effectively used for the large-scale fabrication of SMO-NW sensors with improved gas response and stability. This review aims to provide up-to-date information on the on-chip fabrication of SnO2, ZnO, WO3, CuO, and other SMO-NW sensors. It also discusses a variety of promising approaches that help advance the on-chip fabrication of SMO-NW-based gas sensors and other NW-based devices.

  16. Exploring SiSn as a performance enhancing semiconductor: A theoretical and experimental approach

    KAUST Repository

    Hussain, Aftab M.; Singh, Nirpendra; Fahad, Hossain M.; Rader, Kelly; Schwingenschlö gl, Udo; Hussain, Muhammad Mustafa

    2014-01-01

    We present a novel semiconducting alloy, silicon-tin (SiSn), as channel material for complementary metal oxide semiconductor (CMOS) circuit applications. The material has been studied theoretically using first principles analysis as well

  17. Stress Characterization of 4H-SiC Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) using Raman Spectroscopy and the Finite Element Method.

    Science.gov (United States)

    Yoshikawa, Masanobu; Kosaka, Kenichi; Seki, Hirohumi; Kimoto, Tsunenobu

    2016-07-01

    We measured the depolarized and polarized Raman spectra of a 4H-SiC metal-oxide-semiconductor field-effect transistor (MOSFET) and found that compressive stress of approximately 20 MPa occurs under the source and gate electrodes and tensile stress of approximately 10 MPa occurs between the source and gate electrodes. The experimental result was in close agreement with the result obtained by calculation using the finite element method (FEM). A combination of Raman spectroscopy and FEM provides much data on the stresses in 4H-SiC MOSFET. © The Author(s) 2016.

  18. Defect identification in semiconductors with positron annihilation: experiment and theory

    Science.gov (United States)

    Tuomisto, Filip

    2015-03-01

    Positron annihilation spectroscopy is a very powerful technique for the detection, identification and quantification of vacancy-type defects in semiconductors. In the past decades, it has been used to reveal the relationship between opto-electronic properties and specific defects in a wide variety of materials - examples include parasitic yellow luminescence in GaN, dominant acceptor defects in ZnO and broad-band absorption causing brown coloration in natural diamond. In typical binary compound semiconductors, the selective sensitivity of the technique is rather strongly limited to cation vacancies that possess significant open volume and suitable charge (negative of neutral). On the other hand, oxygen vacancies in oxide semiconductors are a widely debated topic. The properties attributed to oxygen vacancies include the inherent n-type conduction, poor p-type dopability, coloration (absorption), deep level luminescence and non-radiative recombination, while the only direct experimental evidence of their existence has been obtained on the crystal surface. We will present recent advances in combining state-of-the-art positron annihilation experiments and ab initio computational approaches. The latter can be used to model both the positron lifetime and the electron-positron momentum distribution - quantities that can be directly compared with experimental results. We have applied these methods to study vacancy-type defects in III-nitride semiconductors (GaN, AlN, InN) and oxides such as ZnO, SnO2, In2O3andGa2O3. We will show that cation-vacancy-related defects are important compensating centers in all these materials when they are n-type. In addition, we will show that anion (N, O) vacancies can be detected when they appear as complexes with cation vacancies.

  19. Tailoring Charge Recombination in Photoelectrodes Using Oxide Nanostructures

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Wickman, Björn; Svensson, Elin

    2016-01-01

    Optimizing semiconductor devices for solar energy conversion requires an explicit control of the recombination of photogenerated electron−hole pairs. Here we show how the recombination of charge carriers can be controlled in semiconductor thin films by surface patterning with oxide nanodisks....... The control mechanism relies on the formation of dipole-like electric fields at the interface that, depending on the field direction, attract or repel minority carriers from underneath the disks. The charge recombination rate can be controlled through the choice of oxide material and the surface coverage...... of nanodisks. We provide proof-of-principle demonstration of this approach by patterning the surface of Fe2O3, one of the most studied semiconductors for light-driven water splitting, with TiO2 and Cu2O nanodisks. We expect this method to be generally applicable to a range of semiconductor-based solar energy...

  20. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.; Hanna, Amir; Yapici, Tahir; Wehbe, N.; Diallo, Elhadj; Kutbee, Arwa T.; Bahabry, Rabab R.; Hussain, Muhammad Mustafa

    2017-01-01

    , in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured

  1. Band-to-band tunneling in a carbon nanotube metal-oxide-semiconductor field-effect transistor is dominated by phonon-assisted tunneling.

    Science.gov (United States)

    Koswatta, Siyuranga O; Lundstrom, Mark S; Nikonov, Dmitri E

    2007-05-01

    Band-to-band tunneling (BTBT) devices have recently gained a lot of interest due to their potential for reducing power dissipation in integrated circuits. We have performed extensive simulations for the BTBT operation of carbon nanotube metal-oxide-semiconductor field-effect transistors (CNT-MOSFETs) using the nonequilibrium Green's function formalism for both ballistic and dissipative quantum transport. In comparison with recently reported experimental data (J. Am. Chem. Soc. 2006, 128, 3518-3519), we have obtained strong evidence that BTBT in CNT-MOSFETs is dominated by optical phonon assisted inelastic transport, which can have important implications on the transistor characteristics. It is shown that, under large biasing conditions, two-phonon scattering may also become important.

  2. Photodiodes based on fullerene semiconductor

    International Nuclear Information System (INIS)

    Voz, C.; Puigdollers, J.; Cheylan, S.; Fonrodona, M.; Stella, M.; Andreu, J.; Alcubilla, R.

    2007-01-01

    Fullerene thin films have been deposited by thermal evaporation on glass substrates at room temperature. A comprehensive optical characterization was performed, including low-level optical absorption measured by photothermal deflection spectroscopy. The optical absorption spectrum reveals a direct bandgap of 2.3 eV and absorption bands at 2.8 and 3.6 eV, which are related to the creation of charge-transfer excitons. Various photodiodes on indium-tin-oxide coated glass substrates were also fabricated, using different metallic contacts in order to compare their respective electrical characteristics. The influence of a poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) buffer layer between the indium-tin-oxide electrode and the fullerene semiconductor is also demonstrated. These results are discussed in terms of the workfunction for each electrode. Finally, the behaviour of the external quantum efficiency is analyzed for the whole wavelength spectrum

  3. Bio Organic-Semiconductor Field-Effect Transistor (BioFET) Based on Deoxyribonucleic Acid (DNA) Gate Dielectric

    Science.gov (United States)

    2010-03-31

    floating gate devices and metal-insulator-oxide-semiconductor (MIOS) devices. First attempts to use polarizable gate insulators in combination with...bulk of the semiconductor (ii) Due to the polarizable gate dielectric (iii) dipole polarization and (iv)electret effect due to mobile ions in the...characterization was carried out under an argon environment inside the glove box. An Agilent model E5273A with a two source-measurement unit instrument was

  4. Lg = 100 nm In0.7Ga0.3As quantum well metal-oxide semiconductor field-effect transistors with atomic layer deposited beryllium oxide as interfacial layer

    International Nuclear Information System (INIS)

    Koh, D.; Kwon, H. M.; Kim, T.-W.; Veksler, D.; Gilmer, D.; Kirsch, P. D.; Kim, D.-H.; Hudnall, Todd W.; Bielawski, Christopher W.; Maszara, W.; Banerjee, S. K.

    2014-01-01

    In this study, we have fabricated nanometer-scale channel length quantum-well (QW) metal-oxide-semiconductor field effect transistors (MOSFETs) incorporating beryllium oxide (BeO) as an interfacial layer. BeO has high thermal stability, excellent electrical insulating characteristics, and a large band-gap, which make it an attractive candidate for use as a gate dielectric in making MOSFETs. BeO can also act as a good diffusion barrier to oxygen owing to its small atomic bonding length. In this work, we have fabricated In 0.53 Ga 0.47 As MOS capacitors with BeO and Al 2 O 3 and compared their electrical characteristics. As interface passivation layer, BeO/HfO 2 bilayer gate stack presented effective oxide thickness less 1 nm. Furthermore, we have demonstrated In 0.7 Ga 0.3 As QW MOSFETs with a BeO/HfO 2 dielectric, showing a sub-threshold slope of 100 mV/dec, and a transconductance (g m,max ) of 1.1 mS/μm, while displaying low values of gate leakage current. These results highlight the potential of atomic layer deposited BeO for use as a gate dielectric or interface passivation layer for III–V MOSFETs at the 7 nm technology node and/or beyond

  5. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  6. Fabrication and operation methods of a one-time programmable (OTP) nonvolatile memory (NVM) based on a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Cho, Seongjae; Lee, Junghoon; Jung, Sunghun; Park, Sehwan; Park, Byunggook

    2011-01-01

    In this paper, a novel one-time programmable (OTP) nonvolatile memory (NVM) device and its array based on a metal-insulator-semiconductor (MIS) structure is proposed. The Iindividual memory device has a vertical channel of a silicon diode. Historically, OTP memories were widely used for read-only-memories (ROMs), in which the most basic system architecture model was to store central processing unit (CPU) instructions. By grafting the nanoscale fabrication technology and novel structuring onto the concept of the OTP memory, innovative high-density NVM appliances for mobile storage media may be possible. The program operation is performed by breaking down the thin oxide layer between the pn diode structure and the wordline (WL). The programmed state can be identified by an operation that reads the leakage currents through the broken oxide. Since the proposed OTP NVM is based on neither a transistor structure nor a charge storing mechanism, it is highly reliable and functional for realizing the ultra-large scale integration. The operation physics and the fabrication processes are also explained in detail.

  7. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  8. Organic / IV, III-V Semiconductor Hybrid Solar Cells

    Directory of Open Access Journals (Sweden)

    Pang-Leen Ong

    2010-03-01

    Full Text Available We present a review of the emerging class of hybrid solar cells based on organic-semiconductor (Group IV, III-V, nanocomposites, which states separately from dye synthesized, polymer-metal oxides and organic-inorganic (Group II-VI nanocomposite photovoltaics. The structure of such hybrid cell comprises of an organic active material (p-type deposited by coating, printing or spraying technique on the surface of bulk or nanostructured semiconductor (n-type forming a heterojunction between the two materials. Organic components include various photosensitive monomers (e.g., phtalocyanines or porphyrines, conjugated polymers, and carbon nanotubes. Mechanisms of the charge separation at the interface and their transport are discussed. Also, perspectives on the future development of such hybrid cells and comparative analysis with other classes of photovoltaics of third generation are presented.

  9. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  10. Assessing the antimicrobial activity of zinc oxide thin films using disk diffusion and biofilm reactor

    International Nuclear Information System (INIS)

    Gittard, Shaun D.; Perfect, John R.; Monteiro-Riviere, Nancy A.; Wei Wei; Jin Chunming; Narayan, Roger J.

    2009-01-01

    The electronic and chemical properties of semiconductor materials may be useful in preventing growth of microorganisms. In this article, in vitro methods for assessing microbial growth on semiconductor materials will be presented. The structural and biological properties of silicon wafers coated with zinc oxide thin films were evaluated using atomic force microscopy, X-ray photoelectron spectroscopy, and MTT viability assay. The antimicrobial properties of zinc oxide thin films were established using disk diffusion and CDC Biofilm Reactor studies. Our results suggest that zinc oxide and other semiconductor materials may play a leading role in providing antimicrobial functionality to the next-generation medical devices

  11. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  12. Semiconductor Metal Oxide Sensors in Water and Water Based Biological Systems

    Directory of Open Access Journals (Sweden)

    Marina V. Strobkova

    2003-10-01

    Full Text Available The results of implementation of In2O3-based semiconductor sensors for oxygen concentration evaluation in water and the LB-nutrient media (15.5 g/l Luria Broth Base, Miller (Sigma, Lot-1900 and NaCl without bacteria and with E.coli bacteria before and after UV-irradiation are presented.

  13. Recent advances in photoelectrochemistry. Part 1. Preparation and photocatalytic activities of semiconductor microcrystals; Saikin no hikari denki kagaku. 1. Handotai chobiryushi no chosei to hikari shokubai kassei

    Energy Technology Data Exchange (ETDEWEB)

    Yoneyama, H; Torimoto, T [Osaka Univ., Osaka (Japan). Faculty of Engineering

    1995-01-05

    The energy structure of semiconductor microcrystals with less than 10nm particle size is different from that of bulk semiconductor, and the reducing force of electrons and the oxidizing force of holes produced by light in microcrystals are larger than those of bulk semiconductor. Focusing on the application of semiconductor microcrystals to photocatalysis, the effects of the particle size and surface conditions of particles on photocatalytic activity are discussed. It has been shown that the change in the characteristics of semiconductor microcrystals depends on particle size, and microcrystals with narrow distribution of particle sized is necessary for the study of the characteristics of semiconductor microcrystals. An example of high efficient progress of CO2 direct reduction by the use of semiconductor microcrystals is introduced. It has been made clear that the photocatalytic activity of semiconductor is improved when a small amount of electrode catalyst is supported in it. A unique photocatalytic reaction which can not be observed with bulk particles can be progressed by the use of high oxidation and reduction ability caused by quantum size effect of semiconductor microcrystals. 26 refs., 2 figs., 1 tab.

  14. Near interface traps in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors monitored by temperature dependent gate current transient measurements

    Energy Technology Data Exchange (ETDEWEB)

    Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena; Roccaforte, Fabrizio [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII 5, Zona Industriale 95121 Catania (Italy)

    2016-07-04

    This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{sup 11} cm{sup −2}).

  15. Structure and magnetism of transition-metal implanted dilute magnetic semiconductors

    CERN Document Server

    Pereira, Lino; Temst, K; Araújo, JP; Wahl, U

    The discovery of a dilute magnetic semiconductor (DMS) in which ferromagnetism is carrier-mediated and persists above room temperature is a critical step towards the development of semiconductor-based spintronics. Among the many types of DMS materials which have been investigated, the current research interest can be narrowed down to two main classes of materials: (1) narrow-gap III-V semiconductors, mostly GaAs and InAs, doped with Mn; (2) wide-gap oxides and nitrides doped with 3d transition metals, mostly Mn- and Co-doped ZnO and Mn-doped GaN. With a number of interesting functionalities deriving from the carrier-mediated ferromagnetism and demonstrated in various proof-of-concept devices, Mn-doped GaAs has become, among DMS materials, one of the best candidates for technological application. However, despite major developments over the last 15 years, the maximum Curie temperature (185 K) remains well below room temperature. On the other hand, wide-gap DMS materials appear to exhibit ferromagnetic behavior...

  16. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  17. Demonstration of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with silicon-oxy-nitride as the gate insulator

    International Nuclear Information System (INIS)

    Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.

    2005-01-01

    AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators

  18. The Impact of HCl Precleaning and Sulfur Passivation on the Al2O3/Ge Interface in Ge Metal-Oxide-Semiconductor Capacitors

    International Nuclear Information System (INIS)

    Xue Bai-Qing; Chang Hu-Dong; Sun Bing; Wang Sheng-Kai; Liu Hong-Gang

    2012-01-01

    Surface treatment for Ge substrates using hydrogen chlorine cleaning and chemical passivation are investigated on AuTi/Al 2 O 3 /Ge metal-oxide-semiconductor capacitors. After hydrogen chlorine cleaning, a smooth Ge surface almost free from native oxide is demonstrated by atomic force microscopy and x-ray photoelectron spectroscopy observations. Passivation using a hydrogen chlorine solution is found to form a chlorine-terminated surface, while aqueous ammonium sulfide pretreatment results in a surface terminated by Ge-S bonding. Compared with chlorine-passivated samples, the sulfur-passivated ones show less frequency dispersion and better thermal stability based on capacitance-voltage characterizations. The samples with HCl pre-cleaning and (NH 4 ) 2 S passivation show less frequency dispersion than the HF pre-cleaning and (NH 4 ) 2 S passivated ones. The surface treatment process using hydrogen chlorine cleaning followed by aqueous ammonium sulfide passivation demonstrates a promising way to improve gate dielectric/Ge interface quality. (condensed matter: structure, mechanical and thermal properties)

  19. Photocatalysis of irradiated semiconductor surfaces: Its application to water splitting and some organic reactions

    Energy Technology Data Exchange (ETDEWEB)

    Sakata, T

    1985-05-01

    Hydrogen production from organic compounds and water was investigated using powdered semiconductor photocatalysts. The complete decomposition observed for several organic compounds demonstrated that water is involved in the reactions as an oxidizing agent. Photocatalyses of dyes and semiconductors were found to be applicable to amino acid synthesis. The quantum yields of photocatalytic amino acid synthesis using visible light are about 20%-40% in the absence of a metal catalyst such as platinum. Moreover the reactions are highly selective and depend strongly on the type of semiconductor. This method was applied to the asymmetric synthesis of amino acids using asymmetric catalysts. Rather high optical yields of 50% were achieved for the synthesis of L-phenylalanine.

  20. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  1. III-V semiconductors for photoelectrochemical applications: surface preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Fertig, Dominic; Schaechner, Birgit; Calvet, Wofram; Kaiser, Bernhard; Jaegermann, Wolfram [TU Darmstadt, Fachbereich Materialwissenschaft, Fachgebiet Oberflaechenforschung (Germany)

    2011-07-01

    III-V semiconductors are promising reference systems for photoelectrochemical energy conversion. Therefore we have studied the influence of different acids and acidic solutions on the etching of p-doped gallium-arsenide and gallium-phosphide single crystal surfaces. From our experiments we conclude, that etching with HCl and subsequent annealing up to 450 C gives the best results for the removal of the carbonates and the oxides without affecting the quality of the sample. By treating the surfaces with ''piranha''-solution (H{sub 2}SO{sub 4}:H{sub 2}O{sub 2}:H{sub 2}O/7:2:1), the creation of an oxide layer with well defined thickness can be achieved. For the creation of an efficient photoelectrochemical cell, Pt nanoparticles have been deposited from solution. These surfaces are then characterized by photoelectron spectroscopy and AFM. Further electrochemical measurements try to correlate the effect of the surface cleaning and the Pt deposition on the photoactivity of the GaAs- and GaP-semiconductors.

  2. Bias-induced migration of ionized donors in amorphous oxide semiconductor thin-film transistors with full bottom-gate and partial top-gate structures

    Directory of Open Access Journals (Sweden)

    Mallory Mativenga

    2012-09-01

    Full Text Available Bias-induced charge migration in amorphous oxide semiconductor thin-film transistors (TFTs confirmed by overshoots of mobility after bias stressing dual gated TFTs is presented. The overshoots in mobility are reversible and only occur in TFTs with a full bottom-gate (covers the whole channel and partial top-gate (covers only a portion of the channel, indicating a bias-induced uneven distribution of ionized donors: Ionized donors migrate towards the region of the channel that is located underneath the partial top-gate and the decrease in the density of ionized donors in the uncovered portion results in the reversible increase in mobility.

  3. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  4. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  5. Cathodoluminescence of semiconductors in the scanning electron microscope

    International Nuclear Information System (INIS)

    Noriegas, Javier Piqueras de

    2008-01-01

    Full text: Cathodoluminescence (CL) in the scanning electron microscope (SEM) is a nondestructive technique, useful for characterization of optical and electronic properties of semiconductors, with spatial resolution. The contrast in the images of CL is related to the presence of crystalline defects, precipitates or impurities and provides information on their spatial distribution. CL spectra allows to study local energy position of localized electronic states. The application of the CL is extended to semiconductor very different characteristics, such as bulk material, heterostructures, nanocrystalline film, porous semiconductor, nanocrystals, nanowires and other nano-and microstructures. In the case of wafers, provides information on the homogeneity of their electronic characteristics, density of dislocations, grain sub frontiers, distribution of impurities and so on. while on the study of heterostructures CL images can determine, for example, the presence of misfit dislocations at the interface between different sheets, below the outer surface of the sample. In the study of other low dimensional structures, such as nanocrystalline films, nanoparticles and nano-and microstructures are observed elongated in some cases quantum confinement effects from the CL spectra. Moreover, larger structures, the order of hundreds of nanometers, with forms of wires, tubes or strips, is that in many semiconductor materials, mainly oxides, the behavior of luminescence is different from bulk material. The microstructures have a different structure of defects and a greater influence of the surface, which in some cases leads to a higher emission efficiency and a different spectral distribution. The presentation describes the principle of the CL technique and examples of its application in the characterization of a wide range of both semiconductor materials of different composition, and of different sizes ranging from nanostructures to bulk samples

  6. Magnetotransport investigations of the two-dimensional metallic state in silicon metal-oxid-semiconductor structures

    International Nuclear Information System (INIS)

    Prinz, A.

    2002-03-01

    For more than two decades it was the predominant view among the physical community that the every two-dimensional (2D) disordered electron system becomes insulating as the temperature approaches the absolute zero temperature (0 Kelvin or -273.15 o C). Two-dimensional means that the movement of the charge carriers is confined in one direction by a potential so that the carriers can move freely only perpendicular to the confinement. The most famous physical realization of a 2D system is the silicon metal-oxide-semiconductor field effect transistor (Si-MOSFET). It is one of the basic elements of most electronic devices in our daily life. The working principle is very simple. Charges are attracted to the semiconductor-oxide interface by an electric field applied between the metallic gate and the semiconductor, so that a 2D conductive channel is formed. The charge density can be adjusted by the voltage from zero up to 10 13 cm -2 . In 1994 Kravchenko and coworkers made a very important discovery. They studied high mobility Si-MOSFETs and found that for densities below a certain critical value, nc, the resistivity increases as the temperature is decreased below 2 K, whereas for densities above $n c $ the resistivity decreases unexpectedly. The transition from insulating to metallic behavior, known as metal-insulator transition (MIT), was obviously a contradiction to the commonly accepted theories which predict insulating behavior for any density. The insulating behavior is a consequence of the wave properties of electrons which leads to interference in disordered media and thus to enhanced backscattering. In the subsequent years, experimental studies were performed on a variety of 2D systems, which qualitatively showed a similar behavior. All the investigated samples had one thing in common. The interaction energy between the carriers was considerable higher than their mean kinetic energy due to their movement in the 2D plane. Since the electron-electron interaction was

  7. Comparison of modification strategies towards enhanced charge carrier separation and photocatalytic degradation activity of metal oxide semiconductors (TiO2, WO3 and ZnO)

    Science.gov (United States)

    Kumar, S. Girish; Rao, K. S. R. Koteswara

    2017-01-01

    Metal oxide semiconductors (TiO2, WO3 and ZnO) finds unparalleled opportunity in wastewater purification under UV/visible light, largely encouraged by their divergent admirable features like stability, non-toxicity, ease of preparation, suitable band edge positions and facile generation of active oxygen species in the aqueous medium. However, the perennial failings of these photocatalysts emanates from the stumbling blocks like rapid charge carrier recombination and meager visible light response. In this review, tailoring the surface-bulk electronic structure through the calibrated and veritable approaches such as impurity doping, deposition with noble metals, sensitizing with other compounds (dyes, polymers, inorganic complexes and simple chelating ligands), hydrogenation process (annealing under hydrogen atmosphere), electronic integration with other semiconductors, modifying with carbon nanostructures, designing with exposed facets and tailoring with hierarchical morphologies to overcome their critical drawbacks are summarized. Taking into account the materials intrinsic properties, the pros and cons together with similarities and striking differences for each strategy in specific to TiO2, WO3 & ZnO are highlighted. These subtlety enunciates the primacy for improving the structure-electronic properties of metal oxides and credence to its fore in the practical applications. Future research must focus on comparing the performances of ZnO, TiO2 and WO3 in parallel to get insight into their photocatalytic behaviors. Such comparisons not only reveal the changed surface-electronic structure upon various modifications, but also shed light on charge carrier dynamics, free radical generation, structural stability and compatibility for photocatalytic reactions. It is envisioned that these cardinal tactics have profound implications and can be replicated to other semiconductor photocatalysts like CeO2, In2O3, Bi2O3, Fe2O3, BiVO4, AgX, BiOX (X = Cl, Br & I), Bi2WO6, Bi2MoO6

  8. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  9. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  10. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal-oxide-semiconductor field effect transistor channels

    Science.gov (United States)

    Grasby, T. J.; Parry, C. P.; Phillips, P. J.; McGregor, B. M.; Morris, , R. J. H.; Braithwaite, G.; Whall, T. E.; Parker, E. H. C.; Hammond, R.; Knights, A. P.; Coleman, P. G.

    1999-03-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V-1 s-1 for a sheet density of 6.2×1011 cm-2. The low temperature growth yields highly planar interfaces, which are maintained after anneal as evidenced from transmission electron microscopy. This and secondary ion mass spectroscopy measurements demonstrate that the metastably strained alloy layer can endure the in situ anneal procedure necessary for enhanced electrical properties. Further studies have shown that the layers can also withstand a 120 min thermal oxidation at 800 °C, commensurate with metal-oxide-semiconductor device fabrication.

  11. Oxide bipolar electronics: materials, devices and circuits

    International Nuclear Information System (INIS)

    Grundmann, Marius; Klüpfel, Fabian; Karsthof, Robert; Schlupp, Peter; Schein, Friedrich-Leonhard; Splith, Daniel; Yang, Chang; Bitter, Sofie; Von Wenckstern, Holger

    2016-01-01

    We present the history of, and the latest progress in, the field of bipolar oxide thin film devices. As such we consider primarily pn-junctions in which at least one of the materials is a metal oxide semiconductor. A wide range of n-type and p-type oxides has been explored for the formation of such bipolar diodes. Since most oxide semiconductors are unipolar, challenges and opportunities exist with regard to the formation of heterojunction diodes and band lineups. Recently, various approaches have led to devices with high rectification, namely p-type ZnCo 2 O 4 and NiO on n-type ZnO and amorphous zinc-tin-oxide. Subsequent bipolar devices and applications such as photodetectors, solar cells, junction field-effect transistors and integrated circuits like inverters and ring oscillators are discussed. The tremendous progress shows that bipolar oxide electronics has evolved from the exploration of various materials and heterostructures to the demonstration of functioning integrated circuits. Therefore a viable, facile and high performance technology is ready for further exploitation and performance optimization. (topical review)

  12. on THICKNESS OF COPPER (|) OXIDE

    African Journals Online (AJOL)

    2006-12-20

    Dec 20, 2006 ... known materials to be used as semiconductor devices. The oxide is. Observed to be an attractive starting material for the production of solar cells for low cost terrestrial conversion of solar energy to electricity. Copper (I) oxide is one Of the earliest known photovoltaic materials and the first in which the ...

  13. Single-electron regime and Pauli spin blockade in a silicon metal-oxide-semiconductor double quantum dot

    Science.gov (United States)

    Rochette, Sophie; Ten Eyck, Gregory A.; Pluym, Tammy; Lilly, Michael P.; Carroll, Malcolm S.; Pioro-Ladrière, Michel

    2015-03-01

    Silicon quantum dots are promising candidates for quantum information processing as spin qubits with long coherence time. We present electrical transport measurements on a silicon metal-oxide-semiconductor (MOS) double quantum dot (DQD). First, Coulomb diamonds measurements demonstrate the one-electron regime at a relatively high temperature of 1.5 K. Then, the 8 mK stability diagram shows Pauli spin blockade with a large singlet-triplet separation of approximatively 0.40 meV, pointing towards a strong lifting of the valley degeneracy. Finally, numerical simulations indicate that by integrating a micro-magnet to those devices, we could achieve fast spin rotations of the order of 30 ns. Those results are part of the recent body of work demonstrating the potential of Si MOS DQD as reliable and long-lived spin qubits that could be ultimately integrated into modern electronic facilities. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. DOE's National Nuclear Security Administration under Contract DE-AC04-94AL85000.

  14. Temperature Modulation with Specified Detection Point on Metal Oxide Semiconductor Gas Sensors for E-Nose Application

    Directory of Open Access Journals (Sweden)

    Arief SUDARMAJI

    2015-03-01

    Full Text Available Temperature modulation technique, some called dynamic measurement mode, on Metal-Oxide Semiconductor (MOS/MOX gas sensor has been widely observed and employed in many fields. We present its development, a Specified Detection Point (SDP on modulated sensing element of MOS sensor is applied which associated to its temperature modulation, temperature modulation-SDP so-named. We configured the rectangular modulation signal for MOS gas sensors (TGSs and FISs using PSOC CY8C28445-24PVXI (Programmable System on Chip which also functioned as acquisition unit and interface to a computer. Initial responses and selectivity evaluations were performed using statistical tool and Principal Component Analysis (PCA to differ sample gases (Toluene, Ethanol and Ammonia on dynamic chamber measurement under various frequencies (0.25 Hz, 1 Hz, 4 Hz and duty-cycles (25 %, 50 %, 75 %. We found that at lower frequency the response waveform of the sensors becomes more sloping and distinct, and selected modulations successfully increased the selectivity either on singular or array sensors rather than static temperature measurement.

  15. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  16. Probing the Unique Role of Gallium in Amorphous Oxide Semiconductors through Structure-Property Relationships

    Energy Technology Data Exchange (ETDEWEB)

    Moffitt, Stephanie L.; Zhu, Qimin; Ma, Qing; Falduto, Allison F.; Buchholz, D. Bruce; Chang, Robert P.H.; Mason, Thomas O.; Medvedeva, Julia E.; Marks, Tobin J.; Bedzyk, Michael J. (NWU); (MUST)

    2017-09-01

    This study explores the unique role of Ga in amorphous (a-) In[BOND]Ga[BOND]O oxide semiconductors through combined theory and experiment. It reveals substitutional effects that have not previously been attributed to Ga, and that are investigated by examining how Ga influences structure–property relationships in a series of pulsed laser deposited a-In[BOND]Ga[BOND]O thin films. Element-specific structural studies (X-ray absorption and anomalous scattering) show good agreement with the results of ab initio molecular dynamics simulations. This structural knowledge is used to understand the results of air-annealing and Hall effect electrical measurements. The crystallization temperature of a-IO is shown to increase by as much as 325 °C on substituting Ga for In. This increased thermal stability is understood on the basis of the large changes in local structure that Ga undergoes, as compared to In, during crystallization. Hall measurements reveal an initial sharp drop in both carrier concentration and mobility with increasing Ga incorporation, which moderates at >20 at% Ga content. This decline in both the carrier concentration and mobility with increasing Ga is attributed to dilution of the charge-carrying In[BOND]O matrix and to increased structural disorder. The latter effect saturates at high at% Ga.

  17. Thermal stability of atomic layer deposited WCxNy electrodes for metal oxide semiconductor devices

    Science.gov (United States)

    Zonensain, Oren; Fadida, Sivan; Fisher, Ilanit; Gao, Juwen; Danek, Michal; Eizenberg, Moshe

    2018-01-01

    This study is a thorough investigation of the chemical, structural, and electrical stability of W based organo-metallic films, grown by atomic layer deposition, for future use as gate electrodes in advanced metal oxide semiconductor structures. In an earlier work, we have shown that high effective work-function (4.7 eV) was produced by nitrogen enriched films (WCxNy) dominated by W-N chemical bonding, and low effective work-function (4.2 eV) was produced by hydrogen plasma resulting in WCx films dominated by W-C chemical bonding. In the current work, we observe, using x-ray diffraction analysis, phase transformation of the tungsten carbide and tungsten nitride phases after 900 °C annealing to the cubic tungsten phase. Nitrogen diffusion is also observed and is analyzed with time-of-flight secondary ion mass spectroscopy. After this 900 °C anneal, WCxNy effective work function tunability is lost and effective work-function values of 4.7-4.8 eV are measured, similar to stable effective work function values measured for PVD TiN up to 900 °C anneal. All the observed changes after annealing are discussed and correlated to the observed change in the effective work function.

  18. Large current modulation and tunneling magnetoresistance change by a side-gate electric field in a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor.

    Science.gov (United States)

    Kanaki, Toshiki; Yamasaki, Hiroki; Koyama, Tomohiro; Chiba, Daichi; Ohya, Shinobu; Tanaka, Masaaki

    2018-05-08

    A vertical spin metal-oxide-semiconductor field-effect transistor (spin MOSFET) is a promising low-power device for the post scaling era. Here, using a ferromagnetic-semiconductor GaMnAs-based vertical spin MOSFET with a GaAs channel layer, we demonstrate a large drain-source current I DS modulation by a gate-source voltage V GS with a modulation ratio up to 130%, which is the largest value that has ever been reported for vertical spin field-effect transistors thus far. We find that the electric field effect on indirect tunneling via defect states in the GaAs channel layer is responsible for the large I DS modulation. This device shows a tunneling magnetoresistance (TMR) ratio up to ~7%, which is larger than that of the planar-type spin MOSFETs, indicating that I DS can be controlled by the magnetization configuration. Furthermore, we find that the TMR ratio can be modulated by V GS . This result mainly originates from the electric field modulation of the magnetic anisotropy of the GaMnAs ferromagnetic electrodes as well as the potential modulation of the nonmagnetic semiconductor GaAs channel layer. Our findings provide important progress towards high-performance vertical spin MOSFETs.

  19. High-pressure Raman investigation of the semiconductor antimony oxide

    Energy Technology Data Exchange (ETDEWEB)

    Geng, Aihui; Cao, Lihua [State Key Lab on High Power Semiconductor Laser, Changchun University of Science and Technology, 130022 Changchun (China); Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 130012 Changchun (China); Wan, Chunming [State Key Lab on High Power Semiconductor Laser, Changchun University of Science and Technology, 130022 Changchun (China); Ma, Yanmei [Department of Agronomy, Jilin University, 130062 Changchun (China)

    2011-05-15

    The in situ high-pressure behavior of the semiconductor antimony trioxide (Sb{sub 2}O{sub 3}) has been investigated by Raman spectroscopy techniques in a diamond anvil cell up to 20 GPa at room temperature. New peaks in the external lattice mode range emerged at a pressure above 8.6-15 GPa, suggesting that the structural phase transition occurred. The pressure dependence of Raman frequencies was obtained. The band at 139 cm{sup -1} (assigned to group mode) has a pressure dependence of -0.475 cm{sup -1}/GPa and reveals significant softening at high pressure. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Hysteresis in Lanthanide Aluminum Oxides Observed by Fast Pulse CV Measurement

    Directory of Open Access Journals (Sweden)

    Chun Zhao

    2014-10-01

    Full Text Available Oxide materials with large dielectric constants (so-called high-k dielectrics have attracted much attention due to their potential use as gate dielectrics in Metal Oxide Semiconductor Field Effect Transistors (MOSFETs. A novel characterization (pulse capacitance-voltage method was proposed in detail. The pulse capacitance-voltage technique was employed to characterize oxide traps of high-k dielectrics based on the Metal Oxide Semiconductor (MOS capacitor structure. The variation of flat-band voltages of the MOS structure was observed and discussed accordingly. Some interesting trapping/detrapping results related to the lanthanide aluminum oxide traps were identified for possible application in Flash memory technology. After understanding the trapping/detrapping mechanism of the high-k oxides, a solid foundation was prepared for further exploration into charge-trapping non-volatile memory in the future.

  1. Electron transport properties of indium oxide - indium nitride metal-oxide-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Wang, C.Y.; Hauguth, S.; Polyakov, V.; Schwierz, F.; Cimalla, V.; Kups, T.; Himmerlich, M.; Schaefer, J.A.; Krischok, S.; Ambacher, O.; Morales, F.M.; Lozano, J.G.; Gonzalez, D.; Lebedev, V.

    2008-01-01

    The structural, chemical and electron transport properties of In 2 O 3 /InN heterostructures and oxidized InN epilayers are reported. It is shown that the accumulation of electrons at the InN surface can be manipulated by the formation of a thin surface oxide layer. The epitaxial In 2 O 3 /InN heterojunctions show an increase in the electron concentration due to the increasing band banding at the heterointerface. The oxidation of InN results in improved transport properties and in a reduction of the sheet carrier concentration of the InN epilayer very likely caused by a passivation of surface donors. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  3. Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A crystalline structure and a semiconductor device includes a substrate of a semiconductor-based material and a thin film of an anisotropic crystalline material epitaxially arranged upon the surface of the substrate so that the thin film couples to the underlying substrate and so that the geometries of substantially all of the unit cells of the thin film are arranged in a predisposed orientation relative to the substrate surface. The predisposition of the geometries of the unit cells of the thin film is responsible for a predisposed orientation of a directional-dependent quality, such as the dipole moment, of the unit cells. The predisposed orientation of the unit cell geometries are influenced by either a stressed or strained condition of the lattice at the interface between the thin film material and the substrate surface.

  4. Development of semiconductor electronics

    International Nuclear Information System (INIS)

    Bardeen, John.

    1977-01-01

    In 1931, Wilson applied Block's theory about the energy bands for the motion of electrons in a crystal lattice to semiconductors and showed that conduction can take place in two different ways, by electrons and by holes. Not long afterwards Frenkel showed that these carriers can flow by diffusion in a concentration gradient as well as under the influence of an electric field and wrote down equations for the current flow. The third major contribution, in the late 1930's was the explanation of rectification at a metalsemiconductor contact by Mott and more completely by Schottky. In late 1947 the first transistor of the point contact type was invented by Brattin, Shockley and Bardeen. Then after single crystals of Ge were grown, the junction transistor was developed by the same group. The first silicon transistors appeared in 1954. Then an important step was discovery of the planar transistor by Hoenri in 1960 which led to development of integrated circuits by 1962. Many transistors are produced by batch processing on a slice of silicon. Then in 1965 Mos (Metal-Oxide Semiconductor) transistor and in 1968 LSI (Large Scale Intergration circuits) were developed. Aside from electronic circuits, there are many other applications of semiconductors, including junction power rectifiers, junction luminescence (including lasers), solar batteries, radiation detectors, microwave oscillators and charged-coupled devices for computer memories and devices. One of the latest developments is a microprocessor with thousands of transistors and associated circuitry on a single small chip of silicon. It can be programmed to provide a variety of circuit functions, thus it is not necessary to go through the great expense of LSI's for each desired function, but to use standard microprocessors and program to do the job

  5. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  6. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  7. Photo-assisted local oxidation of GaN using an atomic force microscope

    International Nuclear Information System (INIS)

    Hwang, J S; Hu, Z S; Lu, T Y; Chen, L W; Chen, S W; Lin, T Y; Hsiao, C-L; Chen, K-H; Chen, L-C

    2006-01-01

    This paper introduces a photo-assisted atomic force microscope (AFM) local oxidation technique which is capable of producing highly smooth oxide patterns with heights reaching several tens of nanometres on both n- and p-types of GaN (and in principle on most semiconductors) without the use of chemicals. The novel methodology relies on UV illumination of the surface of the substrate during conventional AFM local oxidation. A low 1.2 V threshold voltage for n-type GaN was obtained, which can be explained by UV photo-generation of excess electron-hole pairs in the substrate near the junction, thereby reducing the electric field required to drive carrier flow through the tip-sample Schottky barrier. It was demonstrated that the presence or absence of light alone was sufficient to switch the growth of the oxide on or off. The photo-assisted AFM oxidation technique is of immediate interest to the semiconductor industry for the fabrication of GaN-based complementary metal-oxide-semiconductor devices and nanodevices, improves chances for AFM-type data storage, and presents new degrees of freedom for process control technique

  8. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  9. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  10. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  11. Effects of Y incorporation in TaON gate dielectric on electrical performance of GaAs metal-oxide-semiconductor capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Li Ning; Choi, Hoi Wai; Lai, Pui To [Department of Electrical and Electronic Engineering, The University of Hong Kong (China); Xu, Jing Ping [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan (China)

    2016-09-15

    In this study, GaAs metal-oxide-semiconductor (MOS) capacitors using Y-incorporated TaON as gate dielectric have been investigated. Experimental results show that the sample with a Y/(Y + Ta) atomic ratio of 27.6% exhibits the best device characteristics: high k value (22.9), low interfacestate density (9.0 x 10{sup 11} cm{sup -2} eV{sup -1}), small flatband voltage (1.05 V), small frequency dispersion and low gate leakage current (1.3 x 10{sup -5}A/cm{sup 2} at V{sub fb} + 1 V). These merits should be attributed to the complementary properties of Y{sub 2}O{sub 3} and Ta{sub 2}O{sub 5}:Y can effectively passivate the large amount of oxygen vacancies in Ta{sub 2}O{sub 5}, while the positively-charged oxygen vacancies in Ta{sub 2}O{sub 5} are capable of neutralizing the effects of the negative oxide charges in Y{sub 2}O{sub 3}. This work demonstrates that an appropriate doping of Y content in TaON gate dielectric can effectively improve the electrical performance for GaAs MOS devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Electrolytic photodissociation of chemical compounds by iron oxide electrodes

    Science.gov (United States)

    Somorjai, Gabor A.; Leygraf, Christofer H.

    1984-01-01

    Chemical compounds can be dissociated by contacting the same with a p/n type semi-conductor diode having visible light as its sole source of energy. The diode consists of low cost, readily available materials, specifically polycrystalline iron oxide doped with silicon in the case of the n-type semi-conductor electrode, and polycrystalline iron oxide doped with magnesium in the case of the p-type electrode. So long as the light source has an energy greater than 2.2 electron volts, no added energy source is needed to achieve dissociation.

  13. Electrical characterization of Ω-gated uniaxial tensile strained Si nanowire-array metal-oxide-semiconductor field effect transistors with - and channel orientations

    International Nuclear Information System (INIS)

    Habicht, Stefan; Feste, Sebastian; Zhao, Qing-Tai; Buca, Dan; Mantl, Siegfried

    2012-01-01

    Nanowire-array metal-oxide-semiconductor field effect transistors (MOSFETs) were fabricated along and crystal directions on (001) un-/strained silicon-on-insulator substrates. Lateral strain relaxation through patterning was employed to transform biaxial tensile strain into uniaxial tensile strain along the nanowire. Devices feature ideal subthreshold swings and maximum on-current/off-current ratios of 10 11 for n and p-type transistors on both substrates. Electron and hole mobilities were extracted by split C–V method. For p-MOSFETs an increased mobility is observed for channel direction devices compared to devices. The n-MOSFETs showed a 45% increased electron mobility compared to devices. The comparison of strained and unstrained n-MOSFETs along and clearly demonstrates improved electron mobilities for strained channels of both channel orientations.

  14. The competing oxide and sub-oxide formation in metal-oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vogt, Patrick; Bierwagen, Oliver

    2015-01-01

    The hetero-epitaxial growth of the n-type semiconducting oxides β-Ga 2 O 3 , In 2 O 3 , and SnO 2 on c- and r-plane sapphire was performed by plasma-assisted molecular beam epitaxy. The growth-rate and desorbing flux from the substrate were measured in-situ under various oxygen to metal ratios by laser reflectometry and quadrupole mass spectrometry, respectively. These measurements clarified the role of volatile sub-oxide formation (Ga 2 O, In 2 O, and SnO) during growth, the sub-oxide stoichiometry, and the efficiency of oxide formation for the three oxides. As a result, the formation of the sub-oxides decreased the growth-rate under metal-rich growth conditions and resulted in etching of the oxide film by supplying only metal flux. The flux ratio for the exclusive formation of the sub-oxide (e.g., the p-type semiconductor SnO) was determined, and the efficiency of oxide formation was found to be the highest for SnO 2 , somewhat lower for In 2 O 3 , and the lowest for Ga 2 O 3 . Our findings can be generalized to further oxides that possess related sub-oxides

  15. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity.

    Science.gov (United States)

    Li, L H; Deng, Z X; Xiao, J X; Yang, G W

    2015-01-26

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  16. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  17. Band Engineering Small Bandgap p-Type Semiconductors: Investigations of their Optical and Photoelectrochemical Properties

    Science.gov (United States)

    Zoellner, Brandon

    Mixed-metal oxides containing Mn(II), Cu(I), Ta(V), Nb(V), and V(V) were investigated for their structures and properties as new p-type semiconductors and in the potential applications involving the photocatalytic conversion of water into hydrogen and oxygen. Engineering of the bandgaps was achieved by combining metal cations that have halffilled (Mn 3d5) or filled (Cu 3d10) d-orbitals together with metal cations that have empty (V/Nb/Ta 3/4/5 d0) d-orbitals. The research described herein focuses on the synthesis, optical, electronic, and photocatalytic properties of the metal-oxide semiconductors MnV2O6, Cu3VO 4, CuNb1-xTaxO3, and Cu5(Ta1-xNbx)11O30. Powder X-ray diffraction was used to probe their phase purity as well as atomic-level crystallographic details, i.e. shifts of lattice parameters, chemical compositions, and changes in local bonding environments. Optical measurements revealed visible-light bandgap sizes of ˜1.17 eV (Cu3VO4), ˜1.45 eV (MnV2O6), ˜1.89-1.97 eV (CuNb1-xTa xO3), and ˜1.97-2.50 eV (Cu5(Ta1-xNb x)11O30). The latter two were found to systematically vary as a function of composition. Electrochemical impedance spectroscopy measurements of MnV2O6 and Cu3VO 4 provided the first experimental characterization of the energetic positions of the valence and conduction bands with respect to the water oxidation and reduction potentials, as well as confirmed the p-type nature of each semiconductor. The valence and conduction band energies were found to be suitable for driving either one or both of the water-splitting half reaction (i.e. 2H+ → H2 and 2H2O → O2 + 4H+). Photoelectrochemical measurements on polycrystalline films of the Cu(I)-based semiconductors under visible-light irradiation produced cathodic currents indicative of p-type semiconductor character and chemical reduction at their surfaces in the electrolyte solution. The stability of the photocurrents was increased by the addition of CuO oxide particles either externally deposited or

  18. Low Power Operation of Temperature-Modulated Metal Oxide Semiconductor Gas Sensors.

    Science.gov (United States)

    Burgués, Javier; Marco, Santiago

    2018-01-25

    Mobile applications based on gas sensing present new opportunities for low-cost air quality monitoring, safety, and healthcare. Metal oxide semiconductor (MOX) gas sensors represent the most prominent technology for integration into portable devices, such as smartphones and wearables. Traditionally, MOX sensors have been continuously powered to increase the stability of the sensing layer. However, continuous power is not feasible in many battery-operated applications due to power consumption limitations or the intended intermittent device operation. This work benchmarks two low-power, duty-cycling, and on-demand modes against the continuous power one. The duty-cycling mode periodically turns the sensors on and off and represents a trade-off between power consumption and stability. On-demand operation achieves the lowest power consumption by powering the sensors only while taking a measurement. Twelve thermally modulated SB-500-12 (FIS Inc. Jacksonville, FL, USA) sensors were exposed to low concentrations of carbon monoxide (0-9 ppm) with environmental conditions, such as ambient humidity (15-75% relative humidity) and temperature (21-27 °C), varying within the indicated ranges. Partial Least Squares (PLS) models were built using calibration data, and the prediction error in external validation samples was evaluated during the two weeks following calibration. We found that on-demand operation produced a deformation of the sensor conductance patterns, which led to an increase in the prediction error by almost a factor of 5 as compared to continuous operation (2.2 versus 0.45 ppm). Applying a 10% duty-cycling operation of 10-min periods reduced this prediction error to a factor of 2 (0.9 versus 0.45 ppm). The proposed duty-cycling powering scheme saved up to 90% energy as compared to the continuous operating mode. This low-power mode may be advantageous for applications that do not require continuous and periodic measurements, and which can tolerate slightly higher

  19. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  20. Fabrication and characterization of the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors

    Science.gov (United States)

    Qing-Wen, Song; Xiao-Yan, Tang; Yan-Jing, He; Guan-Nan, Tang; Yue-Hu, Wang; Yi-Meng, Zhang; Hui, Guo; Ren-Xu, Jia; Hong-Liang, Lv; Yi-Men, Zhang; Yu-Ming, Zhang

    2016-03-01

    In this paper, the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFFETs) have been fabricated and characterized. A sandwich- (nitridation-oxidation-nitridation) type process was used to grow the gate dielectric film to obtain high channel mobility. The interface properties of 4H-SiC/SiO2 were examined by the measurement of HF I-V, G-V, and C-V over a range of frequencies. The ideal C-V curve with little hysteresis and the frequency dispersion were observed. As a result, the interface state density near the conduction band edge of 4H-SiC was reduced to 2 × 1011 eV-1·cm-2, the breakdown field of the grown oxides was about 9.8 MV/cm, the median peak field-effect mobility is about 32.5 cm2·V-1·s-1, and the maximum peak field-effect mobility of 38 cm2·V-1·s-1 was achieved in fabricated lateral 4H-SiC MOSFFETs. Projcet supported by the National Natural Science Foundation of China (Grant Nos. 61404098, 61176070, and 61274079), the Doctoral Fund of Ministry of Education of China (Grant Nos. 20110203110010 and 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), and the Key Specific Projects of Ministry of Education of China (Grant No. 625010101).

  1. On the Integration of Wide Band-gap Semiconductors in Single Phase Boost PFC Converters

    DEFF Research Database (Denmark)

    Hernandez Botella, Juan Carlos

    Power semiconductor technology has dominated the evolution of switched mode power supplies (SMPS). Advances in silicon (Si) technology, as the introduction of metal oxide field effect transistor (MOSFET), isolated gate bipolar transistors (IGBT), superjunction vertical structures and Schottky...... diodes, or the introduction of silicon carbide (SiC) diodes, provided large steps in miniaturization and efficiency improvement of switched mode power converters. Gallium nitride (GaN) and SiC semiconductor devices have already been around for some years. The first one proliferated due to the necessity...... of high frequency operation in optoelectronics applications. On the other hand, Schottky SiC power diodes were introduced in 2001 as an alternative to eliminate reverse recovery issues in Si rectifiers. Wide band-gap semiconductors offer an increased electrical field strength and electron mobility...

  2. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  3. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  4. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  5. Comprehensive review on the development of high mobility in oxide thin film transistors

    Science.gov (United States)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  6. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  7. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  8. Bimodal gate-dielectric deposition for improved performance of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Pang Liang; Kim, Kyekyoon

    2012-01-01

    A bimodal deposition scheme combining radiofrequency magnetron sputtering and plasma enhanced chemical vapour deposition (PECVD) is proposed as a means for improving the performance of GaN-based metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs). High-density sputtered-SiO 2 is utilized to reduce the gate leakage current and enhance the breakdown voltage while low-density PECVD-SiO 2 is employed to buffer the sputtering damage and further increase the drain current by engineering the stress-induced-polarization. Thus-fabricated MOSHEMT exhibited a low leakage current of 4.21 × 10 -9 A mm -1 and high breakdown voltage of 634 V for a gate-drain distance of 6 µm, demonstrating the promise of bimodal-SiO 2 deposition scheme for the development of GaN-based MOSHEMTs for high-power application. (paper)

  9. Characteristics of drain-modulated generation current in n-type metal-oxide-semiconductor field-effect transistor

    International Nuclear Information System (INIS)

    Chen Hai-Feng; Guo Li-Xin; Zheng Pu-Yang; Dong Zhao; Zhang Qian

    2015-01-01

    Drain-modulated generation current I DMG induced by interface traps in an n-type metal-oxide-semiconductor field-effect transistor (nMOSFET) is investigated. The formation of I DMG ascribes to the change of the Si surface potential φ s . This change makes the channel suffer transformation from the inversion state, depletion I state to depletion II state. The simulation result agrees with the experiment in the inversion and depletion I states. In the depletion II state, the theoretical curve goes into saturation, while the experimental curve drops quickly as V D increases. The reason for this unconformity is that the drain-to-gate voltage V DG lessens φ s around the drain corner and controls the falling edge of the I DMG curve. The experiments of gate-modulated generation and recombination currents are also applied to verify the reasonability of the mechanism. Based on this mechanism, a theoretical model of the I DMG falling edge is set up in which I DMG has an exponential attenuation relation with V DG . Finally, the critical fitting coefficient t of the experimental curves is extracted. It is found that t = 80 mV = 3kT/q. This result fully shows the accuracy of the above mechanism. (paper)

  10. Hierarchical oxide-based composite nanostructures for energy, environmental, and sensing applications

    Science.gov (United States)

    Gao, Pu-Xian; Shimpi, Paresh; Cai, Wenjie; Gao, Haiyong; Jian, Dunliang; Wrobel, Gregory

    2011-02-01

    Self-assembled composite nanostructures integrate various basic nano-elements such as nanoparticles, nanofilms and nanowires toward realizing multifunctional characteristics, which promises an important route with potentially high reward for the fast evolving nanoscience and nanotechnology. A broad array of hierarchical metal oxide based nanostructures have been designed and fabricated in our research group, involving semiconductor metal oxides, ternary functional oxides such as perovskites and spinels and quaternary dielectric hydroxyl metal oxides with diverse applications in efficient energy harvesting/saving/utilization, environmental protection/control, chemical sensing and thus impacting major grand challenges in the area of materials and nanotechnology. Two of our latest research activities have been highlighted specifically in semiconductor oxide alloy nanowires and metal oxide/perovskite composite nanowires, which could impact the application sectors in ultraviolet/blue lighting, visible solar absorption, vehicle and industry emission control, chemical sensing and control for vehicle combustors and power plants.

  11. Phosphonic Acids for Interfacial Engineering of Transparent Conductive Oxides

    KAUST Repository

    Paniagua, Sergio A.; Giordano, Anthony J.; Smith, O’ Neil L.; Barlow, Stephen; Li, Hong; Armstrong, Neal R.; Pemberton, Jeanne E.; Bredas, Jean-Luc; Ginger, David; Marder, Seth R.

    2016-01-01

    Transparent conducting oxides (TCOs), such as indium tin oxide and zinc oxide, play an important role as electrode materials in organic-semiconductor devices. The properties of the inorganic-organic interface - the offset between the TCO Fermi level and the relevant transport level, the extent to which the organic semiconductor can wet the oxide surface, and the influence of the surface on semiconductor morphology - significantly affect device performance. This review surveys the literature on TCO modification with phosphonic acids (PAs), which has increasingly been used to engineer these interfacial properties. The first part outlines the relevance of TCO surface modification to organic electronics, surveys methods for the synthesis of PAs, discusses the modes by which they can bind to TCO surfaces, and compares PAs to alternative organic surface modifiers. The next section discusses methods of PA monolayer deposition, the kinetics of monolayer formation, and structural evidence regarding molecular orientation on TCOs. The next sections discuss TCO work-function modification using PAs, tuning of TCO surface energy using PAs, and initiation of polymerizations from TCO-tethered PAs. Finally, studies that examine the use of PA-modified TCOs in organic light-emitting diodes and organic photovoltaics are compared. © 2016 American Chemical Society.

  12. Phosphonic Acids for Interfacial Engineering of Transparent Conductive Oxides

    KAUST Repository

    Paniagua, Sergio A.

    2016-05-26

    Transparent conducting oxides (TCOs), such as indium tin oxide and zinc oxide, play an important role as electrode materials in organic-semiconductor devices. The properties of the inorganic-organic interface - the offset between the TCO Fermi level and the relevant transport level, the extent to which the organic semiconductor can wet the oxide surface, and the influence of the surface on semiconductor morphology - significantly affect device performance. This review surveys the literature on TCO modification with phosphonic acids (PAs), which has increasingly been used to engineer these interfacial properties. The first part outlines the relevance of TCO surface modification to organic electronics, surveys methods for the synthesis of PAs, discusses the modes by which they can bind to TCO surfaces, and compares PAs to alternative organic surface modifiers. The next section discusses methods of PA monolayer deposition, the kinetics of monolayer formation, and structural evidence regarding molecular orientation on TCOs. The next sections discuss TCO work-function modification using PAs, tuning of TCO surface energy using PAs, and initiation of polymerizations from TCO-tethered PAs. Finally, studies that examine the use of PA-modified TCOs in organic light-emitting diodes and organic photovoltaics are compared. © 2016 American Chemical Society.

  13. Interfacial electron transfer dynamics of photosensitized zinc oxide nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    Murakoshi, Kei; Yanagida, Shozo [Osaka Univ. (Japan). Graduate School of Engineering; Capel, M. [Brookhaven National Lab., Upton, NY (United States)] [and others

    1997-06-01

    The authors have prepared and characterized photosensitized zinc oxide (ZnO) nanoclusters, dispersed in methanol, using carboxylated coumarin dyes for surface adsorption. Femtosecond time-resolved emission spectroscopy allows the authors to measure the photo-induced charge carrier injection rate constant from the adsorbed photosensitizer to the n-type semiconductor nanocluster. These results are compared with other photosensitized semiconductors.

  14. Semiconductor nanostructures for artificial photosynthesis

    Science.gov (United States)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  15. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  16. Development of individual semiconductor nanowire for bioelectrochemical device at low overpotential conditions

    Energy Technology Data Exchange (ETDEWEB)

    Crespilho, Frank N.; Lanfredi, Alexandre J.C. [Universidade Federal do ABC (UFABC), Santo Andre 09210-170 (Brazil); Leite, Edson R.; Chiquito, Adenilson J. [Universidade Federal do Sao Carlos (UFSCar), Sao Carlos, SP (Brazil)

    2009-09-15

    In this work we report the bioelectrochemical study using an individual indium tin oxide (ITO) nanowire (ITO-NW) electrode modified with glucose oxidase enzyme (GOx), in which the enzymatic activity and the biocatalytic activity was evaluated. The main objective is to show that at low overpotential condition, semiconductor NW can be used as an electron donor during biocatalytic process. We demonstrate the possibility of immobilizing an ITO-NW electrode on gold contacts deposited on top of a microchip (oxidized Si wafer). A protective polymer layer containing an aperture over the sample area was photolithographically deposited over the microchip to isolate the metallic contacts. For H{sub 2}O{sub 2} reduction during the biocatalysis at ITO-NWs surface, with {eta} << 50 mV, normal linear behavior is not observed and an exponential current is evident, similar to n-p semiconductor junction behavior. These results can open new tools for studying redox enzymes at the single-molecule level, and the device described here is very promising as a candidate for further exploration in bioelectrochemical devices, such as biofuel cells and biosensors. (author)

  17. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  18. Titanium oxide modification with oxides of mixed cobalt valence for photo catalysis

    International Nuclear Information System (INIS)

    Alanis O, R.; Jimenez B, J.

    2010-01-01

    In the present work, heterogenous photo catalysis, a technique often used for organic compound degradation toxic in water, was used. The photo catalyst most often used in this technique is TiO 2 , which due to its physical and chemical properties, can degrade a great number of organic compounds. In addition, in recent years it has been verified that the doping of semiconductors with metals or metallic oxides increases the photo catalytic activity of these semiconductors, which is why it was proposed for doping by the impregnating method using commercial TiO 2 synthesized by the Degussa company (TiO 2 Degussa P25) with and oxide of mixed cobalt valence (Co 3 O 4 ) synthesized using the sol-gel method. The synthesized photo catalyst TiO 2 /Co 3 O 4 was characterized by the techniques of X-ray diffraction, scanning electronic microscopy, Raman spectroscopy and finally, photo catalytic tests by means of the degradation of methylene blue. (Author)

  19. Properties of Semiconductors: Synthesis of Oriented ZnO for Photoelectrochemistry and Photoremediation

    Science.gov (United States)

    Koenig, Emma; Jacobs, Ari; Lisensky, George

    2017-01-01

    Semiconductors are an important class of materials; preparing ZnO nanorods allows semiconducting properties to be easily observed. The week before lab, groups of four students take 15 min to setup two fluorine-doped tin oxide glass (FTO) slides in a zinc nitrate and hexamethylenetetramine solution stored at 90°C until the next lab. Hexagonal ZnO…

  20. Positron Studies of Oxide-Semiconductor Structures

    OpenAIRE

    Uedono , A.; Wei , L.; Kawano , T.; Tanigawa , S.; Suzuki , R.; Ohgaki , H.; Mikado , T.

    1995-01-01

    The annihilation characteristics of positrons in SiO2 films grown on Si substrates were studied by using monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured as a function of incident positron energy for SiO2/Si structures fabricated by various oxidation techniques. From the measurements, it was found that the formation probability of positronium (Ps) atoms in SiO2 films strongly depends on the growth condition...

  1. High performance printed oxide field-effect transistors processed using photonic curing

    Science.gov (United States)

    Garlapati, Suresh Kumar; Cadilha Marques, Gabriel; Gebauer, Julia Susanne; Dehm, Simone; Bruns, Michael; Winterer, Markus; Baradaran Tahoori, Mehdi; Aghassi-Hagmann, Jasmin; Hahn, Horst; Dasgupta, Subho

    2018-06-01

    Oxide semiconductors are highly promising candidates for the most awaited, next-generation electronics, namely, printed electronics. As a fabrication route for the solution-processed/printed oxide semiconductors, photonic curing is becoming increasingly popular, as compared to the conventional thermal curing method; the former offers numerous advantages over the latter, such as low process temperatures and short exposure time and thereby, high throughput compatibility. Here, using dissimilar photonic curing concepts (UV–visible light and UV-laser), we demonstrate facile fabrication of high performance In2O3 field-effect transistors (FETs). Beside the processing related issues (temperature, time etc.), the other known limitation of oxide electronics is the lack of high performance p-type semiconductors, which can be bypassed using unipolar logics from high mobility n-type semiconductors alone. Interestingly, here we have found that our chosen distinct photonic curing methods can offer a large variation in threshold voltage, when they are fabricated from the same precursor ink. Consequently, both depletion and enhancement-mode devices have been achieved which can be used as the pull-up and pull-down transistors in unipolar inverters. The present device fabrication recipe demonstrates fast processing of low operation voltage, high performance FETs with large threshold voltage tunability.

  2. Hierarchical Assembly of Multifunctional Oxide-based Composite Nanostructures for Energy and Environmental Applications

    Directory of Open Access Journals (Sweden)

    Hui-Jan Lin

    2012-06-01

    Full Text Available Composite nanoarchitectures represent a class of nanostructured entities that integrates various dissimilar nanoscale building blocks including nanoparticles, nanowires, and nanofilms toward realizing multifunctional characteristics. A broad array of composite nanoarchitectures can be designed and fabricated, involving generic materials such as metal, ceramics, and polymers in nanoscale form. In this review, we will highlight the latest progress on composite nanostructures in our research group, particularly on various metal oxides including binary semiconductors, ABO3-type perovskites, A2BO4 spinels and quaternary dielectric hydroxyl metal oxides (AB(OH6 with diverse application potential. Through a generic template strategy in conjunction with various synthetic approaches—such as hydrothermal decomposition, colloidal deposition, physical sputtering, thermal decomposition and thermal oxidation, semiconductor oxide alloy nanowires, metal oxide/perovskite (spinel composite nanowires, stannate based nanocompostes, as well as semiconductor heterojunction—arrays and networks have been self-assembled in large scale and are being developed as promising classes of composite nanoarchitectures, which may open a new array of advanced nanotechnologies in solid state lighting, solar absorption, photocatalysis and battery, auto-emission control, and chemical sensing.

  3. Note: A disposable x-ray camera based on mass produced complementary metal-oxide-semiconductor sensors and single-board computers

    Energy Technology Data Exchange (ETDEWEB)

    Hoidn, Oliver R.; Seidler, Gerald T., E-mail: seidler@uw.edu [Physics Department, University of Washington, Seattle, Washington 98195 (United States)

    2015-08-15

    We have integrated mass-produced commercial complementary metal-oxide-semiconductor (CMOS) image sensors and off-the-shelf single-board computers into an x-ray camera platform optimized for acquisition of x-ray spectra and radiographs at energies of 2–6 keV. The CMOS sensor and single-board computer are complemented by custom mounting and interface hardware that can be easily acquired from rapid prototyping services. For single-pixel detection events, i.e., events where the deposited energy from one photon is substantially localized in a single pixel, we establish ∼20% quantum efficiency at 2.6 keV with ∼190 eV resolution and a 100 kHz maximum detection rate. The detector platform’s useful intrinsic energy resolution, 5-μm pixel size, ease of use, and obvious potential for parallelization make it a promising candidate for many applications at synchrotron facilities, in laser-heating plasma physics studies, and in laboratory-based x-ray spectrometry.

  4. Photoelectrochemical and electrocatalytic properties of thermally oxidized copper oxide for efficient solar fuel production

    KAUST Repository

    Garcia Esparza, Angel T.; Limkrailassiri, Kevin; Leroy, Fré dé ric; Rasul, Shahid; Yu, Weili; Lin, Liwei; Takanabe, Kazuhiro

    2014-01-01

    We report the use of a facile and highly scalable synthesis process to control growth products of earth-abundant Cu-based oxides and their application in relevant photoelectrochemical and electrochemical solar fuel generation systems. Characterization of the synthesized Cu(I)/Cu(II) oxides indicates that their surface morphology and chemical composition can be simply tuned by varying two synthesis parameters (time and temperature). UV-Vis spectroscopy and impedance spectroscopy studies are performed to estimate the band structures and electronic properties of these p-type semiconductor materials. Photoelectrodes made of Cu oxides possess favorable energy band structures for production of hydrogen from water; the position of their conduction band is ≈1 V more negative than the water-reduction potential. High acceptor concentrations on the order of 1018-1019 cm-3 are obtained, producing large electric fields at the semiconductor-electrolyte interface and thereby enhancing charge separation. The highly crystalline pristine samples used as photocathodes in photoelectrochemical cells exhibit high photocurrents under AM 1.5G simulated illumination. When the samples are electrochemically reduced under galvanostatic conditions, the co-existence of the oxide with metallic Cu on the surface seems to function as an effective catalyst for the selective electrochemical reduction of CO2. © the Partner Organisations 2014.

  5. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  6. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  7. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  8. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  9. Semiconductor-metal transition of Se in Ru-Se Catalyst Nanoparticles

    Science.gov (United States)

    Babu, P. K.; Lewera, Adam; Oldfield, Eric; Wieckowski, Andrzej

    2009-03-01

    Ru-Se composite nanoparticles are promising catalysts for the oxygen reduction reaction (ORR) in fuel cells. Though the role of Se in enhancing the chemical stability of Ru nanoparticles is well established, the microscopic nature of Ru-Se interaction was not clearly understood. We carried out a combined investigation of ^77Se NMR and XPS on Ru-Se nanoparticles and our results indicate that Se, a semiconductor in elemental form, becomes metallic when interacting with Ru. ^77Se spin-lattice relaxation rates are found to be proportional to T, the well-known Korringa behavior characteristic of metals. The NMR results are supported by the XPS binding energy shifts which suggest that a possible Ru->Se charge transfer could be responsible for the semiconductor->metal transition of Se which also makes Ru less susceptible to oxidation during ORR.

  10. Low-voltage organic electronics based on a gate-tunable injection barrier in vertical graphene-organic semiconductor heterostructures.

    Science.gov (United States)

    Hlaing, Htay; Kim, Chang-Hyun; Carta, Fabio; Nam, Chang-Yong; Barton, Rob A; Petrone, Nicholas; Hone, James; Kymissis, Ioannis

    2015-01-14

    The vertical integration of graphene with inorganic semiconductors, oxide semiconductors, and newly emerging layered materials has recently been demonstrated as a promising route toward novel electronic and optoelectronic devices. Here, we report organic thin film transistors based on vertical heterojunctions of graphene and organic semiconductors. In these thin heterostructure devices, current modulation is accomplished by tuning of the injection barriers at the semiconductor/graphene interface with the application of a gate voltage. N-channel devices fabricated with a thin layer of C60 show a room temperature on/off ratio >10(4) and current density of up to 44 mAcm(-2). Because of the ultrashort channel intrinsic to the vertical structure, the device is fully operational at a driving voltage of 200 mV. A complementary p-channel device is also investigated, and a logic inverter based on two complementary transistors is demonstrated. The vertical integration of graphene with organic semiconductors via simple, scalable, and low-temperature fabrication processes opens up new opportunities to realize flexible, transparent organic electronic, and optoelectronic devices.

  11. Microwave assisted synthesis and characterisation of a zinc oxide/tobacco mosaic virus hybrid material. An active hybrid semiconductor in a field-effect transistor device

    Directory of Open Access Journals (Sweden)

    Shawn Sanctis

    2015-03-01

    Full Text Available Tobacco mosaic virus (TMV has been employed as a robust functional template for the fabrication of a TMV/zinc oxide field effect transistor (FET. A microwave based approach, under mild conditions was employed to synthesize stable zinc oxide (ZnO nanoparticles, employing a molecular precursor. Insightful studies of the decomposition of the precursor were done using NMR spectroscopy and material characterization of the hybrid material derived from the decomposition was achieved using dynamic light scattering (DLS, transmission electron microscopy (TEM, grazing incidence X-ray diffractometry (GI-XRD and atomic force microscopy (AFM. TEM and DLS data confirm the formation of crystalline ZnO nanoparticles tethered on top of the virus template. GI-XRD investigations exhibit an orientated nature of the deposited ZnO film along the c-axis. FET devices fabricated using the zinc oxide mineralized virus template material demonstrates an operational transistor performance which was achieved without any high-temperature post-processing steps. Moreover, a further improvement in FET performance was observed by adjusting an optimal layer thickness of the deposited ZnO on top of the TMV. Such a bio-inorganic nanocomposite semiconductor material accessible using a mild and straightforward microwave processing technique could open up new future avenues within the field of bio-electronics.

  12. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  13. How light-harvesting semiconductors can alter the bias of reversible electrocatalysts in favor of H2 production and CO2 reduction.

    Science.gov (United States)

    Bachmeier, Andreas; Wang, Vincent C C; Woolerton, Thomas W; Bell, Sophie; Fontecilla-Camps, Juan C; Can, Mehmet; Ragsdale, Stephen W; Chaudhary, Yatendra S; Armstrong, Fraser A

    2013-10-09

    The most efficient catalysts for solar fuel production should operate close to reversible potentials, yet possess a bias for the fuel-forming direction. Protein film electrochemical studies of Ni-containing carbon monoxide dehydrogenase and [NiFeSe]-hydrogenase, each a reversible electrocatalyst, show that the electronic state of the electrode strongly biases the direction of electrocatalysis of CO2/CO and H(+)/H2 interconversions. Attached to graphite electrodes, these enzymes show high activities for both oxidation and reduction, but there is a marked shift in bias, in favor of CO2 or H(+) reduction, when the respective enzymes are attached instead to n-type semiconductor electrodes constructed from CdS and TiO2 nanoparticles. This catalytic rectification effect can arise for a reversible electrocatalyst attached to a semiconductor electrode if the electrode transforms between semiconductor- and metallic-like behavior across the same narrow potential range (<0.25 V) that the electrocatalytic current switches between oxidation and reduction.

  14. Non-Stoichiometric SixN Metal-Oxide-Semiconductor Field-Effect Transistor for Compact Random Number Generator with 0.3 Mbit/s Generation Rate

    Science.gov (United States)

    Matsumoto, Mari; Ohba, Ryuji; Yasuda, Shin-ichi; Uchida, Ken; Tanamoto, Tetsufumi; Fujita, Shinobu

    2008-08-01

    The demand for random numbers for security applications is increasing. A conventional random number generator using thermal noise can generate unpredictable high-quality random numbers, but the circuit is extremely large because of large amplifier circuit for a small thermal signal. On the other hand, a pseudo-random number generator is small but the quality of randomness is bad. For a small circuit and a high quality of randomness, we purpose a non-stoichiometric SixN metal-oxide-semiconductor field-effect transistor (MOSFET) noise source device. This device generates a very large noise signal without an amplifier circuit. As a result, it is shown that, utilizing a SiN MOSFET, we can attain a compact random number generator with a high generation rate near 1 Mbit/s, which is suitable for almost all security applications.

  15. Dual-Material Gate Approach to Suppression of Random-Dopant-Induced Characteristic Fluctuation in 16 nm Metal-Oxide-Semiconductor Field-Effect-Transistor Devices

    Science.gov (United States)

    Li, Yiming; Lee, Kuo-Fu; Yiu, Chun-Yen; Chiu, Yung-Yueh; Chang, Ru-Wei

    2011-04-01

    In this work, we explore for the first time dual-material gate (DMG) and inverse DMG devices for suppressing the random-dopant (RD)-induced characteristic fluctuation in 16 nm metal-oxide-semiconductor field-effect-transistor (MOSFET) devices. The physical mechanism of suppressing the characteristic fluctuation of DMG devices is observed and discussed. The achieved improvement in suppressing the RD-induced threshold voltage, on-state current, and off-state current fluctuations are 28, 12.3, and 59%, respectively. To further suppress the fluctuations, an approach that combines the DMG method and channel-doping-profile engineering is also advanced and explored. The results of our study show that among the suppression techniques, the use of the DMG device with an inverse lateral asymmetric channel-doping-profile has good immunity to fluctuation.

  16. Local coordination structure and electronic structure of the large electron mobility amorphous oxide semiconductor In-Ga-Zn-O: Experiment and ab initio calculations

    International Nuclear Information System (INIS)

    Nomura, Kenji; Ohta, Hiromichi; Hirano, Masahiro; Kamiya, Toshio; Uruga, Tomoya; Hosono, Hideo

    2007-01-01

    Ionic amorphous oxide semiconductors (IAOSs) are new materials for flexible thin film transistors that exhibit field-effect mobilities of ∼10 cm 2 V -1 s -1 [K. Nomura et al., Nature 488, 432 (2004)]. The local coordination structure in an IAOS, In-Ga-Zn-O (a-IGZO), was examined using extended x-ray absorption fine structure analysis combined with ab initio calculations. The short-range ordering and coordination structures in a-IGZO are similar to those in the corresponding crystalline phase, InGaZnO 4 , and edge-sharing structures consisting of In-O polyhedra remain in the amorphous structure. The In 3+ 5s orbitals form an extended state with a band effective mass of ∼0.2m e at the conduction band bottom

  17. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  18. Low Power Operation of Temperature-Modulated Metal Oxide Semiconductor Gas Sensors

    Directory of Open Access Journals (Sweden)

    Javier Burgués

    2018-01-01

    Full Text Available Mobile applications based on gas sensing present new opportunities for low-cost air quality monitoring, safety, and healthcare. Metal oxide semiconductor (MOX gas sensors represent the most prominent technology for integration into portable devices, such as smartphones and wearables. Traditionally, MOX sensors have been continuously powered to increase the stability of the sensing layer. However, continuous power is not feasible in many battery-operated applications due to power consumption limitations or the intended intermittent device operation. This work benchmarks two low-power, duty-cycling, and on-demand modes against the continuous power one. The duty-cycling mode periodically turns the sensors on and off and represents a trade-off between power consumption and stability. On-demand operation achieves the lowest power consumption by powering the sensors only while taking a measurement. Twelve thermally modulated SB-500-12 (FIS Inc. Jacksonville, FL, USA sensors were exposed to low concentrations of carbon monoxide (0–9 ppm with environmental conditions, such as ambient humidity (15–75% relative humidity and temperature (21–27 °C, varying within the indicated ranges. Partial Least Squares (PLS models were built using calibration data, and the prediction error in external validation samples was evaluated during the two weeks following calibration. We found that on-demand operation produced a deformation of the sensor conductance patterns, which led to an increase in the prediction error by almost a factor of 5 as compared to continuous operation (2.2 versus 0.45 ppm. Applying a 10% duty-cycling operation of 10-min periods reduced this prediction error to a factor of 2 (0.9 versus 0.45 ppm. The proposed duty-cycling powering scheme saved up to 90% energy as compared to the continuous operating mode. This low-power mode may be advantageous for applications that do not require continuous and periodic measurements, and which can tolerate

  19. pn junctions based on a single transparent perovskite semiconductor BaSnO3

    Science.gov (United States)

    Kim, Hoon Min; Kim, Useong; Park, Chulkwon; Kwon, Hyukwoo; Lee, Woongjae; Kim, Tai Hoon; Kim, Kee Hoon; Char, Kookrin; Mdpl, Department Of Physics; Astronomy Team; Censcmr, Department Of Physics; Astronomy Team

    2014-03-01

    Successful p doping of transparent oxide semiconductor will further increase its potential, especially in the area of optoelectronic applications. We will report our efforts to dope the BaSnO3 (BSO) with K by pulsed laser deposition. Although the K doped BSO exhibits rather high resistivity at room temperature, its conductivity increases dramatically at higher temperatures. Furthermore, the conductivity decreases when a small amount of oxygen was removed from the film, consistent with the behavior of p type doped oxides. We have fabricated pn junctions by using K doped BSO as a p type and La doped BSO as an n type material. I_V characteristics of these devices show the typical rectifying behavior of pn junctions. We will present the analysis of the junction properties from the temperature dependent measurement of their electrical properties, which shows that the I_V characteristics are consistent with the material parameters such as the carrier concentration, the mobility, and the bandgap. Our demonstration of pn junctions based on a single transparent perovskite semiconductor further enhances the potential of BSO system with high mobility and stability.

  20. A Solution-Doped Polymer Semiconductor:Insulator Blend for Thermoelectrics

    KAUST Repository

    Kiefer, David; Yu, Liyang; Fransson, Erik; Gó mez, André s; Primetzhofer, Daniel; Amassian, Aram; Campoy-Quiles, Mariano; Mü ller, Christian

    2016-01-01

    Poly(ethylene oxide) is demonstrated to be a suitable matrix polymer for the solution-doped conjugated polymer poly(3-hexylthiophene). The polarity of the insulator combined with carefully chosen processing conditions permits the fabrication of tens of micrometer-thick films that feature a fine distribution of the F4TCNQ dopant:semiconductor complex. Changes in electrical conductivity from 0.1 to 0.3 S cm−1 and Seebeck coefficient from 100 to 60 μV K−1 upon addition of the insulator correlate with an increase in doping efficiency from 20% to 40% for heavily doped ternary blends. An invariant bulk thermal conductivity of about 0.3 W m−1 K−1 gives rise to a thermoelectric Figure of merit ZT ∼ 10−4 that remains unaltered for an insulator content of more than 60 wt%. Free-standing, mechanically robust tapes illustrate the versatility of the developed dopant:semiconductor:insulator ternary blends.

  1. A Solution-Doped Polymer Semiconductor:Insulator Blend for Thermoelectrics

    KAUST Repository

    Kiefer, David

    2016-09-01

    Poly(ethylene oxide) is demonstrated to be a suitable matrix polymer for the solution-doped conjugated polymer poly(3-hexylthiophene). The polarity of the insulator combined with carefully chosen processing conditions permits the fabrication of tens of micrometer-thick films that feature a fine distribution of the F4TCNQ dopant:semiconductor complex. Changes in electrical conductivity from 0.1 to 0.3 S cm−1 and Seebeck coefficient from 100 to 60 μV K−1 upon addition of the insulator correlate with an increase in doping efficiency from 20% to 40% for heavily doped ternary blends. An invariant bulk thermal conductivity of about 0.3 W m−1 K−1 gives rise to a thermoelectric Figure of merit ZT ∼ 10−4 that remains unaltered for an insulator content of more than 60 wt%. Free-standing, mechanically robust tapes illustrate the versatility of the developed dopant:semiconductor:insulator ternary blends.

  2. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  3. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  4. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  5. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  6. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  7. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  8. Amorphous chalcogenide semiconductors for solid state dosimetric systems of high-energetic ionizing radiation

    International Nuclear Information System (INIS)

    Shpotyuk, O.

    1997-01-01

    The application possibilities of amorphous chalcogenide semiconductors use as radiation-sensitive elements of high-energetic (E > 1 MeV) dosimetric systems are analysed. It is shown that investigated materials are characterized by more wide region of registered absorbed doses and low temperature threshold of radiation information bleaching in comparison with well-known analogies based on coloring oxide glasses. (author)

  9. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  10. Capacitance-Voltage Characterization of La2O3 Metal-Oxide-Semiconductor Structures on In0.53Ga0.47As Substrate with Different Surface Treatment Methods

    Science.gov (United States)

    Zade, Dariush; Kanda, Takashi; Yamashita, Koji; Kakushima, Kuniyuki; Nohira, Hiroshi; Ahmet, Parhat; Tsutsui, Kazuo; Nishiyama, Akira; Sugii, Nobuyuki; Natori, Kenji; Hattori, Takeo; Iwai, Hiroshi

    2011-10-01

    We studied InGaAs surface treatment using hexamethyldisilazane (HMDS) vapor or (NH4)2S solution after initial oxide removal by hydrofluoric acid. The effect of each treatment on interface properties of La2O3/In0.53Ga0.47As metal-oxide-semiconductor (MOS) capacitor was evaluated. We found that HMDS surface treatment of InGaAs, followed by La2O3 deposition and forming gas annealing reduces the MOS capacitor's interface state density more effectively than (NH4)2S treatment. The comparison of the capacitance-voltage data shows that the HMDS-treated sample reaches a maximum accumulation capacitance of 2.3 µF/cm2 at 1 MHz with roughly 40% less frequency dispersion near accumulation, than the sample treated with (NH4)2S solution. These results suggest that process optimization of HMDS application could lead to further improvement of InGaAs MOS interface, thereby making it a potential routine step for InGaAs surface passivation.

  11. Hyperfine interactions in the cubic semiconductor CdO

    International Nuclear Information System (INIS)

    Desimoni, J.; Bibiloni, A.G.; Massolo, C.P.; Renteria, M.

    1990-01-01

    The time-differential perturbed angular correlation technique has been applied using 111 In probes, which decay through electron capture to 111 Cd, to study the hyperfine interaction in cubic cadmium oxide, in the temperature range RT--740 degree C (RT denotes room temperature). The main fraction of probes are located in perfect-lattice sites, with null electric field gradient in agreement with crystalline-structure considerations. Around 25% of the total intensity shows an electric-field-gradient distribution around V zz =0. This corresponds to probes located in sites perturbed by the vicinity of oxygen vacancies in the lattice. The temperature-independent behavior of the measured hyperfine parameters is discussed in terms of conductivity and band-structure properties of the semiconductor. No time-dependent interaction arising from nuclear electron-capture aftereffects are seen in this experiment. This is in agreement with a previously reported model of aftereffect processes which states that only holes trapped in impurity levels inside the band gap of the semiconductor can give rise to detectable fluctuating interactions

  12. Hyperfine interactions in the cubic semiconductor CdO

    Energy Technology Data Exchange (ETDEWEB)

    Desimoni, J.; Bibiloni, A.G.; Massolo, C.P.; Renteria, M. (Departamento de Fisica, Facultad de Ciencias Exactas, Universidad Nacional de La Plata, Casilla de Correo No. 67, 1900 La Plata, Argentina (AR))

    1990-01-15

    The time-differential perturbed angular correlation technique has been applied using {sup 111}In probes, which decay through electron capture to {sup 111}Cd, to study the hyperfine interaction in cubic cadmium oxide, in the temperature range RT--740 {degree}C (RT denotes room temperature). The main fraction of probes are located in perfect-lattice sites, with null electric field gradient in agreement with crystalline-structure considerations. Around 25% of the total intensity shows an electric-field-gradient distribution around {ital V}{sub {ital zz}}=0. This corresponds to probes located in sites perturbed by the vicinity of oxygen vacancies in the lattice. The temperature-independent behavior of the measured hyperfine parameters is discussed in terms of conductivity and band-structure properties of the semiconductor. No time-dependent interaction arising from nuclear electron-capture aftereffects are seen in this experiment. This is in agreement with a previously reported model of aftereffect processes which states that only holes trapped in impurity levels inside the band gap of the semiconductor can give rise to detectable fluctuating interactions.

  13. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  14. Glial cell adhesion and protein adsorption on SAM coated semiconductor and glass surfaces of a microfluidic structure

    Science.gov (United States)

    Sasaki, Darryl Y.; Cox, Jimmy D.; Follstaedt, Susan C.; Curry, Mark S.; Skirboll, Steven K.; Gourley, Paul L.

    2001-05-01

    The development of microsystems that merge biological materials with microfabricated structures is highly dependent on the successful interfacial interactions between these innately incompatible materials. Surface passivation of semiconductor and glass surfaces with thin organic films can attenuate the adhesion of proteins and cells that lead to biofilm formation and biofouling of fluidic structures. We have examined the adhesion of glial cells and serum albumin proteins to microfabricated glass and semiconductor surfaces coated with self-assembled monolayers of octadecyltrimethoxysilane and N-(triethoxysilylpropyl)-O- polyethylene oxide urethane, to evaluate the biocompatibility and surface passivation those coatings provide.

  15. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  16. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  17. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  18. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  19. Determination of bulk and interface density of states in metal oxide semiconductor thin-film transistors by using capacitance-voltage characteristics

    Science.gov (United States)

    Wei, Xixiong; Deng, Wanling; Fang, Jielin; Ma, Xiaoyu; Huang, Junkai

    2017-10-01

    A physical-based straightforward extraction technique for interface and bulk density of states in metal oxide semiconductor thin film transistors (TFTs) is proposed by using the capacitance-voltage (C-V) characteristics. The interface trap density distribution with energy has been extracted from the analysis of capacitance-voltage characteristics. Using the obtained interface state distribution, the bulk trap density has been determined. With this method, for the interface trap density, it is found that deep state density nearing the mid-gap is approximately constant and tail states density increases exponentially with energy; for the bulk trap density, it is a superposition of exponential deep states and exponential tail states. The validity of the extraction is verified by comparisons with the measured current-voltage (I-V) characteristics and the simulation results by the technology computer-aided design (TCAD) model. This extraction method uses non-numerical iteration which is simple, fast and accurate. Therefore, it is very useful for TFT device characterization.

  20. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    Science.gov (United States)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  1. Trivalent dopants on ZnO semiconductor obtained by mechanical milling

    Energy Technology Data Exchange (ETDEWEB)

    Damonte, L.C., E-mail: damonte@fisica.unlp.edu.a [Dto. De Fisica, UNLP, IFLP-CONICET, C.C.67 (1900) La Plata (Argentina); Dto. De Fisica Aplicada, Universidad Politecnica de Valencia, Valencia (Spain); Donderis, V. [Dto. De Ingenieria Electrica, Universidad Politecnica de Valencia, Cami de Vera s/n, 46071 Valencia (Spain); Hernandez-Fenollosa, M.A. [Dto. De Fisica Aplicada, Universidad Politecnica de Valencia, Valencia (Spain)

    2009-08-26

    Al-doped ZnO powders were obtained by mechanical milling. This n-type oxide material is of interest for application in electronic devices as solar cells. The incorporation of the metal dopant into the ZnO wurtzite structure has been verified by X-ray diffraction, positron annihilation spectroscopy and optical analysis. The optical reflection measurements were strongly affected by the Al incorporation. The positron annihilation spectroscopy constituted an adequate probe to sense the cation substitution in the doped semiconductor.

  2. Trivalent dopants on ZnO semiconductor obtained by mechanical milling

    International Nuclear Information System (INIS)

    Damonte, L.C.; Donderis, V.; Hernandez-Fenollosa, M.A.

    2009-01-01

    Al-doped ZnO powders were obtained by mechanical milling. This n-type oxide material is of interest for application in electronic devices as solar cells. The incorporation of the metal dopant into the ZnO wurtzite structure has been verified by X-ray diffraction, positron annihilation spectroscopy and optical analysis. The optical reflection measurements were strongly affected by the Al incorporation. The positron annihilation spectroscopy constituted an adequate probe to sense the cation substitution in the doped semiconductor.

  3. Catalytic photooxidation of pentachlorophenol using semiconductor nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    WILCOXON,JESS P.

    2000-04-17

    Pentachlorophenol (PCP) is a toxic chlorinated aromatic molecule widely used as fungicide, a bactericide and a wood preservation, and thus ubiquitous in the environment. The authors report photo-oxidation of PCP using a variety of nanosize semiconductor metal oxides and sulfides in both aqueous and polar organic solvents and compare the photo-oxidation kinetics of these nanoclusters to widely studied bulk powders like Degussa P-25 TiO{sub 2} and CdS. They study both the light intensity dependence of PCP photooxidation for nanosize SnO{sub 2} and the size dependence of PCP photooxidation for both nanosize SnO{sub 2} and MoS{sub 2}. They find an extremely strong size dependence for the latter which they attribute to its size-dependent band gap and the associated change in redox potentials due to quantum confinement of the hole-electron pair. The authors show that nanosize MoS{sub 2} with a diameter of d=3.0 nm and an absorbance edge of {approximately}450 nm is a very effective photooxidation catalyst for complete PCP mineralization, even when using only visible light irradiation.

  4. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  5. Titanium oxide modification with oxides of mixed cobalt valence for photo catalysis

    Energy Technology Data Exchange (ETDEWEB)

    Alanis O, R.; Jimenez B, J., E-mail: jaime.jimenez@inin.gob.m [ININ, Departamento de Quimica, Carretera Mexico-Toluca s/n, 52750 Ocoyoacac, Estado de Mexico (Mexico)

    2010-07-01

    In the present work, heterogenous photo catalysis, a technique often used for organic compound degradation toxic in water, was used. The photo catalyst most often used in this technique is TiO{sub 2}, which due to its physical and chemical properties, can degrade a great number of organic compounds. In addition, in recent years it has been verified that the doping of semiconductors with metals or metallic oxides increases the photo catalytic activity of these semiconductors, which is why it was proposed for doping by the impregnating method using commercial TiO{sub 2} synthesized by the Degussa company (TiO{sub 2} Degussa P25) with and oxide of mixed cobalt valence (Co{sub 3}O{sub 4}) synthesized using the sol-gel method. The synthesized photo catalyst TiO{sub 2}/Co{sub 3}O{sub 4} was characterized by the techniques of X-ray diffraction, scanning electronic microscopy, Raman spectroscopy and finally, photo catalytic tests by means of the degradation of methylene blue. (Author)

  6. Electrostatic analysis of n-doped SrTiO3 metal-insulator-semiconductor systems

    International Nuclear Information System (INIS)

    Kamerbeek, A. M.; Banerjee, T.; Hueting, R. J. E.

    2015-01-01

    Electron doped SrTiO 3 , a complex-oxide semiconductor, possesses novel electronic properties due to its strong temperature and electric-field dependent permittivity. Due to the high permittivity, metal/n-SrTiO 3 systems show reasonably strong rectification even when SrTiO 3 is degenerately doped. Our experiments show that the insertion of a sub nanometer layer of AlO x in between the metal and n-SrTiO 3 interface leads to a dramatic reduction of the Schottky barrier height (from around 0.90 V to 0.25 V). This reduces the interface resistivity by 4 orders of magnitude. The derived electrostatic analysis of the metal-insulator-semiconductor (n-SrTiO 3 ) system is consistent with this trend. When compared with a Si based MIS system, the change is much larger and mainly governed by the high permittivity of SrTiO 3 . The non-linear permittivity of n-SrTiO 3 leads to unconventional properties such as a temperature dependent surface potential non-existent for semiconductors with linear permittivity such as Si. This allows tuning of the interfacial band alignment, and consequently the Schottky barrier height, in a much more drastic way than in conventional semiconductors

  7. Investigation of 'surface donors' in Al2O3/AlGaN/GaN metal-oxide-semiconductor heterostructures: Correlation of electrical, structural, and chemical properties

    Science.gov (United States)

    Ťapajna, M.; Stoklas, R.; Gregušová, D.; Gucmann, F.; Hušeková, K.; Haščík, Š.; Fröhlich, K.; Tóth, L.; Pécz, B.; Brunner, F.; Kuzmík, J.

    2017-12-01

    III-N surface polarization compensating charge referred here to as 'surface donors' (SD) was analyzed in Al2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) heterojunctions using scaled oxide films grown by metal-organic chemical vapor deposition at 600 °C. We systematically investigated impact of HCl pre-treatment prior to oxide deposition and post-deposition annealing (PDA) at 700 °C. SD density was reduced down to 1.9 × 1013 cm-2 by skipping HCl pre-treatment step as compared to 3.3 × 1013 cm-2 for structures with HCl pre-treatment followed by PDA. The nature and origin of SD was then analyzed based on the correlation between electrical, micro-structural, and chemical properties of the Al2O3/GaN interfaces with different SD density (NSD). From the comparison between distributions of interface traps of MOS heterojunction with different NSD, it is demonstrated that SD cannot be attributed to interface trapped charge. Instead, variation in the integrity of the GaOx interlayer confirmed by X-ray photoelectron spectroscopy is well correlated with NSD, indicating SD may be formed by border traps at the Al2O3/GaOx interface.

  8. Near infrared laser stimulation of human neural stem cells into neurons on graphene nanomesh semiconductors.

    Science.gov (United States)

    Akhavan, Omid; Ghaderi, Elham; Shirazian, Soheil A

    2015-02-01

    Reduced graphene oxide nanomeshes (rGONMs), as p-type semiconductors with band-gap energy of ∼ 1 eV, were developed and applied in near infrared (NIR) laser stimulation of human neural stem cells (hNSCs) into neurons. The biocompatibility of the rGONMs in growth of hNSCs was found similar to that of the graphene oxide (GO) sheets. Proliferation of the hNSCs on the GONMs was assigned to the excess oxygen functional groups formed on edge defects of the GONMs, resulting in superhydrophilicity of the surface. Under NIR laser stimulation, the graphene layers (especially the rGONMs) exhibited significant cell differentiations, including more elongations of the cells and higher differentiation of neurons than glia. The higher hNSC differentiation on the rGONM than the reduced GO (rGO) was assigned to the stimulation effects of the low-energy photoexcited electrons injected from the rGONM semiconductors into the cells, while the high-energy photoelectrons of the rGO (as a zero band-gap semiconductor) could suppress the cell proliferation and/or even cause cell damages. Using conventional heating of the culture media up to ∼ 43 °C (the temperature typically reached under the laser irradiation), no significant differentiation was observed in dark. This further confirmed the role of photoelectrons in the hNSC differentiation. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistor with Polarized P(VDF-TrFE) Ferroelectric Polymer Gating

    Science.gov (United States)

    Liu, Xinke; Lu, Youming; Yu, Wenjie; Wu, Jing; He, Jiazhu; Tang, Dan; Liu, Zhihong; Somasuntharam, Pannirselvam; Zhu, Deliang; Liu, Wenjun; Cao, Peijiang; Han, Sun; Chen, Shaojun; Seow Tan, Leng

    2015-01-01

    Effect of a polarized P(VDF-TrFE) ferroelectric polymer gating on AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) was investigated. The P(VDF-TrFE) gating in the source/drain access regions of AlGaN/GaN MOS-HEMTs was positively polarized (i.e., partially positively charged hydrogen were aligned to the AlGaN surface) by an applied electric field, resulting in a shift-down of the conduction band at the AlGaN/GaN interface. This increases the 2-dimensional electron gas (2-DEG) density in the source/drain access region of the AlGaN/GaN heterostructure, and thereby reduces the source/drain series resistance. Detailed material characterization of the P(VDF-TrFE) ferroelectric film was also carried out using the atomic force microscopy (AFM), X-ray Diffraction (XRD), and ferroelectric hysteresis loop measurement. PMID:26364872

  10. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  11. Wide and ultra-wide bandgap oxides: where paradigm-shift photovoltaics meets transparent power electronics

    Science.gov (United States)

    Pérez-Tomás, Amador; Chikoidze, Ekaterine; Jennings, Michael R.; Russell, Stephen A. O.; Teherani, Ferechteh H.; Bove, Philippe; Sandana, Eric V.; Rogers, David J.

    2018-03-01

    Oxides represent the largest family of wide bandgap (WBG) semiconductors and also offer a huge potential range of complementary magnetic and electronic properties, such as ferromagnetism, ferroelectricity, antiferroelectricity and high-temperature superconductivity. Here, we review our integration of WBG and ultra WBG semiconductor oxides into different solar cells architectures where they have the role of transparent conductive electrodes and/or barriers bringing unique functionalities into the structure such above bandgap voltages or switchable interfaces. We also give an overview of the state-of-the-art and perspectives for the emerging semiconductor β- Ga2O3, which is widely forecast to herald the next generation of power electronic converters because of the combination of an UWBG with the capacity to conduct electricity. This opens unprecedented possibilities for the monolithic integration in solar cells of both self-powered logic and power electronics functionalities. Therefore, WBG and UWBG oxides have enormous promise to become key enabling technologies for the zero emissions smart integration of the internet of things.

  12. The kinetic of photoreactions in zinc oxide microrods

    Science.gov (United States)

    Fiedot, M.; Rac, O.; Suchorska-Woźniak, P.; Nawrot, W.; Teterycz, H.

    2016-01-01

    Zinc oxide is the oldest sensing material used in the chemical resistive gas sensors which allow to detect many gases, such as carbon oxide, nitrogen oxides and other. This material is also widely used in medicine and daily life as antibacterial agent. For this reason this semiconductor is often synthesized on the polymer substrates such as foils and textiles. In presented results zinc oxide was deposited on the surface of poly(ethylene terephthalate) foil to obtain antibacterial material. As synthesis method chemical bath deposition was chosen. The growth of zinc oxide structures was carried out in water solution of zinc nitrate (V) and hexamethylenetetramine in 90°C during 9 h. Because antibacterial properties of ZnO are strongly depended on photocatalytic and electric properties of this semiconductor impedance spectroscopy measurements were carried out. During the measurements material was tested with and without UV light to determinate the kinetic of photoreactions in zinc oxide. Moreover the composite was analyzed by XRD diffraction and scanning electron microscope. The X-ray analysis indicated that obtained material has the structure of wurtzite which is typical of zinc oxide. SEM images showed that on the PET foil microrods of ZnO were formed. The impedance spectroscopy measurements of ZnO layer showed that in UV light significant changes in the conductivity of the material are observed.

  13. The kinetic of photoreactions in zinc oxide microrods

    International Nuclear Information System (INIS)

    Fiedot, M; Rac, O; Suchorska-Woźniak, P; Nawrot, W; Teterycz, H

    2016-01-01

    Zinc oxide is the oldest sensing material used in the chemical resistive gas sensors which allow to detect many gases, such as carbon oxide, nitrogen oxides and other. This material is also widely used in medicine and daily life as antibacterial agent. For this reason this semiconductor is often synthesized on the polymer substrates such as foils and textiles. In presented results zinc oxide was deposited on the surface of poly(ethylene terephthalate) foil to obtain antibacterial material. As synthesis method chemical bath deposition was chosen. The growth of zinc oxide structures was carried out in water solution of zinc nitrate (V) and hexamethylenetetramine in 90°C during 9 h. Because antibacterial properties of ZnO are strongly depended on photocatalytic and electric properties of this semiconductor impedance spectroscopy measurements were carried out. During the measurements material was tested with and without UV light to determinate the kinetic of photoreactions in zinc oxide. Moreover the composite was analyzed by XRD diffraction and scanning electron microscope. The X-ray analysis indicated that obtained material has the structure of wurtzite which is typical of zinc oxide. SEM images showed that on the PET foil microrods of ZnO were formed. The impedance spectroscopy measurements of ZnO layer showed that in UV light significant changes in the conductivity of the material are observed

  14. Electron-electron scattering-induced channel hot electron injection in nanoscale n-channel metal-oxide-semiconductor field-effect-transistors with high-k/metal gate stacks

    International Nuclear Information System (INIS)

    Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Liu, Xi-Wen; Chang, Ting-Chang; Chen, Ching-En; Ho, Szu-Han; Tseng, Tseung-Yuen; Cheng, Osbert; Huang, Cheng-Tung; Lu, Ching-Sen

    2014-01-01

    This work investigates electron-electron scattering (EES)-induced channel hot electron (CHE) injection in nanoscale n-channel metal-oxide-semiconductor field-effect-transistors (n-MOSFETs) with high-k/metal gate stacks. Many groups have proposed new models (i.e., single-particle and multiple-particle process) to well explain the hot carrier degradation in nanoscale devices and all mechanisms focused on Si-H bond dissociation at the Si/SiO 2 interface. However, for high-k dielectric devices, experiment results show that the channel hot carrier trapping in the pre-existing high-k bulk defects is the main degradation mechanism. Therefore, we propose a model of EES-induced CHE injection to illustrate the trapping-dominant mechanism in nanoscale n-MOSFETs with high-k/metal gate stacks.

  15. Temperature Dependent Electrical Transport in Al/Poly(4-vinyl phenol/p-GaAs Metal-Oxide-Semiconductor by Sol-Gel Spin Coating Method

    Directory of Open Access Journals (Sweden)

    Şadan Özden

    2016-01-01

    Full Text Available Deposition of poly(4-vinyl phenol insulator layer is carried out by applying the spin coating technique onto p-type GaAs substrate so as to create Al/poly(4-vinyl phenol/p-GaAs metal-oxide-semiconductor (MOS structure. Temperature was set to 80–320 K while the current-voltage (I-V characteristics of the structure were examined in the study. Ideality factor (n and barrier height (ϕb values found in the experiment ranged from 3.13 and 0.616 eV (320 K to 11.56 and 0.147 eV (80 K. Comparing the thermionic field emission theory and thermionic emission theory, the temperature dependent ideality factor behavior displayed that thermionic field emission theory is more valid than the latter. The calculated tunneling energy was 96 meV.

  16. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  17. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  18. High temperature oxidation kinetics of dysprosium particles

    Energy Technology Data Exchange (ETDEWEB)

    Jaques, Brian J.; Butt, Darryl P., E-mail: DarrylButt@BoiseState.edu

    2015-09-25

    Highlights: • The oxidation behavior of dysprosium particles was studied from 500 to 1000 °C. • Activation energy in initial region found as 8–25 kJ/mol, depending on atmosphere. • Activation energy in intermediate region found as 80–95 kJ/mol. • The oxide grows at the metal–oxide interface. • Generally, the formed oxide behaved as a p-type semiconductor. - Abstract: Rare earth elements have been recognized as critical materials for the advancement of many strategic and green technologies. Recently, the United States Department of Energy has invested many millions of dollars to enhance, protect, and forecast their production and management. The work presented here attempts to clarify the limited and contradictory literature on the oxidation behavior of the rare earth metal, dysprosium. Dysprosium particles were isothermally oxidized from 500 to 1000 °C in N{sub 2}–(2%, 20%, and 50%) O{sub 2} and Ar–20% O{sub 2} using simultaneous thermal analysis techniques. Two distinct oxidation regions were identified at each isothermal temperature in each oxidizing atmosphere. Initially, the oxidation kinetics are very fast until the reaction enters a slower, intermediate region of oxidation. The two regions are defined and the kinetics of each are assessed to show an apparent activation energy of 8–25 kJ/mol in the initial region and 80–95 kJ/mol in the intermediate oxidation reaction region. The effects of varying the oxygen partial pressure on the reaction rate constant are used to show that dysprosium oxide (Dy{sub 2}O{sub 3}) generally acts as a p-type semiconductor in both regions of oxidation (with an exception above 750 °C in the intermediate region)

  19. Amorphous chalcogenide semiconductors for solid state dosimetric systems of high-energetic ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Shpotyuk, O. [Pedagogical University, Czestochowa (Poland)]|[Institute of Materials, Lvov (Ukraine)

    1997-12-31

    The application possibilities of amorphous chalcogenide semiconductors use as radiation-sensitive elements of high-energetic (E > 1 MeV) dosimetric systems are analysed. It is shown that investigated materials are characterized by more wide region of registered absorbed doses and low temperature threshold of radiation information bleaching in comparison with well-known analogies based on coloring oxide glasses. (author). 16 refs, 1 tab.

  20. Modeling of anisotropic two-dimensional materials monolayer HfS{sub 2} and phosphorene metal-oxide semiconductor field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Jiwon [SEMATECH, 257 Fuller Rd #2200, Albany, New York 12203 (United States)

    2015-06-07

    Ballistic transport characteristics of metal-oxide semiconductor field effect transistors (MOSFETs) based on anisotropic two-dimensional materials monolayer HfS{sub 2} and phosphorene are explored through quantum transport simulations. We focus on the effects of the channel crystal orientation and the channel length scaling on device performances. Especially, the role of degenerate conduction band (CB) valleys in monolayer HfS{sub 2} is comprehensively analyzed. Benchmarking monolayer HfS{sub 2} with phosphorene MOSFETs, we predict that the effect of channel orientation on device performances is much weaker in monolayer HfS{sub 2} than in phosphorene due to the degenerate CB valleys of monolayer HfS{sub 2}. Our simulations also reveal that at 10 nm channel length scale, phosphorene MOSFETs outperform monolayer HfS{sub 2} MOSFETs in terms of the on-state current. However, it is observed that monolayer HfS{sub 2} MOSFETs may offer comparable, but a little bit degraded, device performances as compared with phosphorene MOSFETs at 5 nm channel length.

  1. Study on the drain bias effect on negative bias temperature instability degradation of an ultra-short p-channel metal-oxide-semiconductor field-effect transistor

    International Nuclear Information System (INIS)

    Yan-Rong, Cao; Xiao-Hua, Ma; Yue, Hao; Shi-Gang, Hu

    2010-01-01

    This paper studies the effect of drain bias on ultra-short p-channel metal-oxide-semiconductor field-effect transistor (PMOSFET) degradation during negative bias temperature (NBT) stress. When a relatively large gate voltage is applied, the degradation magnitude is much more than the drain voltage which is the same as the gate voltage supplied, and the time exponent gets larger than that of the NBT instability (NBTI). With decreasing drain voltage, the degradation magnitude and the time exponent all get smaller. At some values of the drain voltage, the degradation magnitude is even smaller than that of NBTI, and when the drain voltage gets small enough, the exhibition of degradation becomes very similar to the NBTI degradation. When a relatively large drain voltage is applied, with decreasing gate voltage, the degradation magnitude gets smaller. However, the time exponent becomes larger. With the help of electric field simulation, this paper concludes that the degradation magnitude is determined by the vertical electric field of the oxide, the amount of hot holes generated by the strong channel lateral electric field at the gate/drain overlap region, and the time exponent is mainly controlled by localized damage caused by the lateral electric field of the oxide in the gate/drain overlap region where hot carriers are produced. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  2. Zinc oxide based dye sensitized solar cell using eosin – Y as ...

    African Journals Online (AJOL)

    A zinc oxide based Dye sensitized Solar Cell (DSSC) has been fabricated, using Eosin-Y as the dye adsorbed on a nanocrystalline zinc oxide - fluorine doped tin oxide electrode, for the sensitization of the large band gap semiconductor. The absorption spectrum of Eosin-Y showed high absorption of visible light between ...

  3. EDITORIAL: Focus on Dilute Magnetic Semiconductors FOCUS ON DILUTE MAGNETIC SEMICONDUCTORS

    Science.gov (United States)

    Chambers, Scott A.; Gallagher, Bryan

    2008-05-01

    This focus issue of New Journal of Physics is devoted to the materials science of dilute magnetic semiconductors (DMS). A DMS is traditionally defined as a diamagnetic semiconductor doped with a few to several atomic per cent of some transition metal with unpaired d electrons. Several kinds of dopant-dopant interactions can in principle couple the dopant spins leading to a ferromagnetic ground state in a dilute magnetic system. These include superexchange, which occurs principally in oxides and only between dopants with one intervening oxygen, and double exchange, in which dopants of different formal charges exchange an electron. In both of these mechanisms, the ferromagnetic alignment is not critically dependent on free carriers in the host semiconductor because exchange occurs via bonds. A third mechanism, discovered in the last few years, involves electrons associated with lattice defects that can apparently couple dopant spins. This mechanism is not well understood. Finally, the most desirable mechanism is carrier-mediated exchange interaction in which the dopant spins are coupled by itinerant electrons or holes in the host semiconductor. This mechanism introduces a fundamental link between magnetic and electrical transport properties and offers the possibility of new spintronic functionalities. In particular electrical gate control of ferromagnetism and the use of spin polarized currents to carry signals for analog and digital applications. The spin light emitting diode is a prototypical device of this kind that has been extensively used to characterize the extent of spin polarization in the active light emitting semiconductor heterostructure. The prototypical carrier mediated ferromagnetic DMS is Mn-doped GaAs. This and closely related narrow gap III-V materials have been very extensively studied. Their properties are generally quite well understood and they have led to important insights into fundamental properties of ferromagnetic systems with strong spin

  4. Electrochemical impedance spectroscopy for quantitative interface state characterization of planar and nanostructured semiconductor-dielectric interfaces

    Science.gov (United States)

    Meng, Andrew C.; Tang, Kechao; Braun, Michael R.; Zhang, Liangliang; McIntyre, Paul C.

    2017-10-01

    The performance of nanostructured semiconductors is frequently limited by interface defects that trap electronic carriers. In particular, high aspect ratio geometries dramatically increase the difficulty of using typical solid-state electrical measurements (multifrequency capacitance- and conductance-voltage testing) to quantify interface trap densities (D it). We report on electrochemical impedance spectroscopy (EIS) to characterize the energy distribution of interface traps at metal oxide/semiconductor interfaces. This method takes advantage of liquid electrolytes, which provide conformal electrical contacts. Planar Al2O3/p-Si and Al2O3/p-Si0.55Ge0.45 interfaces are used to benchmark the EIS data against results obtained from standard electrical testing methods. We find that the solid state and EIS data agree very well, leading to the extraction of consistent D it energy distributions. Measurements carried out on pyramid-nanostructured p-Si obtained by KOH etching followed by deposition of a 10 nm ALD-Al2O3 demonstrate the application of EIS to trap characterization of a nanostructured dielectric/semiconductor interface. These results show the promise of this methodology to measure interface state densities for a broad range of semiconductor nanostructures such as nanowires, nanofins, and porous structures.

  5. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  6. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  7. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  8. Metal contact engineering and registration-free fabrication of complementary metal-oxide semiconductor integrated circuits using aligned carbon nanotubes.

    Science.gov (United States)

    Wang, Chuan; Ryu, Koungmin; Badmaev, Alexander; Zhang, Jialu; Zhou, Chongwu

    2011-02-22

    Complementary metal-oxide semiconductor (CMOS) operation is very desirable for logic circuit applications as it offers rail-to-rail swing, larger noise margin, and small static power consumption. However, it remains to be a challenging task for nanotube-based devices. Here in this paper, we report our progress on metal contact engineering for n-type nanotube transistors and CMOS integrated circuits using aligned carbon nanotubes. By using Pd as source/drain contacts for p-type transistors, small work function metal Gd as source/drain contacts for n-type transistors, and evaporated SiO(2) as a passivation layer, we have achieved n-type transistor, PN diode, and integrated CMOS inverter with an air-stable operation. Compared with other nanotube n-doping techniques, such as potassium doping, PEI doping, hydrazine doping, etc., using low work function metal contacts for n-type nanotube devices is not only air stable but also integrated circuit fabrication compatible. Moreover, our aligned nanotube platform for CMOS integrated circuits shows significant advantage over the previously reported individual nanotube platforms with respect to scalability and reproducibility and suggests a practical and realistic approach for nanotube-based CMOS integrated circuit applications.

  9. Charge transfer in rectifying oxide heterostructures and oxide access elements in ReRAM

    Energy Technology Data Exchange (ETDEWEB)

    Stefanovich, G. B.; Pergament, A. L.; Boriskov, P. P.; Kuroptev, V. A., E-mail: v.a.kuroptev@gmail.com; Stefanovich, T. G. [Petrozavodsk State University (Russian Federation)

    2016-05-15

    The main aspects of the synthesis and experimental research of oxide diode heterostructures are discussed with respect to their use as selector diodes, i.e., access elements in oxide resistive memory. It is shown that charge transfer in these materials differs significantly from the conduction mechanism in p–n junctions based on conventional semiconductors (Si, Ge, A{sup III}–B{sup V}), and the model should take into account the electronic properties of oxides, primarily the low carrier drift mobility. It is found that an increase in the forward current requires an oxide with a small band gap (<1.3 eV) in the heterostructure composition. Heterostructures with Zn, In–Zn (IZO), Ti, Ni, and Cu oxides are studied; it is found that the CuO–IZO heterojunction has the highest forward current density (10{sup 4} A/cm{sup 2}).

  10. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  11. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  12. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  13. Functional integrity of flexible n-channel metal-oxide-semiconductor field-effect transistors on a reversibly bistable platform

    Science.gov (United States)

    Alfaraj, Nasir; Hussain, Aftab M.; Torres Sevilla, Galo A.; Ghoneim, Mohamed T.; Rojas, Jhonathan P.; Aljedaani, Abdulrahman B.; Hussain, Muhammad M.

    2015-10-01

    Flexibility can bring a new dimension to state-of-the-art electronics, such as rollable displays and integrated circuit systems being transformed into more powerful resources. Flexible electronics are typically hosted on polymeric substrates. Such substrates can be bent and rolled up, but cannot be independently fixed at the rigid perpendicular position necessary to realize rollable display-integrated gadgets and electronics. A reversibly bistable material can assume two stable states in a reversible way: flexibly rolled state and independently unbent state. Such materials are used in cycling and biking safety wristbands and a variety of ankle bracelets for orthopedic healthcare. They are often wrapped around an object with high impulsive force loading. Here, we study the effects of cumulative impulsive force loading on thinned (25 μm) flexible silicon-based n-channel metal-oxide-semiconductor field-effect transistor devices housed on a reversibly bistable flexible platform. We found that the transistors have maintained their high performance level up to an accumulated 180 kN of impact force loading. The gate dielectric layers have maintained their reliability, which is evidenced by the low leakage current densities. Also, we observed low variation in the effective electron mobility values, which manifests that the device channels have maintained their carrier transport properties.

  14. Ultrasonic fingerprint sensor using a piezoelectric micromachined ultrasonic transducer array integrated with complementary metal oxide semiconductor electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Fung, S.; Wang, Q.; Horsley, D. A. [Berkeley Sensor and Actuator Center, University of California, Davis, 1 Shields Avenue, Davis, California 95616 (United States); Tang, H.; Boser, B. E. [Berkeley Sensor and Actuator Center, University of California, Berkeley, California 94720 (United States); Tsai, J. M.; Daneman, M. [InvenSense, Inc., 1745 Technology Drive, San Jose, California 95110 (United States)

    2015-06-29

    This paper presents an ultrasonic fingerprint sensor based on a 24 × 8 array of 22 MHz piezoelectric micromachined ultrasonic transducers (PMUTs) with 100 μm pitch, fully integrated with 180 nm complementary metal oxide semiconductor (CMOS) circuitry through eutectic wafer bonding. Each PMUT is directly bonded to a dedicated CMOS receive amplifier, minimizing electrical parasitics and eliminating the need for through-silicon vias. The array frequency response and vibration mode-shape were characterized using laser Doppler vibrometry and verified via finite element method simulation. The array's acoustic output was measured using a hydrophone to be ∼14 kPa with a 28 V input, in reasonable agreement with predication from analytical calculation. Pulse-echo imaging of a 1D steel grating is demonstrated using electronic scanning of a 20 × 8 sub-array, resulting in 300 mV maximum received amplitude and 5:1 contrast ratio. Because the small size of this array limits the maximum image size, mechanical scanning was used to image a 2D polydimethylsiloxane fingerprint phantom (10 mm × 8 mm) at a 1.2 mm distance from the array.

  15. 2014 Defects in Semiconductors Gordon Research Conference & Gordon Research Seminar. Research Area 1: Materials Science, 1.3 Physical Properties of Materials

    Science.gov (United States)

    2014-08-01

    invite leading experts from the full range of industry to academia to cover the topics of wide bandgap nitride and oxide semiconductors , the...Tsukuba University) " Positron Annihilation Studies in InGaN" 12:10 pm - 12:30 pm Discussion 9 12:30 pm Lunch 1:30 pm - 4:00 pm Free Time 4:00 pm...SECURITY CLASSIFICATION OF: The Gordon Research Conference on DEFECTS IN SEMICONDUCTORS was held at Bentley University in Waltham, Massachusetts

  16. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  17. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  18. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  19. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    Science.gov (United States)

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  20. Temperature dependence of frequency dispersion in III–V metal-oxide-semiconductor C-V and the capture/emission process of border traps

    Energy Technology Data Exchange (ETDEWEB)

    Vais, Abhitosh, E-mail: Abhitosh.Vais@imec.be; Martens, Koen; DeMeyer, Kristin [Department of Electrical Engineering, KU Leuven, B-3000 Leuven (Belgium); IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Lin, Han-Chung; Ivanov, Tsvetan; Collaert, Nadine; Thean, Aaron [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Dou, Chunmeng [Frontier Research Center, Tokyo Institute of Technology, Yokohama 226-8502 (Japan); Xie, Qi; Maes, Jan [ASM International, B-3001 Leuven (Belgium); Tang, Fu; Givens, Michael [ASM International, Phoenix, Arizona 85034-7200 (United States); Raskin, Jean-Pierre [Institute of Information and Communication Technologies, Electronics and Applied Mathematics, Universiteé Catholique de Louvain, B-1348 Louvain-la-Neuve (Belgium)

    2015-08-03

    This paper presents a detailed investigation of the temperature dependence of frequency dispersion observed in capacitance-voltage (C-V) measurements of III-V metal-oxide-semiconductor (MOS) devices. The dispersion in the accumulation region of the capacitance data is found to change from 4%–9% (per decade frequency) to ∼0% when the temperature is reduced from 300 K to 4 K in a wide range of MOS capacitors with different gate dielectrics and III-V substrates. We show that such significant temperature dependence of C-V frequency dispersion cannot be due to the temperature dependence of channel electrostatics, i.e., carrier density and surface potential. We also show that the temperature dependence of frequency dispersion, and hence, the capture/emission process of border traps can be modeled by a combination of tunneling and a “temperature-activated” process described by a non-radiative multi-phonon model, instead of a widely believed single-step elastic tunneling process.

  1. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  2. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  3. Defect-driven interfacial electronic structures at an organic/metal-oxide semiconductor heterojunction.

    Science.gov (United States)

    Winget, Paul; Schirra, Laura K; Cornil, David; Li, Hong; Coropceanu, Veaceslav; Ndione, Paul F; Sigdel, Ajaya K; Ginley, David S; Berry, Joseph J; Shim, Jaewon; Kim, Hyungchui; Kippelen, Bernard; Brédas, Jean-Luc; Monti, Oliver L A

    2014-07-16

    The electronic structure of the hybrid interface between ZnO and the prototypical organic semiconductor PTCDI is investigated via a combination of ultraviolet and X-ray photoelectron spectroscopy (UPS/XPS) and density functional theory (DFT) calculations. The interfacial electronic interactions lead to a large interface dipole due to substantial charge transfer from ZnO to 3,4,9,10-perylenetetracarboxylicdiimide (PTCDI), which can be properly described only when accounting for surface defects that confer ZnO its n-type properties. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  5. Cases series of malignant lymphohematopoietic disorder in korean semiconductor industry.

    Science.gov (United States)

    Kim, Eun-A; Lee, Hye-Eun; Ryu, Hyung-Woo; Park, Seung-Hyun; Kang, Seong-Kyu

    2011-06-01

    Seven cases of malignant lymphohematopoietic (LHP) disorder were claimed to have developed from occupational exposure at two plants of a semiconductor company from 2007 to 2010. This study evaluated the possibility of exposure to carcinogenic agents for the cases. Clinical courses were reviewed with assessing possible exposure to carcinogenic agents related to LHP cancers. Chemicals used at six major semiconductor companies in Korea were reviewed. Airborne monitoring for chemicals, including benzene, was conducted and the ionizing radiation dose was measured from 2008 to 2010. The latency of seven cases (five leukemiae, a Non-Hodgkin's lymphoma, and an aplastic anemia) ranged from 16 months to 15 years and 5 months. Most chemical measurements were at levels of less than 10% of the Korean Occupational Exposure Limit value. No carcinogens related to LHP cancers were used or detected. Complete-shielded radiation-generating devices were used, but the ionizing radiation doses were 0.20-0.22 uSv/hr (background level: 0.21 µSv/hr). Airborne benzene was detected at 0.31 ppb when the detection limit was lowered as low as possible. Ethylene oxide and formaldehyde were not found in the cases' processes, while these two were determined to be among the 263 chemicals in the list that was used at the six semiconductor companies at levels lower than 0.1%. Exposures occurring before 2002 could not be assessed because of the lack of information. Considering the possibility of exposure to carcinogenic agents, we could not find any convincing evidence for occupational exposure in all investigated cases. However, further study is needed because the semiconductor industry is a newly developing one.

  6. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  7. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu; Wang, Zhenwei; He, Xin; Zhang, Xixiang; Alshareef, Husam N.

    2017-01-01

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize

  8. A comparison of ionizing radiation and high field stress effects in n-channel power vertical double-diffused metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Park, Mun-Soo; Na, Inmook; Wie, Chu R.

    2005-01-01

    n-channel power vertical double-diffused metal-oxide-semiconductor field-effect-transistor (VDMOSFET) devices were subjected to a high electric field stress or to a x-ray radiation. The current-voltage and capacitance-voltage measurements show that the channel-side interface and the drain-side interface are affected differently in the case of high electric field stress, whereas the interfaces are nearly uniformly affected in the case of x-ray radiation. This paper also shows that for the gated diode structure of VDMOSFET, the direct-current current-voltage technique measures only the drain-side interface; the subthreshold current-voltage technique measures only the channel-side interface; and the capacitance-voltage technique measures both interfaces simultaneously and clearly distinguishes the two interfaces. The capacitance-voltage technique is suggested to be a good quantitative method to examine both interface regions by a single measurement

  9. Probing the defects in nano-semiconductors using positrons

    Energy Technology Data Exchange (ETDEWEB)

    Nambissan, P M G, E-mail: pmg.nambissan@saha.ac.in [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700064 (India)

    2011-01-10

    Positron annihilation spectroscopy (PAS) is a very useful tool to study the defect properties of nanoscale materials. The ability of thermalized positrons to diffuse over to the surfaces of nanocrystallites prior to annihilation helps to explore the disordered atomic arrangement over there and is very useful in understanding the structure and properties of nanomaterials. As examples, the results of studies on FeS{sub 2} nanorods and ZnS nanoparticles are presented. In semiconductor nanoparticles, there are positron trapping sites within the grains also and these are characterised by using appropriate models on the measured positron lifetimes. We have observed vivid changes in the measured positron lifetimes and Doppler broadened gamma ray spectral lineshapes during structural transformations prompted by substitutional effects in Mn{sup 2+}-doped ZnS nanorods. Interestingly, the nanoparticles did not exhibit the transformation, implying the morphologies of the nanosystems playing a decisive role. Quantum confinement effect in CdS nanoparticles was another phenomenon that could be seen through positron annihilation experiments. Coincidence Doppler broadening measurements have been useful to identify the elemental environment around the vacancy clusters that trap positrons. Recent studies on nanocrystalline oxide and sulphide semiconductors are also discussed.

  10. Probing the defects in nano-semiconductors using positrons

    International Nuclear Information System (INIS)

    Nambissan, P M G

    2011-01-01

    Positron annihilation spectroscopy (PAS) is a very useful tool to study the defect properties of nanoscale materials. The ability of thermalized positrons to diffuse over to the surfaces of nanocrystallites prior to annihilation helps to explore the disordered atomic arrangement over there and is very useful in understanding the structure and properties of nanomaterials. As examples, the results of studies on FeS 2 nanorods and ZnS nanoparticles are presented. In semiconductor nanoparticles, there are positron trapping sites within the grains also and these are characterised by using appropriate models on the measured positron lifetimes. We have observed vivid changes in the measured positron lifetimes and Doppler broadened gamma ray spectral lineshapes during structural transformations prompted by substitutional effects in Mn 2+ -doped ZnS nanorods. Interestingly, the nanoparticles did not exhibit the transformation, implying the morphologies of the nanosystems playing a decisive role. Quantum confinement effect in CdS nanoparticles was another phenomenon that could be seen through positron annihilation experiments. Coincidence Doppler broadening measurements have been useful to identify the elemental environment around the vacancy clusters that trap positrons. Recent studies on nanocrystalline oxide and sulphide semiconductors are also discussed.

  11. Probing the defects in nano-semiconductors using positrons

    Science.gov (United States)

    Nambissan, P. M. G.

    2011-01-01

    Positron annihilation spectroscopy (PAS) is a very useful tool to study the defect properties of nanoscale materials. The ability of thermalized positrons to diffuse over to the surfaces of nanocrystallites prior to annihilation helps to explore the disordered atomic arrangement over there and is very useful in understanding the structure and properties of nanomaterials. As examples, the results of studies on FeS2 nanorods and ZnS nanoparticles are presented. In semiconductor nanoparticles, there are positron trapping sites within the grains also and these are characterised by using appropriate models on the measured positron lifetimes. We have observed vivid changes in the measured positron lifetimes and Doppler broadened gamma ray spectral lineshapes during structural transformations prompted by substitutional effects in Mn2+-doped ZnS nanorods. Interestingly, the nanoparticles did not exhibit the transformation, implying the morphologies of the nanosystems playing a decisive role. Quantum confinement effect in CdS nanoparticles was another phenomenon that could be seen through positron annihilation experiments. Coincidence Doppler broadening measurements have been useful to identify the elemental environment around the vacancy clusters that trap positrons. Recent studies on nanocrystalline oxide and sulphide semiconductors are also discussed.

  12. Magnetron sputtered transparent conductive zinc-oxide stabilized amorphous indium oxide thin films on polyethylene terephthalate substrates at ambient temperature

    International Nuclear Information System (INIS)

    Yan, Y.; Zhang, X.-F.; Ding, Y.-T.

    2013-01-01

    Amorphous transparent conducting zinc-oxide stabilized indium oxide thin films, named amorphous indium zinc oxide (a-IZO), were deposited by direct current magnetron sputtering at ambient temperature on flexible polyethylene terephthalate substrates. It has been demonstrated that the electrical resistivity could attain as low as ∼ 5 × 10 −4 Ω cm, which was noticeably lower than amorphous indium tin oxide films prepared at the same condition, while the visible transmittance exceeded 84% with the refractive index of 1.85–2.00. In our experiments, introduction of oxygen gas appeared to be beneficial to the improvement of the transparency and electrical conductivity. Both free carrier absorption and indirect transition were observed and Burstein–Moss effect proved a-IZO to be a degenerated amorphous semiconductor. However, the linear relation between the optical band gap and the band tail width which usually observed in covalent amorphous semiconductor such as a-Si:H was not conserved. Besides, porosity could greatly determine the resistivity and optical constants for the thickness variation at this deposition condition. Furthermore, a broad photoluminescence peak around 510 nm was identified when more than 1.5 sccm oxygen was introduced. - Highlights: ► Highly conducting amorphous zinc-oxide stabilized indium oxide thin films were prepared. ► The films were fabricated on polyethylene terephthalate at ambient temperature. ► Introduction of oxygen can improve the transparency and electrical conductivity. ► The linear relation between optical band gap and band tail width was not conserved

  13. Photooxidation of organic wastes using semiconductor nanoclusters. 1998 annual progress report

    International Nuclear Information System (INIS)

    Wilcoxon, J.P.

    1998-01-01

    'This report summarizes work after 1.5 years of a 3-year project. The authors efforts have focused on demonstration of photocatalysis of organic pollutants using nanosize MoS 2 . They investigated the effects of (1) bandgap, valence and conduction band energies; (2) surface modification of MoS 2 by deposition of metal and metal oxide islands to enhance electron transfer; and (3) use of semi-conductor semi-conductor composites to achieve improved charge separation and thus photooxidation of pollutants. They synthesized and studied nanosize MoS 2 of three different sizes and associated bandgaps and studied photoredox reactions of nanosize MoS 2 dispersed in solution and supported on a macroscopic powder. The latter would be the method of choice for use as a practical photocatalyst for water purification. As they emphasized in the original proposal, MoS 2 in nanosize form can be tuned to absorb various amounts of the solar spectrum. They discovered there is an optimal choice of absorbance characteristics and valence and conduction band levels which allow the rapid photo-oxidation of a chosen organic molecule. The advantages of having a photostable material with a tunable bandgap were demonstrated in an experiment where phenol destruction with visible (> 450 nm) light occurred at a dramatically faster rate with nanoscale MoS 2 catalysts compared to the best available previous material TiO 2 . This was the first demonstration of rapid photooxidation of an organic molecule using a completely photostable catalyst and only visible light. The possibility of transferring electrons or holes between nanoscale MoS 2 and other semiconductor materials in order to increase electron/hole lifetimes were explored. It was shown that small amounts ( 2 deposited on to TiO 2 can lead to significant (∼2) enhancements of phenol destruction rates. A number of different chemicals were photocatalyzed sucessfully to CO 2 , but most of the work centered on the destruction of phenol. This

  14. The structure and morphology of semiconductor nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kadavanich, Andreas V. [Univ. of California, Berkeley, CA (United States). Dept. of Chemistry

    1997-11-01

    Colloidal semiconductor nanocrystals were studied using High Resolution Transmission Electron Microscopy (HRTEM). Organically capped nanocrystals were found to have faceted shapes consistent with Wulff polyhedra after the effects of capping ligands on surface energies were taken into account. The basic shape thus derived for wurtzite (WZ) structure CdSe nanocrystals capped by tri-octyl phosphine oxide (TOPO) was a truncated hexagonal prism, elongated alone the <001> axis with (100) and (002) facets. This structure has C{sub 3v} point group symmetry. The main defect in this structure is a stacking fault (a single layer of zinc blende type stacking), which does not significantly affect the shape (does not alter the point group).

  15. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  16. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  17. A Comprehensive Review of Semiconductor Ultraviolet Photodetectors: From Thin Film to One-Dimensional Nanostructures

    Directory of Open Access Journals (Sweden)

    Liwen Sang

    2013-08-01

    Full Text Available Ultraviolet (UV photodetectors have drawn extensive attention owing to their applications in industrial, environmental and even biological fields. Compared to UV-enhanced Si photodetectors, a new generation of wide bandgap semiconductors, such as (Al, In GaN, diamond, and SiC, have the advantages of high responsivity, high thermal stability, robust radiation hardness and high response speed. On the other hand, one-dimensional (1D nanostructure semiconductors with a wide bandgap, such as β-Ga2O3, GaN, ZnO, or other metal-oxide nanostructures, also show their potential for high-efficiency UV photodetection. In some cases such as flame detection, high-temperature thermally stable detectors with high performance are required. This article provides a comprehensive review on the state-of-the-art research activities in the UV photodetection field, including not only semiconductor thin films, but also 1D nanostructured materials, which are attracting more and more attention in the detection field. A special focus is given on the thermal stability of the developed devices, which is one of the key characteristics for the real applications.

  18. Iron oxide nanoparticles stabilized inside highly ordered ...

    Indian Academy of Sciences (India)

    Nanosized iron oxide, a moderately large band-gap semiconductor and an essential component of optoelectrical and magnetic devices, has been prepared successfully inside the restricted internal pores of mesoporous silica material through in-situ reduction during impregnation. The samples were characterized by ...

  19. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  20. Interactions between graphene oxide and wide band gap semiconductors

    International Nuclear Information System (INIS)

    Kawa, M; Podborska, A; Szaciłowski, K

    2016-01-01

    The graphene oxide (GO) and GO@TiO 2 nanocomposite have been synthesised by using modified Hummers method and ultrasonics respectively. The materials were characterized by using X-ray diffraction, Fourier transform infrared spectroscopy and UV-Vis absorption spectroscopy. It was found that the interaction between GO and TiO 2 affects the average interlayer spacing in carbonaceous material. The formation of bonds between various oxygen-containing functional groups and surface of titanium dioxide was investigated. One of them formed between the quinone structures (occur in graphene oxide) and titanium atoms exhibited 1.5 bond order. Furthermore the charge-transfer processes in GO@TiO 2 composite were observed. (paper)

  1. Latest progress in gallium-oxide electronic devices

    Science.gov (United States)

    Higashiwaki, Masataka; Wong, Man Hoi; Konishi, Keita; Nakata, Yoshiaki; Lin, Chia-Hung; Kamimura, Takafumi; Ravikiran, Lingaparthi; Sasaki, Kohei; Goto, Ken; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Kuramata, Akito; Yamakoshi, Shigenobu; Murakami, Hisashi; Kumagai, Yoshinao

    2018-02-01

    Gallium oxide (Ga2O3) has emerged as a new competitor to SiC and GaN in the race toward next-generation power switching and harsh environment electronics by virtue of the excellent material properties and the relative ease of mass wafer production. In this proceedings paper, an overview of our recent development progress of Ga2O3 metal-oxide-semiconductor field-effect transistors and Schottky barrier diodes will be reported.

  2. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  3. Photoanodic Hybrid Semiconductor–Molecular Heterojunction for Solar Water Oxidation

    KAUST Repository

    Joya, Khurram Saleem; Takanabe, Kazuhiro

    2015-01-01

    Inorganic photo-responsive semiconducting materials have been employed in photoelectrochemical(PEC) water oxidation devicesin pursuit of solar to fuel conversion.[1]The reaction kinetics in semiconductors is limited by poor contact at the interfaces

  4. Theoretical calculations of positron lifetimes for metal oxides

    International Nuclear Information System (INIS)

    Mizuno, Masataka; Araki, Hideki; Shirai, Yasuharu

    2004-01-01

    Our recent positron lifetime measurements for metal oxides suggest that positron lifetimes of bulk state in metal oxides are shorter than previously reported values. We have performed theoretical calculations of positron lifetimes for bulk and vacancy states in MgO and ZnO using first-principles electronic structure calculations and discuss the validity of positron lifetime calculations for insulators. By comparing the calculated positron lifetimes to the experimental values, it wa found that the semiconductor model well reproduces the experimental positron lifetime. The longer positron lifetime previously reported can be considered to arise from not only the bulk but also from the vacancy induced by impurities. In the case of cation vacancy, the calculated positron lifetime based on semiconductor model is shorter than the experimental value, which suggests that the inward relaxation occurs around the cation vacancy trapping the positron. (author)

  5. SEM evaluation of metallization on semiconductors. [Scanning Electron Microscope

    Science.gov (United States)

    Fresh, D. L.; Adolphsen, J. W.

    1974-01-01

    A test method for the evaluation of metallization on semiconductors is presented and discussed. The method has been prepared in MIL-STD format for submittal as a proposed addition to MIL-STD-883. It is applicable to discrete devices and to integrated circuits and specifically addresses batch-process oriented defects. Quantitative accept/reject criteria are given for contact windows, other oxide steps, and general interconnecting metallization. Figures are provided that illustrate typical types of defects. Apparatus specifications, sampling plans, and specimen preparation and examination requirements are described. Procedures for glassivated devices and for multi-metal interconnection systems are included.

  6. Seawater operating bio-photovoltaic cells coupling semiconductor photoanodes and enzymatic biocathodes

    DEFF Research Database (Denmark)

    Zhang, Lingling; Alvarez-Martos, Isabel; Vakurov, Alexander

    2017-01-01

    and inexpensive way. Here, we report clean and sustainable conversion of solar energy into electricity by photo-and bio-electrocatalytic recycling of the H2O/O-2 redox couple in a hybrid bio-photovoltaic (BPV) membraneless cell comprising a sunlight-illuminated water-oxidizing semiconductor anode (either Zn......-doped hematite or TiO2) and an oxygen-reducing enzymatic biocathode, in such environmental media as seawater. Upon simulated solar light illumination (AM 1.5G, 100 mW cm(-2)), the maximum power density (P-max) generated by the cell was 236 and 21.4 mu W cm(-2) in 1 M Tris-HCl and seawater, both at pH 8...... thermodynamically feasible coupling of cost-effective photoactive materials such as TiO2 or hematite semiconductors and enzymatic counterparts in seawater media opens a prospective clean and sustainable way of transformation of the most abundant, clean and renewable source of energy - solar light - and the Earth...

  7. Comparative studies of MOS-gate/oxide-passivated AlGaAs/InGaAs pHEMTs by using ozone water oxidation technique

    International Nuclear Information System (INIS)

    Lee, Ching-Sung; Hung, Chun-Tse; Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lai, Ying-Nan

    2012-01-01

    Al 0.22 Ga 0.78 As/In 0.24 Ga 0.76 As pseudomorphic high-electron-mobility transistors (pHEMTs) with metal-oxide-semiconductor (MOS)-gate structure or oxide passivation by using ozone water oxidation treatment have been comprehensively investigated. Annihilated surface states, enhanced gate insulating property and improved device gain have been achieved by the devised MOS-gate structure and oxide passivation. The present MOS-gated or oxide-passivated pHEMTs have demonstrated superior device performances, including superior breakdown, device gain, noise figure, high-frequency characteristics and power performance. Temperature-dependent device characteristics of the present designs at 300–450 K are also studied. (paper)

  8. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  9. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    Science.gov (United States)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  10. p-Type Transparent Conducting Oxide/n-Type Semiconductor Heterojunctions for Efficient and Stable Solar Water Oxidation.

    Science.gov (United States)

    Chen, Le; Yang, Jinhui; Klaus, Shannon; Lee, Lyman J; Woods-Robinson, Rachel; Ma, Jie; Lum, Yanwei; Cooper, Jason K; Toma, Francesca M; Wang, Lin-Wang; Sharp, Ian D; Bell, Alexis T; Ager, Joel W

    2015-08-05

    Achieving stable operation of photoanodes used as components of solar water splitting devices is critical to realizing the promise of this renewable energy technology. It is shown that p-type transparent conducting oxides (p-TCOs) can function both as a selective hole contact and corrosion protection layer for photoanodes used in light-driven water oxidation. Using NiCo2O4 as the p-TCO and n-type Si as a prototypical light absorber, a rectifying heterojunction capable of light driven water oxidation was created. By placing the charge separating junction in the Si using a np(+) structure and by incorporating a highly active heterogeneous Ni-Fe oxygen evolution catalyst, efficient light-driven water oxidation can be achieved. In this structure, oxygen evolution under AM1.5G illumination occurs at 0.95 V vs RHE, and the current density at the reversible potential for water oxidation (1.23 V vs RHE) is >25 mA cm(-2). Stable operation was confirmed by observing a constant current density over 72 h and by sensitive measurements of corrosion products in the electrolyte. In situ Raman spectroscopy was employed to investigate structural transformation of NiCo2O4 during electrochemical oxidation. The interface between the light absorber and p-TCO is crucial to produce selective hole conduction to the surface under illumination. For example, annealing to produce more crystalline NiCo2O4 produces only small changes in its hole conductivity, while a thicker SiOx layer is formed at the n-Si/p-NiCo2O4 interface, greatly reducing the PEC performance. The generality of the p-TCO protection approach is demonstrated by multihour, stable, water oxidation with n-InP/p-NiCo2O4 heterojunction photoanodes.

  11. The molecularly controlled semiconductor resistor: how does it work?

    Science.gov (United States)

    Capua, Eyal; Natan, Amir; Kronik, Leeor; Naaman, Ron

    2009-11-01

    We examine the current response of molecularly controlled semiconductor devices to the presence of weakly interacting analytes. We evaluate the response of two types of devices, a silicon oxide coated silicon device and a GaAs/AlGaAs device, both coated with aliphatic chains and exposed to the same set of analytes. By comparing the device electrical response with contact potential difference and surface photovoltage measurements, we show that there are two mechanisms that may affect the underlying substrate, namely, formation of layers with a net dipolar moment and molecular interaction with surface states. We find that whereas the Si device response is mostly correlated to the analyte dipole, the GaAs device response is mostly correlated to interactions with surface states. Existence of a silicon oxide layer, whether native on the Si or deliberately grown on the GaAs, eliminates analyte interaction with the surface states.

  12. Effects of quantum coupling on the performance of metal-oxide ...

    Indian Academy of Sciences (India)

    LING-FENG MAO. School of Electronics & Information Engineering, Soochow University, ... Quantum coupling; metal-oxide-semiconductor field transistors. ... effects of the barrier height reduction caused by the channel electron velocity due to.

  13. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  14. Synthesis of a nano-silver metal ink for use in thick conductive film fabrication applied on a semiconductor package.

    Directory of Open Access Journals (Sweden)

    Lai Chin Yung

    Full Text Available The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID and light emitting diode (LED industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail.

  15. Oxide properties of autoclaved zircaloy cladding tubes investigated by the photoelectric polarization method

    International Nuclear Information System (INIS)

    Nystrand, A.C.

    2000-06-01

    Corrosion of zirconium alloys is an important lifetime limiting factor for the nuclear reactor fuel. The corrosion resistance of a metal is highly dependent on the ability of the surface metal oxide to transport electrons and ions, which is related to the stoichiometry of the oxide and the oxide defect concentration. The Photoelectric Polarization Method (PEP) is a structure sensitive method which earlier has been investigated as a possible method to study the defect structure in zirconium oxides. The purpose of the following work is, by using more optimized experimental equipment, to verify if the PEP method is a suitable method to study the defect structure in zirconium oxides and to predict the corrosion resistance for different zirconium alloys. The conclusions from the experiments are as follows: - The modifications of the experimental setup by means of a new source of light (deuterium lamp) and a new oscilloscope with an amplifier gave distinct Vpep signals. - The photoresponse is negative for all types of cladding and under all kind of oxidation regimes and hence the oxide is a n-type semiconductor with deficiency of oxygen. - The method needs to be verified by testing semiconductors with a known defect concentration

  16. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  17. Origin of the performances degradation of two-dimensional-based metal-oxide-semiconductor field effect transistors in the sub-10 nm regime: A first-principles study

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Anh Khoa Augustin [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium); IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium); Pourtois, Geoffrey [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium); Department of Chemistry, Plasmant Research Group, University of Antwerp, B-2610 Wilrijk-Antwerp (Belgium); Agarwal, Tarun [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium); Department of Electrical Engineering, University of Leuven, Kasteelpark Arenberg 10, B-3001 Leuven (Belgium); Afzalian, Aryan [TSMC, Kapeldreef 75, B-3001 Leuven (Belgium); Radu, Iuliana P. [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium); Houssa, Michel [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium)

    2016-01-25

    The impact of the scaling of the channel length on the performances of metal-oxide-semiconductor field effect transistors, based on two-dimensional (2D) channel materials, is theoretically investigated, using density functional theory combined with the non-equilibrium Green's function method. It is found that the scaling of the channel length below 10 nm leads to strong device performance degradations. Our simulations reveal that this degradation is essentially due to the tunneling current flowing between the source and the drain in these aggressively scaled devices. It is shown that this electron tunneling process is modulated by the effective mass of the 2D channel material, and sets the limit of the scaling in future transistor designs.

  18. Origin of the performances degradation of two-dimensional-based metal-oxide-semiconductor field effect transistors in the sub-10 nm regime: A first-principles study

    International Nuclear Information System (INIS)

    Lu, Anh Khoa Augustin; Pourtois, Geoffrey; Agarwal, Tarun; Afzalian, Aryan; Radu, Iuliana P.; Houssa, Michel

    2016-01-01

    The impact of the scaling of the channel length on the performances of metal-oxide-semiconductor field effect transistors, based on two-dimensional (2D) channel materials, is theoretically investigated, using density functional theory combined with the non-equilibrium Green's function method. It is found that the scaling of the channel length below 10 nm leads to strong device performance degradations. Our simulations reveal that this degradation is essentially due to the tunneling current flowing between the source and the drain in these aggressively scaled devices. It is shown that this electron tunneling process is modulated by the effective mass of the 2D channel material, and sets the limit of the scaling in future transistor designs

  19. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  20. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  1. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  2. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  3. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  4. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  5. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  6. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  7. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  8. A Novel Fully Depleted Air AlN Silicon-on-Insulator Metal-Oxide-Semiconductor Field Effect Transistor

    International Nuclear Information System (INIS)

    Yuan, Yang; Yong, Gao; Peng-Liang, Gong

    2008-01-01

    A novel fully depleted air AlN silicon-on-insulator (SOI) metal-oxide-semiconductor field effect transistor (MOS-FET) is presented, which can eliminate the self-heating effect and solve the problem that the off-state current of SOI MOSFETs increases and the threshold voltage characteristics become worse when employing a high thermal conductivity material as a buried layer. The simulation results reveal that the lattice temperature in normal SOI devices is 75 K higher than the atmosphere temperature, while the lattice temperature is just 4K higher than the atmosphere temperature resulting in less severe self-heating effect in air AlN SOI MOSFETs and AlN SOI MOSFETs. The on-state current of air AlN SOI MOSFETs is similar to the AlN SOI structure, and improves 12.3% more than that of normal SOI MOSFETs. The off-state current of AlN SOI is 6.7 times of normal SOI MOSFETs, while the counterpart of air AlN SOI MOSFETs is lower than that of SOI MOSFETs by two orders of magnitude. The threshold voltage change of air AlN SOI MOSFETs with different drain voltage is much less than that of AlN SOI devices, when the drain voltage is biased at 0.8 V, this difference is 28mV, so the threshold voltage change induced by employing high thermal conductivity material is cured. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  9. Multi-frequency inversion-charge pumping for charge separation and mobility analysis in high-k/InGaAs metal-oxide-semiconductor field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Djara, V.; Cherkaoui, K.; Negara, M. A.; Hurley, P. K., E-mail: paul.hurley@tyndall.ie [Tyndall National Institute, University College Cork, Dyke Parade, Cork (Ireland)

    2015-11-28

    An alternative multi-frequency inversion-charge pumping (MFICP) technique was developed to directly separate the inversion charge density (N{sub inv}) from the trapped charge density in high-k/InGaAs metal-oxide-semiconductor field-effect transistors (MOSFETs). This approach relies on the fitting of the frequency response of border traps, obtained from inversion-charge pumping measurements performed over a wide range of frequencies at room temperature on a single MOSFET, using a modified charge trapping model. The obtained model yielded the capture time constant and density of border traps located at energy levels aligned with the InGaAs conduction band. Moreover, the combination of MFICP and pulsed I{sub d}-V{sub g} measurements enabled an accurate effective mobility vs N{sub inv} extraction and analysis. The data obtained using the MFICP approach are consistent with the most recent reports on high-k/InGaAs.

  10. Using a Semiconductor-to-Metal Transition to Control Optical Transmission through Subwavelength Hole Arrays

    Directory of Open Access Journals (Sweden)

    E. U. Donev

    2008-01-01

    Full Text Available We describe a simple configuration in which the extraordinary optical transmission effect through subwavelength hole arrays in noble-metal films can be switched by the semiconductor-to-metal transition in an underlying thin film of vanadium dioxide. In these experiments, the transition is brought about by thermal heating of the bilayer film. The surprising reverse hysteretic behavior of the transmission through the subwavelength holes in the vanadium oxide suggest that this modulation is accomplished by a dielectric-matching condition rather than plasmon coupling through the bilayer film. The results of this switching, including the wavelength dependence, are qualitatively reproduced by a transfer matrix model. The prospects for effecting a similar modulation on a much faster time scale by using ultrafast laser pulses to trigger the semiconductor-to-metal transition are also discussed.

  11. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  12. Studies on surface structures and mechanism of photocatalytic action of semiconductor oxides; Handotai hikari shokubai no hyomen kozo seigyo to sayo kiko kaimei ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, H; Sona, S; Koike, H; Hori, H; Negishi, N; Kohara, H; Ibusuki, A [National Institute for Resources and Environment, Tsukuba (Japan); Vakhtin, A; Borovkov, V [New Energy and Industrial Technology Development Organization, Tokyo, (Japan)

    1997-02-01

    Studies are made to define the working mechanism of semiconductor photocatalysts such as TiO2 and to establish designing guidelines for improving on their activity and functions. TiO2 in the air actively produces oxygen seeds for the oxidation and removal of NOx, etc. It is desired that a catalyst have a specific surface area large enough to retain the product of its action. To meet the need, a thin-film photocatalyst which is an aggregate of TiO2 crystals is produced by burning a film of a sol/gel system of reaction doped with macromolecules. This product has a larger specific surface area and is higher in pollutant-removing performance, and may be put into practical use. In another experiment, metal-carrying particles TiO2 suspended in water are employed for the reduction of CO2. Though the main product of catalysts carrying Pt or Pd is methane, a photocatalyst carrying RuO2 produces acetic acid mainly and loses less activity with the passage of time. A hybrid photocatalyst is composed of an organic pigment and inorganic semiconductor, synthesized through a covalent bond between a sililated-surface thin TiO2 film and porphyrin. It is confirmed that the newly developed process brings about an increase in electron migration efficiency. 3 figs.

  13. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  14. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  15. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  16. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  17. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    Science.gov (United States)

    Park, Jun Hong

    , the effect of ambient air on TMDs will be investigated and partial oxidation of TMDs. In the last part, uniform deposition of dielectric layers on 2D materials will be presented, employing organic seedling layer. Although 2D materials have been expected as next generation semiconductor platform, direct deposition of dielectric is still challenging and induces leakage current commonly, because inertness of their surface resulted from absent of dangling bond. Here, metal phthalocyanine monolayer (ML) is employed as seedling layers and the growth of atomic layer deposition (ALD) dielectric is investigated in each step using STM.

  18. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  19. Properties and growth peculiarities of Si{sub 0.30}Ge{sub 0.70} stressor integrated in 14 nm fin-based p-type metal-oxide-semiconductor field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Hikavyy, A., E-mail: Andriy.Hikavyy@imec.be; Rosseel, E.; Kubicek, S.; Mannaert, G.; Favia, P.; Bender, H.; Loo, R.; Horiguchi, N.

    2016-03-01

    Integration of Si{sub 0.30}Ge{sub 0.70} in the Source/Drain (S/D) areas of metal oxide semiconductor transistors built according to 14 nm technological node rules has been shown. SiGe properties and growth peculiarities are presented and elaborated. In order to preserve the fin structures during a pre-epitaxy surface preparation, the H{sub 2} bake pressure had to be increased to 19,998 Pa at 800 °C. Influence of this bake on the Si recess in the S/D areas is presented. Excellent quality of both the raised and the embedded Si{sub 0.30}Ge{sub 0.70} was demonstrated by transmission electron microscopy inspections. Energy-dispersive X-ray spectroscopy measurement showed two stages of SiGe growth for the embedded case: first with a lower Ge content at the beginning of the deposition until the (111) facets are formed, and second with a higher Ge content which is governed by the growth on (111) planes. Nano-beam diffraction analysis showed that SiGe grown in the S/D areas of p-type metal-oxide-semiconductor field-effect transistor is fully elastically relaxed in the direction across the fin and partially strained along the fin. Finally, a strain accumulation effect in the chain of transistors has been observed. - Highlights: • Si{sub 0.30}Ge{sub 0.70} stressor has been implemented in the 14 nm technology node CMOS flow. • Embedded and raised variants have been investigated. • High Si{sub 0.30}Ge{sub 0.70} quality was confirmed. • Si{sub 0.30}Ge{sub 0.70} layer is elastically relaxed across the fin direction. • Partial stress presence and stress accumulation effect were observed.

  20. Surface planarization effect of siloxane derivatives in organic semiconductor layers

    Energy Technology Data Exchange (ETDEWEB)

    Sakanoue, Kei [Center for Organic Photonics and Electronics Research, Kyushu University, Nishi-ku, Fukuoka 819-0395 (Japan); Harada, Hironobu; Ando, Kento [Department of Chemical Engineering, Graduate School of Engineering, Kyushu University, Nishi-ku, Fukuoka 819-0395 (Japan); Yahiro, Masayuki [Institute of Systems, Information Technologies and Nanotechnologies, 2-1-22, Sawara-ku, Fukuoka 814-0001 (Japan); Fukai, Jun, E-mail: jfukai@chem-eng.kyushu-u.ac.jp [Department of Chemical Engineering, Graduate School of Engineering, Kyushu University, Nishi-ku, Fukuoka 819-0395 (Japan)

    2015-12-31

    The ability of siloxane surface control additives (SCAs) to planarize organic semiconductor films with a thickness of tens of nanometers printed on indium tin oxide (ITO) surfaces with stripe-patterned bank structures using a liquid-phase method is demonstrated. Three types of SCAs with different molecular structures are examined in organic solutions of toluene, anisole and tetralin containing N,N′-bis(3-methylphenyl)-N,N′-bis(phenyl)-benzidine as a solute and typical organic semiconductor. While there is an optimum SCA and concentration for each solution, one type of SCA is comprehensively effective for all solutions. This SCA increased contact angle, which is contrary to the typical behavior of SCAs. Scanning electron microscope images of the thin films near the banks reveal that this SCA did not change the contact area between the film and substrate surface, which is related to the effectiveness of the SCA. SCAs did not affect the current–voltage characteristics of green organic light-emitting diodes, but did increase external quantum efficiencies, suggesting that SCAs can be used to improve the quality of solution-deposited films for use in optical devices. - Highlights: • Surface control additives planarize organic semiconductor films coated on surfaces. • The most effective additive increases the contact angle of solutions during drying. • The effect of additives is deduced from solutal Marangoni forces. • Additives have little effect on organic light-emitting diode performance.