WorldWideScience

Sample records for oxide ito gate

  1. Process development of ITO source/drain electrode for the top-gate indium-gallium-zinc oxide transparent thin-film transistor

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok; Yoon, Young-sun; Shin, Jae-Heon; Hwang, Chi-Sun; Chu, Hye Yong

    2009-01-01

    Indium-tin oxide (ITO) has been widely used as electrodes for LCDs and OLEDs. The applications are expanding to the transparent thin-film transistors (TTFT S ) for the versatile circuits or transparent displays. This paper is related with optimization of ITO source and drain electrode for TTFTs on glass substrates. For example, un-etched ITO remnants, which frequently found in the wet etching process, often originate from unsuitable ITO formation processes. In order to improve them, an ion beam deposition method is introduced, which uses for forming a seed layer before the main ITO deposition. We confirm that ITO films with seed layers are effective to obtain clean and smooth glass surfaces without un-etched ITO remnants, resulting in a good long-run electrical stability of the top-gate indium-gallium-zinc oxide-TTFT.

  2. Characteristics of indium-tin-oxide (ITO) nanoparticle ink-coated layers recycled from ITO scraps

    Science.gov (United States)

    Cha, Seung-Jae; Hong, Sung-Jei; Lee, Jae Yong

    2015-09-01

    This study investigates the characteristics of an indium-tin-oxide (ITO) ink layer that includes nanoparticles synthesized from ITO target scraps. The particle size of the ITO nanoparticle was less than 15 nm, and the crystal structure was cubic with a (222) preferred orientation. Also, the composition ratio of In to Sn was 92.7 to 7.3 in weight. The ITO nanoparticles were well dispersed in the ink solvent to formulate a 20-wt% ITO nanoparticle ink. Furthermore, the ITO nanoparticle ink was coated onto a glass substrate, followed by heat-treatment at 600 °C. The layer showed good sheet resistances below 400 Ω/□ and optical transmittances higher than 88% at 550 nm. Thus, we can conclude that the characteristics of the layer make it highly applicable to a transparent conductive electrode.

  3. Screen-printed Tin-doped indium oxide (ITO) films for NH3 gas sensing

    International Nuclear Information System (INIS)

    Mbarek, Hedia; Saadoun, Moncef; Bessais, Brahim

    2006-01-01

    Gas sensors using metal oxides have several advantageous features such as simplicity in device structure and low cost fabrication. In this work, Tin-doped indium oxide (ITO) films were prepared by the screen printing technique onto glass substrates. The granular and porous structure of screen-printed ITO are suitable for its use in gas sensing devices. The resistance of the ITO films was found to be strongly dependent on working temperatures and the nature and concentration of the ambient gases. We show that screen-printed ITO films have good sensing properties toward NH 3 vapours. The observed behaviors are explained basing on the oxidizing or the reducer nature of the gaseous species that react on the surface of the heated semi-conducting oxide

  4. Structural, optical and electrical characterization of ITO, ITO/Ag and ITO/Ni transparent conductive electrodes

    International Nuclear Information System (INIS)

    Ali, Ahmad Hadi; Shuhaimi, Ahmad; Hassan, Zainuriah

    2014-01-01

    We report on the transparent conductive oxides (TCO) characteristics based on the indium tin oxides (ITO) and ITO/metal thin layer as an electrode for optoelectronics device applications. ITO, ITO/Ag and ITO/Ni were deposited on Si and glass substrate by thermal evaporator and radio frequency (RF) magnetron sputtering at room temperature. Post deposition annealing was performed on the samples in air at moderate temperature of 500 °C and 600 °C. The structural, optical and electrical properties of the ITO and ITO/metal were characterized using X-ray diffraction (XRD), UV–Vis spectrophotometer, Hall effect measurement system and atomic force microscope (AFM). The XRD spectrum reveals significant polycrystalline peaks of ITO (2 2 2) and Ag (1 1 1) after post annealing process. The post annealing also improves the visible light transmittance and electrical resistivity of the samples. Figure of merit (FOM) of the ITO, ITO/Ag and ITO/Ni were determined as 5.5 × 10 −3 Ω −1 , 8.4 × 10 −3 Ω −1 and 3.0 × 10 −5 Ω −1 , respectively. The results show that the post annealed ITO with Ag intermediate layer improved the efficiency of the transparent conductive electrodes (TCE) as compared to the ITO and ITO/Ni.

  5. Structural, optical and electrical characterization of ITO, ITO/Ag and ITO/Ni transparent conductive electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Ahmad Hadi, E-mail: ahadi@uthm.edu.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, Penang (Malaysia); Science Department, Faculty of Science, Technology and Human Development, Universiti Tun Hussein Onn Malaysia, Johor (Malaysia); Shuhaimi, Ahmad [Low Dimensional Materials Research Centre, Department of Physics, Faculty of Science, Universiti Malaya, Kuala Lumpur (Malaysia); Hassan, Zainuriah [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, Penang (Malaysia)

    2014-01-01

    We report on the transparent conductive oxides (TCO) characteristics based on the indium tin oxides (ITO) and ITO/metal thin layer as an electrode for optoelectronics device applications. ITO, ITO/Ag and ITO/Ni were deposited on Si and glass substrate by thermal evaporator and radio frequency (RF) magnetron sputtering at room temperature. Post deposition annealing was performed on the samples in air at moderate temperature of 500 °C and 600 °C. The structural, optical and electrical properties of the ITO and ITO/metal were characterized using X-ray diffraction (XRD), UV–Vis spectrophotometer, Hall effect measurement system and atomic force microscope (AFM). The XRD spectrum reveals significant polycrystalline peaks of ITO (2 2 2) and Ag (1 1 1) after post annealing process. The post annealing also improves the visible light transmittance and electrical resistivity of the samples. Figure of merit (FOM) of the ITO, ITO/Ag and ITO/Ni were determined as 5.5 × 10{sup −3} Ω{sup −1}, 8.4 × 10{sup −3} Ω{sup −1} and 3.0 × 10{sup −5} Ω{sup −1}, respectively. The results show that the post annealed ITO with Ag intermediate layer improved the efficiency of the transparent conductive electrodes (TCE) as compared to the ITO and ITO/Ni.

  6. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  7. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik; Wallentin, Jesper; Borgström, Magnus T.; Hessman, Dan; Samuelson, Lars [Solid State Physics, Nanometer Structure Consortium, Lund University, Box 118, S-221 00 Lund (Sweden)

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  8. Porous screen printed indium tin oxide (ITO) for NOx gas sensing

    International Nuclear Information System (INIS)

    Mbarek, H.; Saadoun, M.; Bessais, B.

    2007-01-01

    Tin-doped Indium Oxide (ITO) films were prepared by the screen printing method. Transparent and conductive ITO thin films were obtained from an organometallic based paste fired in an Infrared furnace. The Screen printed ITO films were found to be granular and porous. This specific morphology was found to be suitable for sensing different gaseous species. This work investigates the possibility of using screen printed (ITO) films as a specific material for efficient NO x gas sensing. It was found that screen printed ITO is highly sensitive and stable towards NO x , especially for gas concentration higher than 50 ppm and starting from a substrate working temperature of about 180 C. The sensitivity of the ITO films increases with increasing NO x concentration and temperature. The sensitivity and stability of the screen printed ITO based sensors were studied within time. The ITO crystallite grain size dimension was found to be a key parameter that influences the gas response characteristics. Maximum gas sensitivity and minimum response time were observed for ITO films having lower crystallite size dimensions. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Electrical and optical properties of ITO and ITO/Cr-doped ITO films

    International Nuclear Information System (INIS)

    Caricato, A.P.; Cesaria, M.; Luches, A.; Martino, M.; Valerini, D.; Maruccio, G.; Catalano, M.; Cola, A.; Manera, M.G.; Lomascolo, M.; Taurino, A.; Rella, R.

    2010-01-01

    In this paper we report on the effects of the insertion of Cr atoms on the electrical and optical properties of indium tin oxide (ITO) films to be used as electrodes in spin-polarized light-emitting devices. ITO films and ITO(80 nm)/Cr-doped ITO(20 nm) bilayers and Cr-doped ITO films with a thickness of 20 nm were grown by pulsed ArF excimer laser deposition. The optical, structural, morphological and electrical properties of ITO films and ITO/Cr-doped structures were characterized by UV-Visible transmission and reflection spectroscopy, transmission electron microscopy (TEM), atomic force microscopy (AFM) and Hall-effect analysis. For the different investigations, the samples were deposited on different substrates like silica and carbon coated Cu grids. ITO films with a thickness of 100 nm, a resistivity as low as ∝4 x 10 -4 Ω cm, an energy gap of ∝4.3 eV and an atomic scale roughness were deposited at room temperature without any post-deposition process. The insertion of Cr into the ITO matrix in the upper 20 nm of the ITO matrix induced variations in the physical properties of the structure like an increase of average roughness (∝0.4-0.5 nm) and resistivity (up to ∝8 x 10 -4 Ω cm). These variations were correlated to the microstructure of the Cr-doped ITO films with particular attention to the upper 20 nm. (orig.)

  10. Electrical and optical properties of ITO and ITO/Cr-doped ITO films

    Science.gov (United States)

    Caricato, A. P.; Cesaria, M.; Luches, A.; Martino, M.; Maruccio, G.; Valerini, D.; Catalano, M.; Cola, A.; Manera, M. G.; Lomascolo, M.; Taurino, A.; Rella, R.

    2010-12-01

    In this paper we report on the effects of the insertion of Cr atoms on the electrical and optical properties of indium tin oxide (ITO) films to be used as electrodes in spin-polarized light-emitting devices. ITO films and ITO(80 nm)/Cr-doped ITO(20 nm) bilayers and Cr-doped ITO films with a thickness of 20 nm were grown by pulsed ArF excimer laser deposition. The optical, structural, morphological and electrical properties of ITO films and ITO/Cr-doped structures were characterized by UV-Visible transmission and reflection spectroscopy, transmission electron microscopy (TEM), atomic force microscopy (AFM) and Hall-effect analysis. For the different investigations, the samples were deposited on different substrates like silica and carbon coated Cu grids. ITO films with a thickness of 100 nm, a resistivity as low as ˜4×10-4 Ω cm, an energy gap of ˜4.3 eV and an atomic scale roughness were deposited at room temperature without any post-deposition process. The insertion of Cr into the ITO matrix in the upper 20 nm of the ITO matrix induced variations in the physical properties of the structure like an increase of average roughness (˜0.4-0.5 nm) and resistivity (up to ˜8×10-4 Ω cm). These variations were correlated to the microstructure of the Cr-doped ITO films with particular attention to the upper 20 nm.

  11. Electrical and optical properties of ITO and ITO/Cr-doped ITO films

    Energy Technology Data Exchange (ETDEWEB)

    Caricato, A.P.; Cesaria, M.; Luches, A.; Martino, M.; Valerini, D. [University of Salento, Physics Department, Lecce (Italy); Maruccio, G. [University of Salento, Scuola Superiore Isufi, Lecce (Italy); Catalano, M.; Cola, A.; Manera, M.G.; Lomascolo, M.; Taurino, A.; Rella, R. [IMM-CNR, Institute for Microelectronics and Microsystems, Lecce (Italy)

    2010-12-15

    In this paper we report on the effects of the insertion of Cr atoms on the electrical and optical properties of indium tin oxide (ITO) films to be used as electrodes in spin-polarized light-emitting devices. ITO films and ITO(80 nm)/Cr-doped ITO(20 nm) bilayers and Cr-doped ITO films with a thickness of 20 nm were grown by pulsed ArF excimer laser deposition. The optical, structural, morphological and electrical properties of ITO films and ITO/Cr-doped structures were characterized by UV-Visible transmission and reflection spectroscopy, transmission electron microscopy (TEM), atomic force microscopy (AFM) and Hall-effect analysis. For the different investigations, the samples were deposited on different substrates like silica and carbon coated Cu grids. ITO films with a thickness of 100 nm, a resistivity as low as {proportional_to}4 x 10{sup -4} {omega} cm, an energy gap of {proportional_to}4.3 eV and an atomic scale roughness were deposited at room temperature without any post-deposition process. The insertion of Cr into the ITO matrix in the upper 20 nm of the ITO matrix induced variations in the physical properties of the structure like an increase of average roughness ({proportional_to}0.4-0.5 nm) and resistivity (up to {proportional_to}8 x 10{sup -4}{omega} cm). These variations were correlated to the microstructure of the Cr-doped ITO films with particular attention to the upper 20 nm. (orig.)

  12. Inkjet-printing of indium tin oxide (ITO) films for transparent conducting electrodes

    International Nuclear Information System (INIS)

    Hwang, Myun-sung; Jeong, Bong-yong; Moon, Jooho; Chun, Sang-Ki; Kim, Jihoon

    2011-01-01

    Highlights: → Inkjet printing of ITO films. → Ag-grid was inkjet-printed in between two ITO layers in order to improve the electrical property. → Ag-grid inserted ITO films with 2 mm Ag-grid pitch showed the sheet resistance less than 3.4 Ω/sq and the transmittance higher than 82%. - Abstract: Indium-tin-oxide (ITO) films have been prepared by inkjet-printing using ITO nanoparticle inks. The electrical and optical properties of the ITO films were investigated in order to understand the effects of annealing temperatures under microwave. The decrease in the sheet resistance and resistivity of the inkjet-printed ITO films was observed as the annealing temperature increases. The film annealed at 400 deg. C showed the sheet resistance of 517 Ω/sq with the film thickness of ∼580 nm. The optical transmittance of the films remained constant regardless of their annealing temperatures. In order to further reduce the sheet resistance of the films, Ag-grid was printed in between two layers of inkjet-printed ITO. With 3 mm Ag-grid line-to-line pitch, the Ag-grid inserted ITO film has the sheet resistance of 3.4 Ω/sq and the transmittance of 84% after annealing at 200 deg. C under microwave.

  13. Heterojunction photodetector based on graphene oxide sandwiched between ITO and p-Si

    Science.gov (United States)

    Ahmad, H.; Tajdidzadeh, M.; Thandavan, T. M. K.

    2018-02-01

    The drop casting method is utilized on indium tin oxide (ITO)-coated glass in order to prepare a sandwiched ITO/graphene oxide (ITO/GO) with silicon dioxide/p-type silicon (SiO2/p-Si) heterojunction photodetector. The partially sandwiched GO layer with SiO2/p-Si substrate exhibits dual characteristics as it showed good sensitivity towards the illumination of infrared (IR) laser at wavelength of 974 nm. Excellent photoconduction is also observed for current-voltage (I-V) characteristics at various laser powers. An external quantum efficiency greater than 1 for a direct current bias voltage of 0 and 3 V reveals significant photoresponsivity of the photodetector at various laser frequency modulation at 1, 5 and 9 Hz. The rise times are found to be 75, 72 and 70 μs for 1, 5 and 9 Hz while high fall times 455, 448 and 426 are measured for the respective frequency modulation. The fabricated ITO/GO-SiO2/p-Si sandwiched heterojunction photodetector can be considered as a good candidate for applications in the IR regions that do not require a high-speed response.

  14. ITO-TiN-ITO Sandwiches for Near-Infrared Plasmonic Materials.

    Science.gov (United States)

    Chen, Chaonan; Wang, Zhewei; Wu, Ke; Chong, Haining; Xu, Zemin; Ye, Hui

    2018-05-02

    Indium tin oxide (ITO)-based sandwich structures with the insertion of ultrathin (ITO layers show TiN-thickness-dependent properties, which lead to moderate and tunable effective permittivities for the sandwiches. The surface plasmon polaritons (SPP) of the ITO-TiN-ITO sandwich at the telecommunication window (1480-1570 nm) are activated by prism coupling using Kretschmann configuration. Compared with pure ITO films or sandwiches with metal insertion, the reflectivity dip for sandwiches with TiN is relatively deeper and wider, indicating the enhanced coupling ability in plasmonic materials for telecommunications. The SPP spatial profile, penetration depth, and degree of confinement, as well as the quality factors, demonstrate the applicability of such sandwiches for NIR plasmonic materials in various devices.

  15. Application of argon atmospheric cold plasma for indium tin oxide (ITO) based diodes

    Science.gov (United States)

    Akbari Nia, S.; Jalili, Y. Seyed; Salar Elahi, A.

    2017-09-01

    Transparent Conductive Oxide (TCO) layers due to transparency, high conductivity and hole injection capability have attracted a lot of attention. One of these layers is Indium Tin Oxide (ITO). ITO due to low resistance, transparency in the visible spectrum and its proper work function is widely used in the manufacture of organic light emitting diodes and solar cells. One way for improving the ITO surface is plasma treatment. In this paper, changes in surface morphology, by applying argon atmospheric pressure cold plasma, was studied through Atomic Force Microscopic (AFM) image analysis and Fourier Transform Infrared Spectroscopy (FTIR) analysis. FTIR analysis showed functional groups were not added or removed, but chemical bond angle and bonds strength on the surface were changed and also AFM images showed that surface roughness was increased. These factors lead to the production of diodes with enhanced Ohmic contact and injection mechanism which are more appropriate in industrial applications.

  16. Fabrication of indium tin oxide (ITO) thin film with pre-treated sol coating

    International Nuclear Information System (INIS)

    Hong, Sung-Jei; Han, Jeong-In

    2004-01-01

    A new pre-treated sol-coating method to fabricate an indium tin oxide (ITO) thin film is introduced in this paper. The pre-treatment sol-coating method is to form a seed layer on the substrate before spin coating of ITO sol. The pre-treatment was carried out at room temperature in order not to damage the substrate during the pre-treatment. It is effective to enhance the formation of the ITO sol film on the substrate, owing to the seed layer. The seed layer consists of ultrafine grains, which are observed at the pre-treated substrate. For the optimal pre-treatment condition, we used pre-treatment times of 24, 48, 72, and 96 hours to observe the effect on the characteristics of ITO sol film. As a result, the lowest resistance could be achieved with a pre-treatment time of 72 hours. The optical transmittance of the ITO sol film with the pre-treatment time of 72 hours exceeded 80 % at a wavelength of 400 nm. So, an ITO sol film with good electrical and optical properties could be fabricated by using the pretreatment sol coating.

  17. Hydrogen ion sensors based on indium tin oxide thin film using radio frequency sputtering system

    International Nuclear Information System (INIS)

    Chiang, Jung-Lung; Jhan, Syun-Sheng; Hsieh, Shu-Chen; Huang, An-Li

    2009-01-01

    Indium tin oxide (ITO) thin films were deposited onto Si and SiO 2 /Si substrates using a radio frequency sputtering system with a grain size of 30-50 nm and thickness of 270-280 nm. ITO/Si and ITO/SiO 2 /Si sensing structures were achieved and connected to a standard metal-oxide-semiconductor field-effect transistor (MOSFET) as an ITO pH extended-gate field-effect transistor (ITO pH-EGFET). The semiconductor parameter analysis measurement (Keithley 4200) was utilized to measure the current-voltage (I-V) characteristics curves and study the sensing properties of the ITO pH-EGFET. The linear pH voltage sensitivities were about 41.43 and 43.04 mV/pH for the ITO/Si and ITO/SiO 2 /Si sensing structures, respectively. At the same time, both pH current sensitivities were about 49.86 and 51.73 μA/pH, respectively. Consequently, both sensing structures can be applied as extended-gate sensing heads. The separative structure is suitable for application as a disposable pH sensor.

  18. Transparent ITO/Ag-Pd-Cu/ITO multilayer cathode use in inverted organic solar cells

    International Nuclear Information System (INIS)

    Kim, Hyo-Joong; Kim, Han-Ki; Lee, Hyun Hwi; Kal, Jinha; Hahn, Jungseok

    2015-01-01

    The characteristics of transparent ITO/Ag-Pd-Cu (APC)/ITO multilayer cathodes were investigated for use in inverted organic solar cells (IOSCs). The insertion of an APC interlayer into the ITO film effectively led to crystallization of the top ITO layer, unlike that in the Ag interlayer, and resulted in a low sheet resistance of 6.55 Ohm/square and a high optical transmittance of 84.14% without post annealing. In addition, the alloying of the Pd and Cu elements into Ag prevented agglomeration and oxidization of the metal interlayer and led to more stable ITO/APC/ITO films under ambient conditions. The microstructure and interfacial structure of the transparent ITO/APC/ITO cathode in the IOSCs were examined in detail by synchrotron X-ray scattering and high resolution transmission electron microscopy. Furthermore, we suggested a possible mechanism to explain the lower PCE of the IOSCs with an ITO/APC/ITO cathode than that of a reference IOSC with a crystalline ITO cathode using the external quantum efficiency of the IOSCs

  19. Transparent ITO/Ag-Pd-Cu/ITO multilayer cathode use in inverted organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyo-Joong; Kim, Han-Ki, E-mail: imdlhkkim@khu.ac.kr [Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, 1 Seocheon-dong, Yongin-si, Gyeonggi-do 446-701 (Korea, Republic of); Lee, Hyun Hwi [Pohang Accelerator Laboratory, POSTECH, Jigokro-127beon-gil, Nam-gu, Pohang 790-784 (Korea, Republic of); Kal, Jinha; Hahn, Jungseok [Future Technology Research Group, Kolon Central Research Park, 154 Mabukro, Giheung-ku, Yongin-si, Kyunggi-do, 16910 (Korea, Republic of)

    2015-10-15

    The characteristics of transparent ITO/Ag-Pd-Cu (APC)/ITO multilayer cathodes were investigated for use in inverted organic solar cells (IOSCs). The insertion of an APC interlayer into the ITO film effectively led to crystallization of the top ITO layer, unlike that in the Ag interlayer, and resulted in a low sheet resistance of 6.55 Ohm/square and a high optical transmittance of 84.14% without post annealing. In addition, the alloying of the Pd and Cu elements into Ag prevented agglomeration and oxidization of the metal interlayer and led to more stable ITO/APC/ITO films under ambient conditions. The microstructure and interfacial structure of the transparent ITO/APC/ITO cathode in the IOSCs were examined in detail by synchrotron X-ray scattering and high resolution transmission electron microscopy. Furthermore, we suggested a possible mechanism to explain the lower PCE of the IOSCs with an ITO/APC/ITO cathode than that of a reference IOSC with a crystalline ITO cathode using the external quantum efficiency of the IOSCs.

  20. Better Organic Ternary Memory Performance through Self-Assembled Alkyltrichlorosilane Monolayers on Indium Tin Oxide (ITO) Surfaces.

    Science.gov (United States)

    Hou, Xiang; Cheng, Xue-Feng; Zhou, Jin; He, Jing-Hui; Xu, Qing-Feng; Li, Hua; Li, Na-Jun; Chen, Dong-Yun; Lu, Jian-Mei

    2017-11-16

    Recently, surface engineering of the indium tin oxide (ITO) electrode of sandwich-like organic electric memory devices was found to effectively improve their memory performances. However, there are few methods to modify the ITO substrates. In this paper, we have successfully prepared alkyltrichlorosilane self-assembled monolayers (SAMs) on ITO substrates, and resistive random access memory devices are fabricated on these surfaces. Compared to the unmodified ITO substrates, organic molecules (i.e., 2-((4-butylphenyl)amino)-4-((4-butylphenyl)iminio)-3-oxocyclobut-1-en-1-olate, SA-Bu) grown on these SAM-modified ITO substrates have rougher surface morphologies but a smaller mosaicity. The organic layer on the SAM-modified ITO further aged to eliminate the crystalline phase diversity. In consequence, the ternary memory yields are effectively improved to approximately 40-47 %. Our results suggest that the insertion of alkyltrichlorosilane self-assembled monolayers could be an efficient method to improve the performance of organic memory devices. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Annealing effect of ITO and ITO/Cu transparent conductive films in low pressure hydrogen atmosphere

    International Nuclear Information System (INIS)

    Lin, T.-C.; Chang, S.-C.; Chiu, C.-F.

    2006-01-01

    A layer of copper was sputtered onto an indium tin oxide (ITO) glass substrates to form an ITO/Cu film, using a direct current magnetron operated at room temperature and in argon gas. The ITO and ITO/Cu films were heated in vacuum, and in hydrogen gas, to study their dependence of electronic and optical properties on annealing temperature. The resistivity of the ITO film was reduced from 6.2 x 10 -4 to 2.7 x 10 -4 Ω cm, and the average optical transmittance was improved to above 90% by the annealing process. The ITO/Cu film showed a low value of resistivity of 2.8 x 10 -4 Ω cm and the transmittance was between 58 and 72%

  2. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    OpenAIRE

    Deepak Kumar Kaushik; K. Uday Kumar; A. Subrahmanyam

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l ...

  3. Electrophoretic deposition (EPD) of multi-walled carbon nano tubes (MWCNT) onto indium-tin-oxide (ITO) glass substrates

    International Nuclear Information System (INIS)

    Mohd Roslie Ali; Shahrul Nizam Mohd Salleh

    2009-01-01

    Full text: Multi-Walled Carbon Nano tubes (MWCNT) were deposited onto Indium-Tin-Oxide (ITO)-coated glass substrates by introducing the use of Electrophoretic Deposition (EPD) as the method. The Multi-Walled Carbon Nano tubes (MWCNT) were dispersed ultrasonically in ethanol and sodium hydroxide (NaOH) to form stable suspension. The addition of Sodium Hydroxide in ethanol can stabilize the suspension, which was very important step before the deposition take place. Two substrates of Indium-Tin-Oxide(ITO)-coated glass placed in parallel facing each other (conductive side) into the suspension. The deposition occurs at room temperature, which the distance fixed at 1 cm between both electrodes and the voltage level applied was fixed at 400 V, respectively. The deposition time also was fixed at 30 minutes. The deposited ITO-Glass with Multi-Walled Carbon Nano tubes (MWCNT) will be characterized using Scanning Electron Microscope (SEM), Atomic Force Microscope (AFM), and Raman Microscope. The images of SEM shows that the Multi -Walled Carbon Nano tubes (MWCNT) were distributed uniformly onto the surface of ITO-Glass. The deposited ITO-Glass with Multi-Walled Carbon Nano tubes (MWCNT) could be the potential material in various practical applications such as field emission devices, fuel cells, and super capacitors. Electrophoretic deposition (EPD) technique was found to be an efficient technique in forming well distribution of Multi-Walled Carbon Nano tubes (MWCNT) onto ITO-Glass substrates, as proved in characterization methods, in which the optimum conditions will play the major role. (author)

  4. Low-loss tunable 1D ITO-slot photonic crystal nanobeam cavity

    Science.gov (United States)

    Amin, Rubab; Tahersima, Mohammad H.; Ma, Zhizhen; Suer, Can; Liu, Ke; Dalir, Hamed; Sorger, Volker J.

    2018-05-01

    Tunable optical material properties enable novel applications in both versatile metamaterials and photonic components including optical sources and modulators. Transparent conductive oxides (TCOs) are able to highly tune their optical properties with applied bias via altering their free carrier concentration and hence plasma dispersion. The TCO material indium tin oxide (ITO) exhibits unity-strong index change and epsilon-near-zero behavior. However, with such tuning the corresponding high optical losses, originating from the fundamental Kramers–Kronig relations, result in low cavity finesse. However, achieving efficient tuning in ITO-cavities without using light–matter interaction enhancement techniques such as polaritonic modes, which are inherently lossy, is a challenge. Here we discuss a novel one-dimensional photonic crystal nanobeam cavity to deliver a cavity system offering a wide range of resonance tuning range, while preserving physical compact footprints. We show that a vertical silicon-slot waveguide incorporating an actively gated-ITO layer delivers ∼3.4 nm of tuning. By deploying distributed feedback, we are able to keep the Q-factor moderately high with tuning. Combining this with the sub-diffraction limited mode volume (0.1 (λ/2n)3) from the photonic (non-plasmonic) slot waveguide, facilitates a high Purcell factor exceeding 1000. This strong light–matter-interaction shows that reducing the mode volume of a cavity outweighs reducing the losses in diffraction limited modal cavities such as those from bulk Si3N4. These tunable cavities enable future modulators and optical sources such as tunable lasers.

  5. Thickness-dependent surface plasmon resonance of ITO nanoparticles for ITO/In-Sn bilayer structure.

    Science.gov (United States)

    Wei, Wenzuo; Hong, Ruijin; Jing, Ming; Shao, Wen; Tao, Chunxian; Zhang, Dawei

    2018-01-05

    Tuning the localized surface plasmon resonance (LSPR) in doped semiconductor nanoparticles (NPs), which represents an important characteristic in LSPR sensor applications, still remains a challenge. Here, indium tin oxide/indium tin alloy (ITO/In-Sn) bilayer films were deposited by electron beam evaporation and the properties, such as the LSPR and surface morphology, were investigated by UV-VIS-NIR double beam spectrophotometer and atomic force microscopy (AFM), respectively. By simply engineering the thickness of ITO/In-Sn NPs without any microstructure fabrications, the LSPR wavelength of ITO NPs can be tuned by a large amount from 858 to 1758 nm. AFM images show that the strong LSPR of ITO NPs is closely related to the enhanced coupling between ITO and In-Sn NPs. Blue shifts of ITO LSPR from 1256 to 1104 nm are also observed in the as-annealed samples due to the higher free carrier concentration. Meanwhile, we also demonstrated that the ITO LSPR in ITO/In-Sn NPs structures has good sensitivity to the surrounding media and stability after 30 d exposure in air, enabling its application prospects in many biosensing devices.

  6. Flexible ITO-Free Polymer Solar Cells

    DEFF Research Database (Denmark)

    Angmo, Dechan; Krebs, Frederik C

    2013-01-01

    Indium tin oxide (ITO) is the material-of-choice for transparent conductors in any optoelectronic application. However, scarce resources of indium and high market demand of ITO have created large price fluctuations and future supply concerns. In polymer solar cells (PSCs), ITO is the single......-cost alternatives to ITO suitable for use in PSCs. These alternatives belong to four material groups: polymers; metal and polymer composites; metal nanowires and ultra-thin metal films; and carbon nanotubes and graphene. We further present the progress of employing these alternatives in PSCs and identify future...

  7. Characterization of lead zirconate titanate (PZT)--indium tin oxide (ITO) thin film interface

    International Nuclear Information System (INIS)

    Sreenivas, K.; Sayer, M.; Laursen, T.; Whitton, J.L.; Pascual, R.; Johnson, D.J.; Amm, D.T.

    1990-01-01

    In this paper the interface between ultrathin sputtered lead zirconate titanate (PZT) films and a conductive electrode (indium tin oxide-ITO) is investigated. Structural and compositional changes at the PZT-ITO interface have been examined by surface analysis and depth profiling techniques of glancing angle x-ray diffraction, Rutherford backscattering (RBS), SIMS, Auger electron spectroscopy (AES), and elastic recoil detection analysis (ERDA). Studies indicate significant interdiffusion of lead into the underlying ITP layer and glass substrate with a large amount of residual stress at the interface. Influence of such compositional deviations at the interface is correlated to an observed thickness dependence in the dielectric properties of PZT films

  8. Bipolar resistive switching behaviors of ITO nanowire networks

    Directory of Open Access Journals (Sweden)

    Qiang Li

    2016-02-01

    Full Text Available We have fabricated indium tin oxide (ITO nanowire (NW networks on aluminum electrodes using electron beam evaporation. The Ag/ITO-NW networks/Al capacitor exhibits bipolar resistive switching behavior. The resistive switching characteristics of ITO-NW networks are related to the morphology of NWs. The x-ray photoelectron spectroscopy was used to obtain the chemical nature from the NWs surface, investigating the oxygen vacancy state. A stable switching voltages and a clear memory window were observed in needle-shaped NWs. The ITO-NW networks can be used as a new two-dimensional metal oxide material for the fabrication of high-density memory devices.

  9. Electro-Mechanical Coupling of Indium Tin Oxide Coated Polyethylene Terephthalate ITO/PET for Flexible Solar Cells

    KAUST Repository

    Saleh, Mohamed A.

    2013-05-15

    Indium tin oxide (ITO) is the most widely used transparent electrode in flexible solar cells because of its high transparency and conductivity. But still, cracking of ITO on PET substrates due to tensile loading is not fully understood and it affects the functionality of the solar cell tremendously as ITO loses its conductivity. Here, we investigate the cracking evolution in ITO/PET exposed to two categories of tests. Monotonous tensile testing is done in order to trace the crack propagation in ITO coating as well as determining a loading range to focus on during our study. Five cycles test is also conducted to check the crack closure effect on the resistance variation of ITO. Analytical model for the damage in ITO layer is implemented using the homogenization concept as in laminated composites for transverse cracking. The homogenization technique is done twice on COMSOL to determine the mechanical and electrical degradation of ITO due to applied loading. Finally, this damage evolution is used for a simulation to predict the degradation of ITO as function in the applied load and correlate this degradation with the resistance variation. Experimental results showed that during unloading, crack closure results in recovery of conductivity and decrease in the overall resistance of the cracked ITO. Also, statistics about the crack spacing showed that the cracking pattern is not perfectly periodical however it has a positively skewed distribution. The higher the applied load, the less the discrepancy in the crack spacing data. It was found that the cracking mechanism of ITO starts with transverse cracking with local delamination at the crack tip unlike the mechanism proposed in the literature of having only cracking pattern without any local delamination. This is the actual mechanism that leads to the high increase in ITO resistance. The analytical code simulates the damage evolution in the ITO layer as function in the applied strain. This will be extended further to

  10. The effect of oxidation time on the parameters of ITO/Si solar cell prepared by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Kandil, S. A; Afifi, H. H; El-hefnawi, S. H; Eliwa, A.Y [Electronic Research Institute, Dokki, Cairo (Egypt)

    2000-07-01

    The indium tin oxide (ITO/N-Si) solar cells have been fabricated by spray pyrolysis technique. The silicon wafers are heated at 500 Celsius degrees with different oxidation time (2-20 min). The best values obtained for ITO/Si solar cell output parameters; open circuit voltage V{sub o}c, short circuit current density J{sub s}c, fill factor FF and efficiency {eta} are 0.48 V, 15 mA/cm{sup 2}, 0.7 and 10.1% respectively. The preceding results were obtained under conditions of 5 minutes oxidation time and the surface area equal to 8 mm{sup 2} when the light intensity is 50 MW/ cm{sup 2}. The values of V{sub o}c, J{sub s}c, FF and {eta} are affected strongly by the varying the oxidation time. This paper is devoted to explain the effect of the interfacial layer SiO{sub 2} thickness on the ITO/Si solar cell parameters as deduced from the I-V and C-V measurements. [Spanish] Mediante tecnicas de pirolisis de dispersion se han fabricado celdas solares de oxido de Indio estanado ITO/N-Si. Las obleas de silicon se calientan a 500 con diferentes tiempos de oxidacion (2-20 min.) Los mejores valores obtenidos para los parametros de produccion de las celdas solares ITO-Si de voltaje en circuito abierto V{sub o}c densidad de corriente de corto circuito J{sub s}c factor de llenado FF y eficiencia {eta} son de 0.48 V, 15 mA/cm{sup 2}, 0.7% y 10.1% respectivamente los resultados precedentes se obtuvieron bajo condiciones de 5 minutos de tiempo de oxidacion y la superficie del area=8 mm{sup 2} cuando la intensidad de la luz es de 50mW/Cm{sup 2}. Los valores de V{sub o}c, J{sub s}c, FF y {eta} se afectan fuertemente por la variacion del tiempo de oxidacion. Este articulo esta dedicado a explicar el efecto del espesor de la capa interfacial de SiO{sub 2} en los parametros de la celda solar ITO-Si como se deduce de las mediciones I-V y C-V.

  11. Characteristics of Indium Tin Oxide (ITO Nanoparticles Recovered by Lift-off Method from TFT-LCD Panel Scraps

    Directory of Open Access Journals (Sweden)

    Dongchul Choi

    2014-11-01

    Full Text Available In this study, indium-tin-oxide (ITO nanoparticles were simply recovered from the thin film transistor-liquid crystal display (TFT-LCD panel scraps by means of lift-off method. This can be done by dissolving color filter (CF layer which is located between ITO layer and glass substrate. In this way the ITO layer was easily lifted off the glass substrate of the panel scrap without panel crushing. Over 90% of the ITO on the TFT-LCD panel was recovered by using this method. After separating, the ITO was obtained as particle form and their characteristics were investigated. The recovered product appeared as aggregates of particles less than 100 nm in size. The weight ratio of In/Sn is very close to 91/9. XRD analysis showed that the ITO nanoparticles have well crystallized structures with (222 preferred orientation even after recovery. The method described in this paper could be applied to the industrial recovery business for large size LCD scraps from TV easily without crushing the glass substrate.

  12. FeNi3/indium tin oxide (ITO) composite nanoparticles with excellent microwave absorption performance and low infrared emissivity

    International Nuclear Information System (INIS)

    Fu, Li-Shun; Jiang, Jian-Tang; Zhen, Liang; Shao, Wen-Zhu

    2013-01-01

    Highlights: ► Electrical conductivity and infrared emissivity can be controlled by ITO content. ► The infrared emissivity is the lowest when the mole ratio of In:Sn in sol is 9:1. ► The permittivity in microwave band can be controlled by the electrical conductivity. ► EMA performance is significantly influenced by the content of ITO phase. ► FeNi 3 /ITO composite particles are suitable for both infrared and radar camouflage. - Abstract: FeNi 3 /indium tin oxide (ITO) composite nanoparticles were synthesized by a self-catalyzed reduction method and a sol–gel process. The dependence of the content of ITO phase with the mole ratios of In:Sn of different sols was investigated. The relation between the electrical conductivity, infrared emissivity of FeNi 3 /ITO composite nanoparticles and the content of ITO phase was discussed. Electromagnetic wave absorption (EMA) performance of products was evaluated by using transmission line theory. It was found that EMA performance including the intensity and the location of effective band is significantly dependent on the content of ITO phase. The low infrared emissivity and superior EMA performance of FeNi 3 /ITO composite nanoparticles can be both achieved when the mole ratio of In:Sn in sol is 9:1.

  13. Effect of temperature on the electrical properties of ITO in a TiO2/ITO film

    International Nuclear Information System (INIS)

    Nishimoto, Naoki; Imawaka, Naoto; Yoshino, Katsumi; Yamada, Yasuji; Ohnishi, Yosuke

    2013-01-01

    Thermal stabilities of indium tin oxide (ITO) substrates and TiO 2 /ITO structures were evaluated in relation to their electrical properties. The ITO substrates and TiO 2 /ITO structures were annealed at 350, 400, and 500 C. The ITO substrate with large grain size showed higher thermal stability than that with small grain size. The thermal stability of TiO 2 /ITO structure improved with increasing TiO 2 thickness, and a decrease in electron concentration was observed in resistance-increased samples. These changes were attributed to variations in grain-boundary potential caused by oxygen adsorption. It may be concluded that variation of the grain-boundary potential by thermal annealing has a dominant influence on resistance. Therefore, optimization of the grain size is important to improve the thermal stability of ITO. This mechanism and procedure can be applied to improve the characteristics of other TCO materials. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Metal-insulator transition in tin doped indium oxide (ITO thin films: Quantum correction to the electrical conductivity

    Directory of Open Access Journals (Sweden)

    Deepak Kumar Kaushik

    2017-01-01

    Full Text Available Tin doped indium oxide (ITO thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes in low temperatures (25-300 K. The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l is the electron mean free path and degenerate semiconductors. The transport of charge carriers (electrons in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known ‘metal-insulator transition’ (MIT which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC; this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann’s expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  15. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    Science.gov (United States)

    Kaushik, Deepak Kumar; Kumar, K. Uday; Subrahmanyam, A.

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl˜1; kF is the Fermi wave vector and l is the electron mean free path) and degenerate semiconductors. The transport of charge carriers (electrons) in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known `metal-insulator transition' (MIT) which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC); this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann's expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  16. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2017-08-04

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93% transparency in most of the visible range of the electromagnetic spectrum. Thin-film transistors fabricated with SnO2 gates show excellent transistor properties including saturation mobility of 15.3 cm2 V−1 s−1, a low subthreshold swing of ≈130 mV dec−1, a high on/off ratio of ≈109, and an excellent electrical stability under constant-voltage stressing conditions to the gate terminal. Moreover, the SnO2-gated thin-film transistors show excellent electrical characteristics when used in electronic circuits such as negative channel metal oxide semiconductor (NMOS) inverters and ring oscillators. The NMOS inverters exhibit a low propagation stage delay of ≈150 ns with high DC voltage gain of ≈382. A high oscillation frequency of ≈303 kHz is obtained from the output sinusoidal signal of the 11-stage NMOS inverter-based ring oscillators. These results show that SnO2 can effectively replace ITO in transparent electronics and sensor applications.

  17. Switchable Super-Hydrophilic/Hydrophobic Indium Tin Oxide (ITO) Film Surfaces on Reactive Ion Etching (RIE) Textured Si Wafer.

    Science.gov (United States)

    Kim, Hwa-Min; Litao, Yao; Kim, Bonghwan

    2015-11-01

    We have developed a surface texturing process for pyramidal surface features along with an indium tin oxide (ITO) coating process to fabricate super-hydrophilic conductive surfaces. The contact angle of a water droplet was less than 5 degrees, which means that an extremely high wettability is achievable on super-hydrophilic surfaces. We have also fabricated a super-hydrophobic conductive surface using an additional coating of polytetrafluoroethylene (PTFE) on the ITO layer coated on the textured Si surface; the ITO and PTFE films were deposited by using a conventional sputtering method. We found that a super-hydrophilic conductive surface is produced by ITO coated on the pyramidal Si surface (ITO/Si), with contact angles of approximately 0 degrees and a resistivity of 3 x 10(-4) Ω x cm. These values are highly dependent on the substrate temperature during the sputtering process. We also found that the super-hydrophobic conductive surface produced by the additional coating of PTFE on the pyramidal Si surface with an ITO layer (PTFE/ITO/Si) has a contact angle of almost 160 degrees and a resistivity of 3 x 10(-4) Ω x cm, with a reflectance lower than 9%. Therefore, these processes can be used to fabricate multifunctional features of ITO films for switchable super-hydrophilic and super-hydrophobic surfaces.

  18. Effect of replacement of tin doped indium oxide (ITO) by ZnO: analysis of environmental impact categories

    Science.gov (United States)

    Ziemińska-Stolarska, Aleksandra; Barecka, Magda; Zbiciński, Ireneusz

    2017-10-01

    Abundant use of natural resources is doubtlessly one of the greatest challenges of sustainable development. Process alternatives, which enable sustainable manufacturing of valuable products from more accessible resources, are consequently required. One of examples of limited resources is Indium, currently broadly used for tin doped indium oxide (ITO) for production of transparent conductive films (TCO) in electronics industry. Therefore, candidates for Indium replacement, which would offer as good performance as the industrial state-of-the-art technology based on ITO are widely studied. However, the environmental impact of new layers remains unknown. Hence, this paper studies the environmental effect of ITO replacement by zinc oxide (ZnO) by means life cycle assessment (LCA) methodology. The analysis enables to quantify the environmental impact over the entire period of life cycle of products—during manufacturing, use phase and waste generation. The analysis was based on experimental data for deposition process. Further, analysis of different impact categories was performed in order to determine specific environmental effects related to technology change. What results from the analysis, is that ZnO is a robust alternative material for ITO replacement regarding environmental load and energy efficiency of deposition process which is also crucial for sustainable TCO layer production.

  19. Mediatorless bioelectrocatalysis of dioxygen reduction at indium-doped tin oxide (ITO) and ITO nanoparticulate film electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Rozniecka, Ewa; Jonsson-Niedziolka, Martin; Sobczak, Janusz W. [Institute of Physical Chemistry, Polish Academy of Sciences, ul. Kasprzaka 44/52, 01-224 Warszawa (Poland); Opallo, Marcin, E-mail: mopallo@ichf.edu.pl [Institute of Physical Chemistry, Polish Academy of Sciences, ul. Kasprzaka 44/52, 01-224 Warszawa (Poland)

    2011-10-01

    Highlights: > We introduced ITO nanoparticulate films for enzyme immobilization. > The material promotes mediatorless bioelectrocatalysis towards dioxygen reduction. > The electrocatalytical current increase with the thickness of nanoparticulate film. > There is no difference in electrocatalytic current in the presence or absence of mediator. > The stability of the electrode can be improved by crosslinking of the enzyme with bovine serum albumin and glutaraldehyde. - Abstract: Bilirubin oxidase was immobilised on ITO electrodes: bare or covered by ITO nanoparticulate film. The latter material was obtained by immersion and withdrawal of the substrate into ITO nanoparticles suspension. Formation of a protein deposit was confirmed by scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy. The electrode surface is covered by a protein film in the form of globular aggregates and it exhibits mediatorless electrocatalytic activity towards dioxygen reduction to water at pH 4.8. Modification of the electrode with ITO particles increases its catalytic activity about ten times up to 110 {mu}A cm{sup -2} seen for electrodes prepared by twelve immersion and withdrawal steps into ITO nanoparticle suspension. The catalytic activity is almost unaffected by addition of mediator to solution. The stability of the electrodes is increased by cross-linking of the enzyme with bovine serum albumin and glutaraldehyde. This electrode was applied as biocathode in a zinc-dioxygen battery operating in 0.1 mol dm{sup -3} McIlvaine buffer (pH 4.8).

  20. Mediatorless bioelectrocatalysis of dioxygen reduction at indium-doped tin oxide (ITO) and ITO nanoparticulate film electrodes

    International Nuclear Information System (INIS)

    Rozniecka, Ewa; Jonsson-Niedziolka, Martin; Sobczak, Janusz W.; Opallo, Marcin

    2011-01-01

    Highlights: → We introduced ITO nanoparticulate films for enzyme immobilization. → The material promotes mediatorless bioelectrocatalysis towards dioxygen reduction. → The electrocatalytical current increase with the thickness of nanoparticulate film. → There is no difference in electrocatalytic current in the presence or absence of mediator. → The stability of the electrode can be improved by crosslinking of the enzyme with bovine serum albumin and glutaraldehyde. - Abstract: Bilirubin oxidase was immobilised on ITO electrodes: bare or covered by ITO nanoparticulate film. The latter material was obtained by immersion and withdrawal of the substrate into ITO nanoparticles suspension. Formation of a protein deposit was confirmed by scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy. The electrode surface is covered by a protein film in the form of globular aggregates and it exhibits mediatorless electrocatalytic activity towards dioxygen reduction to water at pH 4.8. Modification of the electrode with ITO particles increases its catalytic activity about ten times up to 110 μA cm -2 seen for electrodes prepared by twelve immersion and withdrawal steps into ITO nanoparticle suspension. The catalytic activity is almost unaffected by addition of mediator to solution. The stability of the electrodes is increased by cross-linking of the enzyme with bovine serum albumin and glutaraldehyde. This electrode was applied as biocathode in a zinc-dioxygen battery operating in 0.1 mol dm -3 McIlvaine buffer (pH 4.8).

  1. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Science.gov (United States)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-08-01

    Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor-liquid-solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  2. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  3. Electrical circuit model of ITO/AZO/Ge photodetector.

    Science.gov (United States)

    Patel, Malkeshkumar; Kim, Joondong

    2017-10-01

    In this data article, ITO/AZO/Ge photodetector was investigated for electrical circuit model. Due to the double (ITO and AZO) transparent metal-oxide films (DOI:10.1016/j.mssp.2016.03.007) (Yun et al., 2016) [1], the Ge heterojunction device has a better interface quality due to the AZO layer with a low electrical resistance due to the ITO layer (Yun et al., 2015) [2]. The electrical and interfacial benefitted ITO/AZO/Ge heterojunction shows the quality Schottky junction. In order to investigate the device, the ITO/AZO/Ge heterojunction was analyzed by R-C circuit model using the impedance spectroscopy.

  4. FeNi{sub 3}/indium tin oxide (ITO) composite nanoparticles with excellent microwave absorption performance and low infrared emissivity

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Li-Shun; Jiang, Jian-Tang [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Zhen, Liang, E-mail: lzhen@hit.edu.cn [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); MOE Key Laboratory of Micro-systems and Micro-structures Manufacturing, Harbin Institute of Technology, Harbin 150080 (China); Shao, Wen-Zhu [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China)

    2013-03-01

    Highlights: Black-Right-Pointing-Pointer Electrical conductivity and infrared emissivity can be controlled by ITO content. Black-Right-Pointing-Pointer The infrared emissivity is the lowest when the mole ratio of In:Sn in sol is 9:1. Black-Right-Pointing-Pointer The permittivity in microwave band can be controlled by the electrical conductivity. Black-Right-Pointing-Pointer EMA performance is significantly influenced by the content of ITO phase. Black-Right-Pointing-Pointer FeNi{sub 3}/ITO composite particles are suitable for both infrared and radar camouflage. - Abstract: FeNi{sub 3}/indium tin oxide (ITO) composite nanoparticles were synthesized by a self-catalyzed reduction method and a sol-gel process. The dependence of the content of ITO phase with the mole ratios of In:Sn of different sols was investigated. The relation between the electrical conductivity, infrared emissivity of FeNi{sub 3}/ITO composite nanoparticles and the content of ITO phase was discussed. Electromagnetic wave absorption (EMA) performance of products was evaluated by using transmission line theory. It was found that EMA performance including the intensity and the location of effective band is significantly dependent on the content of ITO phase. The low infrared emissivity and superior EMA performance of FeNi{sub 3}/ITO composite nanoparticles can be both achieved when the mole ratio of In:Sn in sol is 9:1.

  5. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    Science.gov (United States)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  6. Electrical circuit model of ITO/AZO/Ge photodetector

    Directory of Open Access Journals (Sweden)

    Malkeshkumar Patel

    2017-10-01

    Full Text Available In this data article, ITO/AZO/Ge photodetector was investigated for electrical circuit model. Due to the double (ITO and AZO transparent metal-oxide films (DOI:10.1016/j.mssp.2016.03.007 (Yun et al., 2016 [1], the Ge heterojunction device has a better interface quality due to the AZO layer with a low electrical resistance due to the ITO layer (Yun et al., 2015 [2]. The electrical and interfacial benefitted ITO/AZO/Ge heterojunction shows the quality Schottky junction. In order to investigate the device, the ITO/AZO/Ge heterojunction was analyzed by R–C circuit model using the impedance spectroscopy.

  7. Characterization of surface-modified LiMn2O4 cathode materials with indium tin oxide (ITO) coatings and their electrochemical performance

    International Nuclear Information System (INIS)

    Kim, Chang-Sam; Kwon, Soon-Ho; Yoon, Jong-Won

    2014-01-01

    Graphical abstract: -- Highlights: • Indium tin oxide (ITO) is used to modify the surface of LiMn 2 O 4 by a sol–gel method. • The surface-modified layer was observed at a scale of several nanometers on LiMn 2 O 4 . • The ITO-coated LiMn 2 O 4 shows better capacity retention at 30 and 55 °C than pristine LiMn 2 O 4 . -- Abstract: Indium tin oxide (ITO) is used to modify the surface of LiMn 2 O 4 by a sol–gel method in an attempt to improve its electrochemical performance at elevated temperatures. The surface-modified LiMn 2 O 4 is characterized via XRD, FE-SEM, TEM, Auger electron spectroscopy (AES) and inductively coupled plasma-atomic emission spectroscopy (ICP-AES). The surface layer modified by substitution with indium was observed at a scale of several nanometers near the surface on LiMn 2 O 4 . The concentration of ITO for electrochemical performance was varied from 0.3 wt% to 0.8 wt%. The 0.5 wt% ITO coated LiMn 2 O 4 showed the best electrochemical performance. This enhancement in electrochemical performance is mainly attributed to the effect of the surface layer modified through ITO, which could suppress Mn dissolution and reduce the charge transfer resistance at the solid electrolyte interface

  8. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  9. Surface passivation function of indium-tin-oxide-based nanorod structural sensors

    International Nuclear Information System (INIS)

    Lin, Tzu-Shun; Lee, Ching-Ting; Lee, Hisn-Ying; Lin, Chih-Chien

    2012-01-01

    Employing self-shadowing traits of an oblique-angle electron-beam deposition system, various indium tin oxide (ITO) nanorod arrays were deposited on a silicon substrate and used as extended-gate field-effect-transistor (EGFET) pH sensors. The length and morphology of the deposited ITO nanorod arrays could be changed and controlled under different deposition conditions. The ITO nanorod structural EGFET pH sensors exhibited high sensing performances owing to the larger sensing surface area. The sensitivity of the pH sensors with 150-nm-length ITO nanorod arrays was 53.96 mV/pH. By using the photoelectrochemical treatment of the ITO nanorod arrays, the sensitivity of the pH sensors with 150-nm-length passivated ITO nanorod arrays was improved to 57.21 mV/pH.

  10. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    International Nuclear Information System (INIS)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-01-01

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets

  11. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Setti, Grazielle O. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Joanni, Ednan, E-mail: ednan.joanni@cti.gov.br [Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Jesus, Dosil P. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil)

    2015-08-30

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  12. ITO Modification for Efficient Inverted Organic Solar Cells.

    Science.gov (United States)

    Susarova, Diana K; Akkuratov, Alexander V; Kukharenko, Andrey I; Cholakh, Seif O; Kurmaev, Ernst Z; Troshin, Pavel A

    2017-10-03

    We demonstrate a facile approach to designing transparent electron-collecting electrodes by depositing thin layers of medium and low work function metals on top of transparent conductive metal oxides (TCOs) such as ITO and FTO. The modified electrodes were fairly stable for months under ambient conditions and maintained their electrical characteristics. XPS spectroscopy data strongly suggested integration of the deposited metal in the TCO structure resulting in additional doping of the conducting oxide at the interface. Kelvin probe microscopy measurements revealed a significant decrease in the ITO work function after modification. Organic solar cells based on three different conjugated polymers have demonstrated state of the art performances in inverted device geometry using Mg- or Yb-modified ITO as electron collecting electrode. The simplicity of the proposed approach and the excellent ambient stability of the modified ITO electrodes allows one to expect their wide utilization in research laboratories and electronic industry.

  13. High precision patterning of ITO using femtosecond laser annealing process

    International Nuclear Information System (INIS)

    Cheng, Chung-Wei; Lin, Cen-Ying

    2014-01-01

    Highlights: • We have reported a process of fabrication of crystalline indium tin oxide (c-ITO) patterns using femtosecond laser-induced crystallization with a Gaussian beam profile followed by chemical etching. • The experimental results have demonstrated that the ablation and crystallization threshold fluences of a-ITO thin film are well-defined, the line width of the c-ITO patterns is controllable. • Fast fabrication of the two parallel sub-micro (∼0.5 μm) c-ITO line patterns using a single femtosecond laser beam and a single scanning path can be achieved. • A long-length sub-micro c-ITO line pattern is fabricated, and the feasibility of fabricating c-ITO patterns is confirmed, which are expected to be used in micro-electronics devices. - Abstract: High precision patterning of crystalline indium tin oxide (c-ITO) patterns on amorphous ITO (a-ITO) thin films by femtosecond laser-induced crystallization with a Gaussian beam profile followed by chemical etching is demonstrated. In the proposed approach, the a-ITO thin film is selectively transformed into a c-ITO structure via a low heat affect zone and the well-defined thresholds (ablation and crystallization) supplied by the femtosecond laser pulse. The experimental results show that by careful control of the laser fluence above the crystallization threshold, c-ITO patterns with controllable line widths and ridge-free characteristics can be accomplished. By careful control of the laser fluence above the ablation threshold, fast fabrication of the two parallel sub-micro c-ITO line patterns using a single femtosecond laser beam and single scanning path can be achieved. Along-length sub-micro c-ITO line pattern is fabricated, and the feasibility of fabricating c-ITO patterns is confirmed, which are expected to be used in micro-electronics devices

  14. Saturable Absorption and Modulation Characteristics of Laser with Graphene Oxide Spin Coated on ITO Substrate

    OpenAIRE

    Li, Xin; Zhang, Haikun; Wang, Peiji; Li, Guiqiu; Zhao, Shengzhi; Wang, Jing; Chen, Lijuan

    2014-01-01

    The graphene oxide (GO) thin film has been obtained by mixture of GO spin coated on substrate of indium tin oxide (ITO). The experiment has shown that continuous-wave laser is modulated when the graphene oxide saturable absorber (GO-SA) is employed in the 1064 nm laser cavity. The shortest pulse width is 108 ns at the pump power of 5.04 W. Other output laser characteristics, such as the threshold pump power, the repetition rate, and the peak power, have also been measured. The results have de...

  15. Flexible Proton-Gated Oxide Synaptic Transistors on Si Membrane.

    Science.gov (United States)

    Zhu, Li Qiang; Wan, Chang Jin; Gao, Ping Qi; Liu, Yang Hui; Xiao, Hui; Ye, Ji Chun; Wan, Qing

    2016-08-24

    Ion-conducting materials have received considerable attention for their applications in fuel cells, electrochemical devices, and sensors. Here, flexible indium zinc oxide (InZnO) synaptic transistors with multiple presynaptic inputs gated by proton-conducting phosphorosilicate glass-based electrolyte films are fabricated on ultrathin Si membranes. Transient characteristics of the proton gated InZnO synaptic transistors are investigated, indicating stable proton-gating behaviors. Short-term synaptic plasticities are mimicked on the proposed proton-gated synaptic transistors. Furthermore, synaptic integration regulations are mimicked on the proposed synaptic transistor networks. Spiking logic modulations are realized based on the transition between superlinear and sublinear synaptic integration. The multigates coupled flexible proton-gated oxide synaptic transistors may be interesting for neuroinspired platforms with sophisticated spatiotemporal information processing.

  16. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  17. Synthesis of ITO Powder by Dry Process and Lifetime Characteristics of the ITO Target Fabricated with its Powder

    Science.gov (United States)

    Takahashi, Seiichiro; Itoh, Hironori; Komatsu, Ryuichi

    Lifetime of an indium tin oxide (ITO) target is an important characteristic in the production of liquid crystal displays (LCDs). Increasing the sintering density of the ITO target is assumed to lead to an increased lifetime. So far, it has been clarified that the carbon concentration in In2O3 powder, the raw material of ITO targets, influences remarkably the target lifetime. In this study, with the aim of reducing the concentration of carbon in In2O3 powder, the synthesis of In2O3 powder containing dissolved Sn by a dry process was performed.

  18. Comparative study of ITO and FTO thin films grown by spray pyrolysis

    International Nuclear Information System (INIS)

    Ait Aouaj, M.; Diaz, R.; Belayachi, A.; Rueda, F.; Abd-Lefdil, M.

    2009-01-01

    Tin doped indium oxide (ITO) and fluorine doped tin oxide (FTO) thin films have been prepared by one step spray pyrolysis. Both film types grown at 400 deg. C present a single phase, ITO has cubic structure and preferred orientation (4 0 0) while FTO exhibits a tetragonal structure. Scanning electron micrographs showed homogeneous surfaces with average grain size around 257 and 190 nm for ITO and FTO respectively. The optical properties have been studied in several ITO and FTO samples by transmittance and reflectance measurements. The transmittance in the visible zone is higher in ITO than in FTO layers with a comparable thickness, while the reflectance in the infrared zone is higher in FTO in comparison with ITO. The best electrical resistivity values, deduced from optical measurements, were 8 x 10 -4 and 6 x 10 -4 Ω cm for ITO (6% of Sn) and FTO (2.5% of F) respectively. The figure of merit reached a maximum value of 2.15 x 10 -3 Ω -1 for ITO higher than 0.55 x 10 -3 Ω -1 for FTO.

  19. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  20. Saturable Absorption and Modulation Characteristics of Laser with Graphene Oxide Spin Coated on ITO Substrate

    Directory of Open Access Journals (Sweden)

    Xin Li

    2014-01-01

    Full Text Available The graphene oxide (GO thin film has been obtained by mixture of GO spin coated on substrate of indium tin oxide (ITO. The experiment has shown that continuous-wave laser is modulated when the graphene oxide saturable absorber (GO-SA is employed in the 1064 nm laser cavity. The shortest pulse width is 108 ns at the pump power of 5.04 W. Other output laser characteristics, such as the threshold pump power, the repetition rate, and the peak power, have also been measured. The results have demonstrated that graphene oxide is an available saturable absorber for 1064 nm passive Q-switching laser.

  1. Ultrabroadband terahertz conductivity of highly doped ZnO and ITO

    DEFF Research Database (Denmark)

    Wang, Tianwu; Zalkovskij, Maksim; Iwaszczuk, Krzysztof

    2015-01-01

    The broadband complex conductivities of transparent conducting oxides (TCO), namely aluminum-doped zinc oxide (AZO), gallium-doped zinc oxide (GZO) and tin-doped indium oxide (ITO), were investigated by terahertz time domain spectroscopy (THz-TDS) in the frequency range from 0.5 to 18 THz using air...... to be more thickness dependent than GZO and ITO, indicating high importance of the surface states for electron dynamics in AZO. Finally, we measure the transmittance of the TCO films from 10 to 200 THz with Fourier transform infrared spectroscopy (FTIR) measurements, thus closing the gap between THz...

  2. Controllably annealed CuO-nanoparticle modified ITO electrodes: Characterisation and electrochemical studies

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tong; Su, Wen; Fu, Yingyi [College of Chemistry, Beijing Normal University, Beijing 100875 (China); Hu, Jingbo, E-mail: hujingbo@bnu.edu.cn [College of Chemistry, Beijing Normal University, Beijing 100875 (China); Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2016-12-30

    Graphical abstract: We report a simple and controllable synthesis of CuO-nanoparticle-modified ITO by employing a combination of ion-implantation and annealing methods for the first time. The optimum CuO/ITO electrode shows uniform morphology, highly accessible surface area, long-term stability and excellent electrochemical performance towards biomolecules such as glucose in alkaline solution. - Highlights: • Controllably annealed CuO/ITO electrode was synthesized for the first time. • The generation mechanism of CuO nanoparticles is revealed. • The optimum CuO/ITO electrode shows excellent electrochemical performance. • A reference for the controllable preparation of other metal oxide nanoparticles. - Abstract: In this paper, we report a facile and controllable two-step approach to produce indium tin oxide electrodes modified by copper(II) oxide nanoparticles (CuO/ITO) through ion implantation and annealing methods. After annealing treatment, the surface morphology of the CuO/ITO substrate changed remarkably and exhibited highly electroactive sites and a high specific surface area. The effects of annealing treatment on the synthesis of CuO/ITO were discussed based on various instruments’ characterisations, and the possible mechanism by which CuO nanoparticles were generated was also proposed in this work. Cyclic voltammetric results indicated that CuO/ITO electrodes exhibited effective catalytic responses toward glucose in alkaline solution. Under optimal experimental conditions, the proposed CuO/ITO electrode showed sensitivity of 450.2 μA cm{sup −2} mM{sup −1} with a linear range of up to ∼4.4 mM and a detection limit of 0.7 μM (S/N = 3). Moreover, CuO/ITO exhibited good poison resistance, reproducibility, and stability properties.

  3. Influence of ITO patterning on reliability of organic light emitting devices

    International Nuclear Information System (INIS)

    Wang, Zhaokui; Naka, Shigeki; Okada, Hiroyuki

    2009-01-01

    Indium tin oxide (ITO) films are widely used for a transparent electrode of organic light emitting devices (OLEDs) because of its excellent conductivity and transparency. Two types of ITO substrates with different surface roughness were selected to use as anode of OLEDs. In addition, two types of etching process of ITO substrate, particularly the etching time, were also carried out. It was found that the surface roughness and/or the etching process of ITO substrate strongly influenced on an edge of ITO surface, further affected the operating characteristics and reliability of devices.

  4. Spectroellipsometric study of the sol-gel nanocrystalline ITO multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Stoica, T.F.; Gartner, M.; Losurdo, M.; Teodorescu, V.; Blanchin, M.; Stoica, T.; Zaharescu, M

    2004-05-01

    Tin-doped indium oxide (ITO) thin films have been deposited by sol-gel process using 'sols' of indium and tin isopropoxides. The thickness of one deposited ITO layer is approximately 50 nm. The desired thickness was obtained by 1-5 successive depositions. The XTEM cross-sectional view of an ITO sample with five depositions showed a clear delimitation of the layers with an alternating structure dense/porous ITO layers. The void fraction in porous regions varies between 20 and 25%. Cubic bixbyite In{sub 2}O{sub 3} nanocrystals with size of 10-20 nm and no phases separation of tin oxide were observed. The optical properties of the films have been investigated by optical transmission and spectroscopic ellipsometry. Reliable optical constants and porosity are obtained only with the model of internal structure based on XTEM results.

  5. Pulsed laser deposition of ITO thin films and their characteristics

    International Nuclear Information System (INIS)

    Zuev, D. A.; Lotin, A. A.; Novodvorsky, O. A.; Lebedev, F. V.; Khramova, O. D.; Petuhov, I. A.; Putilin, Ph. N.; Shatohin, A. N.; Rumyanzeva, M. N.; Gaskov, A. M.

    2012-01-01

    The indium tin oxide (ITO) thin films are grown on quartz glass substrates by the pulsed laser deposition method. The structural, electrical, and optical properties of ITO films are studied as a function of the substrate temperature, the oxygen pressure in the vacuum chamber, and the Sn concentration in the target. The transmittance of grown ITO films in the visible spectral region exceeds 85%. The minimum value of resistivity 1.79 × 10 −4 Ω cm has been achieved in the ITO films with content of Sn 5 at %.

  6. Microstructure, ferromagnetic and photoluminescence properties of ITO and Cr doped ITO nanoparticles using solid state reaction

    Science.gov (United States)

    Babu, S. Harinath; Kaleemulla, S.; Rao, N. Madhusudhana; Rao, G. Venugopal; Krishnamoorthi, C.

    2016-11-01

    Indium-tin-oxide (ITO) (In0.95Sn0.05)2O3 and Cr doped indium-tin-oxide (In0.90Sn0.05Cr0.05)2O3 nanoparticles were prepared using simple low cost solid state reaction method and characterized by different techniques to study their structural, optical and magnetic properties. Microstructures, surface morphology, crystallite size of the nanoparticles were studied using X-ray diffractometer (XRD), field emission scanning electron microscope (FE-SEM). From these methods it was found that the particles were about 45 nm. Chemical composition and valence states of the nanoparticles were studied using energy dispersive analysis of X-rays (EDAX) and X-ray photoelectron spectroscopy (XPS). From these techniques it was observed that the elements of indium, tin, chromium and oxygen were present in the system in appropriate ratios and they were in +3, +4, +3 and -2 oxidation states. Raman studies confirmed that the nanoparticle were free from unintentional impurities. Two broad emission peaks were observed at 330 nm and 460 nm when excited wavelength of 300 nm. Magnetic studies were carried out at 300 K and 100 K using vibrating sample magnetometer (VSM) and found that the ITO nanoparticles were ferromagnetic at 100 K and 300 K. Where-as the room temperature ferromagnetism completely disappeared in Cr doped ITO nanoparticles at 100 K and 300 K.

  7. Development of low temperature RF magnetron sputtered ITO films on flexible substrate

    Energy Technology Data Exchange (ETDEWEB)

    Muneshwar, T.P.; Varma, V.; Meshram, N; Soni, S.; Dusane, R.O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Powai, Mumbai 400076 (India)

    2010-09-15

    Indium tin oxide (ITO) is one of the important materials used as transparent conducting oxide (TCO) layer in thin film solar cells, digital displays and other similar applications. For applications involving flexible polymeric substrates, it is important that deposition of ITO is carried out at near room temperature. This requirement puts constraint on stoichiometry leading to undesired electrical and optical properties. Effect of oxygen partial pressure on ITO films deposited on flexible Kapton {sup registered} by the RF magnetron sputtering is reported in this paper. (author)

  8. F2-laser patterning of indium tin oxide (ITO) thin film on glass substrate

    International Nuclear Information System (INIS)

    Xu, M.Y.; Li, J.; Herman, P.R.; Lilge, L.D.

    2006-01-01

    This paper reports the controlled micromachining of 100 nm thick indium tin oxide (ITO) thin films on glass substrates with a vacuum-ultraviolet 157 nm F 2 laser. Partial to complete film removal was observed over a wide fluence window from 0.49 J/cm 2 to an optimized single pulse fluence of 4.5 J/cm 2 for complete film removal. Optical microscopy, atomic force microscopy, and energy dispersive X-ray analysis show little substrate or collateral damage by the laser pulse which conserved the stoichiometry, optical transparency and electrical conductivity of ITO coating adjacent to the trenches. At higher fluence, a parallel micron sized channel can be etched in the glass substrate. The high photon energy and top-hat beam homogenized optical system of the F 2 laser opens new means for direct structuring of electrodes and microchannels in biological microfluidic systems or in optoelectronics. (orig.)

  9. The utilization of SiNWs/AuNPs-modified indium tin oxide (ITO) in fabrication of electrochemical DNA sensor.

    Science.gov (United States)

    Rashid, Jahwarhar Izuan Abdul; Yusof, Nor Azah; Abdullah, Jaafar; Hashim, Uda; Hajian, Reza

    2014-12-01

    This work describes the incorporation of SiNWs/AuNPs composite as a sensing material for DNA detection on indium tin-oxide (ITO) coated glass slide. The morphology of SiNWs/AuNPs composite as the modifier layer on ITO was studied by scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDX). The morphological studies clearly showed that SiNWs were successfully decorated with 20 nm-AuNPs using self-assembly monolayer (SAM) technique. The effective surface area for SiNWs/AuNPs-modified ITO enhanced about 10 times compared with bare ITO electrode. SiNWs/AuNPs nanocomposite was further explored as a matrix for DNA probe immobilization in detection of dengue virus as a bio-sensing model to evaluate its performance in electrochemical sensors. The hybridization of complementary DNA was monitored by differential pulse voltammetry (DPV) using methylene blue (MB) as the redox indicator. The fabricated biosensor was able to discriminate significantly complementary, non-complementary and single-base mismatch oligonucleotides. The electrochemical biosensor was sensitive to target DNA related to dengue virus in the range of 9.0-178.0 ng/ml with detection limit of 3.5 ng/ml. In addition, SiNWs/AuNPs-modified ITO, regenerated up to 8 times and its stability was up to 10 weeks at 4°C in silica gel. Copyright © 2014 Elsevier B.V. All rights reserved.

  10. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    Science.gov (United States)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  11. ITO/Au/ITO sandwich structure for near-infrared plasmonics.

    Science.gov (United States)

    Fang, Xu; Mak, Chee Leung; Dai, Jiyan; Li, Kan; Ye, Hui; Leung, Chi Wah

    2014-09-24

    ITO/Au/ITO trilayers with varying gold spacer layer thicknesses were deposited on glass substrates by pulsed laser deposition. Transmission electron microscopy measurements demonstrated the continuous nature of the Au layer down to 2.4 nm. XRD patterns clearly showed an enhanced crystallinity of the ITO films promoted by the insertion of the gold layer. Compared with a single layer of ITO with a carrier concentration of 7.12 × 10(20) cm(-3), the ITO/Au/ITO structure achieved an effective carrier concentration as high as 3.26 × 10(22) cm(-3). Transmittance and ellipsometry measurements showed that the optical properties of ITO/Au/ITO films were greatly influenced by the thickness of the inserted gold layer. The cross-point wavelength of the trilayer samples was reduced with increasing gold layer thickness. Importantly, the trilayer structure exhibited a reduced loss (compared with plain Au) in the near-infrared region, suggesting its potential for plasmonic applications in the near-infrared range.

  12. Scaling Up ITO-free solar cells

    DEFF Research Database (Denmark)

    Galagan, Yulia; Coenen, Erica W. C.; Zimmermann, Birger

    2014-01-01

    Indium-tin-oxide-free (ITO-free) polymer solar cells with composite electrodes containing current-collecting grids and a semitransparent poly(3,4-ethylenedioxythiophene):polystyrenesulfonate) (PEDOT:PSS) conductor are demonstrated. The up-scaling of the length of the solar cell from 1 to 6 cm...... resistances. The performance of ITO-free organic solar cells with different dimensions and different electrode resistances are evaluated for different light intensities. The current generation and electric potential distribution are found to not be uniformly distributed in large-area devices at simulated 1...

  13. Microstructure, ferromagnetic and photoluminescence properties of ITO and Cr doped ITO nanoparticles using solid state reaction

    Energy Technology Data Exchange (ETDEWEB)

    Babu, S. Harinath [Thin Films Laboratory, Centre for Crystal Growth, VIT University, Vellore-632014, Tamilnadu, India. (India); Kaleemulla, S., E-mail: skaleemulla@gmail.com [Thin Films Laboratory, Centre for Crystal Growth, VIT University, Vellore-632014, Tamilnadu, India. (India); Rao, N. Madhusudhana [Thin Films Laboratory, Centre for Crystal Growth, VIT University, Vellore-632014, Tamilnadu, India. (India); Rao, G. Venugopal [Materials Physics Division, Indira Gandhi Centre for Atomic Research, Kalpakkam-603102, Tamilnadu (India); Krishnamoorthi, C. [Thin Films Laboratory, Centre for Crystal Growth, VIT University, Vellore-632014, Tamilnadu, India. (India)

    2016-11-01

    Indium-tin-oxide (ITO) (In{sub 0.95}Sn{sub 0.05}){sub 2}O{sub 3} and Cr doped indium-tin-oxide (In{sub 0.90}Sn{sub 0.05}Cr{sub 0.05}){sub 2}O{sub 3} nanoparticles were prepared using simple low cost solid state reaction method and characterized by different techniques to study their structural, optical and magnetic properties. Microstructures, surface morphology, crystallite size of the nanoparticles were studied using X-ray diffractometer (XRD), field emission scanning electron microscope (FE-SEM). From these methods it was found that the particles were about 45 nm. Chemical composition and valence states of the nanoparticles were studied using energy dispersive analysis of X-rays (EDAX) and X-ray photoelectron spectroscopy (XPS). From these techniques it was observed that the elements of indium, tin, chromium and oxygen were present in the system in appropriate ratios and they were in +3, +4, +3 and −2 oxidation states. Raman studies confirmed that the nanoparticle were free from unintentional impurities. Two broad emission peaks were observed at 330 nm and 460 nm when excited wavelength of 300 nm. Magnetic studies were carried out at 300 K and 100 K using vibrating sample magnetometer (VSM) and found that the ITO nanoparticles were ferromagnetic at 100 K and 300 K. Where-as the room temperature ferromagnetism completely disappeared in Cr doped ITO nanoparticles at 100 K and 300 K.

  14. Transport Properties of ZnSe- ITO Hetero Junction

    Science.gov (United States)

    Ichibakase, Tsuyoshi

    In this report, ITO(Indium Tin Oxide) was used on the glass substrates as the transparent electrode, and ZnSe layer was prepared by the vacuum deposition on this ITO. Then, the electrical characteristics of this sample were investigated by mans of the electric current transport analysis. The sample that ZnSe was prepared as 3.4 μm in case of ITO-ZnSe sample, has high density level at the junction surface. The ITO-ZnSe junction has two type of diffusion current. However, the ITO-ZnSe sample that ZnSe layer was prepared as 0.1 μm can be assumed as the ohmic contact, and ITO-ZnSe(0.1μm) -CdTe sample shows the avalanche breakdown, and it is considered that the avalanche breakdown occurs in CdTe layer. It is difficult to occur the avalanche breakdown, if ZnSe-CdTe junction has high-density level and CdTe layer has high-density defect. Hence, the ZnSe-CdTe sample that CdTe layer was prepared on ITO-ZnSe(0.1μm) substrate has not high-density level at the junction surface, and the CdTe layer with little lattice imperfection can be prepared. It found that ITO-ZnSe(0.1μm) substrate is available for the II-VI compounds semiconductor device through above analysis result.

  15. The utilization of SiNWs/AuNPs-modified indium tin oxide (ITO) in fabrication of electrochemical DNA sensor

    Energy Technology Data Exchange (ETDEWEB)

    Rashid, Jahwarhar Izuan Abdul [Institute of Advanced Technology, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Department of Chemistry and Biology, Centre for Defense Foundation Studies, National Defense University of Malaysia, Sungai Besi Camp, 57000 Kuala Lumpur (Malaysia); Department of Chemistry, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Yusof, Nor Azah, E-mail: azahy@upm.edu.my [Institute of Advanced Technology, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Department of Chemistry, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Abdullah, Jaafar [Institute of Advanced Technology, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Department of Chemistry, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Hashim, Uda [Institute of Nanoelectronic Engineering, Universiti Malaysia Perlis, 01000 Kangar, Perlis (Malaysia); Hajian, Reza, E-mail: rezahajian@upm.edu.my [Institute of Advanced Technology, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia)

    2014-12-01

    This work describes the incorporation of SiNWs/AuNPs composite as a sensing material for DNA detection on indium tin-oxide (ITO) coated glass slide. The morphology of SiNWs/AuNPs composite as the modifier layer on ITO was studied by scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDX). The morphological studies clearly showed that SiNWs were successfully decorated with 20 nm-AuNPs using self-assembly monolayer (SAM) technique. The effective surface area for SiNWs/AuNPs-modified ITO enhanced about 10 times compared with bare ITO electrode. SiNWs/AuNPs nanocomposite was further explored as a matrix for DNA probe immobilization in detection of dengue virus as a bio-sensing model to evaluate its performance in electrochemical sensors. The hybridization of complementary DNA was monitored by differential pulse voltammetry (DPV) using methylene blue (MB) as the redox indicator. The fabricated biosensor was able to discriminate significantly complementary, non-complementary and single-base mismatch oligonucleotides. The electrochemical biosensor was sensitive to target DNA related to dengue virus in the range of 9.0–178.0 ng/ml with detection limit of 3.5 ng/ml. In addition, SiNWs/AuNPs-modified ITO, regenerated up to 8 times and its stability was up to 10 weeks at 4 °C in silica gel. - Highlights: • A sensitive biosensor is presented for detection of dengue virus. • SiNWs and AuNPs used as nanocomposite layers on ITO for construction of biosensor • The detection mechanism is based on the interaction of MB with DNA bonded on AuNPs. • The reduction signal of MB decreases upon complementary hybridization.

  16. The utilization of SiNWs/AuNPs-modified indium tin oxide (ITO) in fabrication of electrochemical DNA sensor

    International Nuclear Information System (INIS)

    Rashid, Jahwarhar Izuan Abdul; Yusof, Nor Azah; Abdullah, Jaafar; Hashim, Uda; Hajian, Reza

    2014-01-01

    This work describes the incorporation of SiNWs/AuNPs composite as a sensing material for DNA detection on indium tin-oxide (ITO) coated glass slide. The morphology of SiNWs/AuNPs composite as the modifier layer on ITO was studied by scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDX). The morphological studies clearly showed that SiNWs were successfully decorated with 20 nm-AuNPs using self-assembly monolayer (SAM) technique. The effective surface area for SiNWs/AuNPs-modified ITO enhanced about 10 times compared with bare ITO electrode. SiNWs/AuNPs nanocomposite was further explored as a matrix for DNA probe immobilization in detection of dengue virus as a bio-sensing model to evaluate its performance in electrochemical sensors. The hybridization of complementary DNA was monitored by differential pulse voltammetry (DPV) using methylene blue (MB) as the redox indicator. The fabricated biosensor was able to discriminate significantly complementary, non-complementary and single-base mismatch oligonucleotides. The electrochemical biosensor was sensitive to target DNA related to dengue virus in the range of 9.0–178.0 ng/ml with detection limit of 3.5 ng/ml. In addition, SiNWs/AuNPs-modified ITO, regenerated up to 8 times and its stability was up to 10 weeks at 4 °C in silica gel. - Highlights: • A sensitive biosensor is presented for detection of dengue virus. • SiNWs and AuNPs used as nanocomposite layers on ITO for construction of biosensor • The detection mechanism is based on the interaction of MB with DNA bonded on AuNPs. • The reduction signal of MB decreases upon complementary hybridization

  17. ITO/InP solar cells: A comparison of devices fabricated by ion beam and RF sputtering of the ITO

    Science.gov (United States)

    Coutts, T. J.

    1987-01-01

    This work was performed with the view of elucidating the behavior of indium tin oxide/indium phosphide (ITO/InP) solar cells prepared by RF and ion beam sputtering. It was found that using RF sputter deposition of the ITO always leads to more efficient devices than ion beam sputter deposition. An important aspect of the former technique is the exposure of the single crystal p-InP substrates to a very low plasma power prior to deposition. Substrates treated in this manner have also been used for ion beam deposition of ITO. In this case the cells behave very similarly to the RF deposited cells, thus suggesting that the lower power plasma exposure (LPPE) is the crucial process step.

  18. ITO-free flexible organic solar cells with printed current collecting grids

    NARCIS (Netherlands)

    Galagan, Y.O.; Rubingh, J.E.J.M.; Andriessen, H.A.J.M.; Fan, C.C.; Blom, P.W.M.; Veenstra, S.C.; Kroon, J.M.

    2011-01-01

    The presence of a transparent conductive electrode such as indium tin oxide (ITO) limits the reliability and cost price of organic photovoltaic devices as it is brittle and expensive. Moreover, the relative high sheet resistance of an ITO electrode on flexible substrates limits the maximum width of

  19. Electrochemical characterization of organosilane-functionalized nanostructured ITO surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Pruna, R., E-mail: rpruna@el.ub.edu; Palacio, F.; López, M. [SIC, Departament d' Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain); Pérez, J. [Nanobioengineering Group, Institute for Bioengineering of Catalonia (IBEC), Baldiri Reixac 15-21, E-08028 Barcelona (Spain); Mir, M. [Nanobioengineering Group, Institute for Bioengineering of Catalonia (IBEC), Baldiri Reixac 15-21, E-08028 Barcelona (Spain); Centro de Investigación Biomédica en Red en Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN), Monforte de Lemos 3-5 Pabellón 11, E-28029 Madrid (Spain); Blázquez, O.; Hernández, S.; Garrido, B. [MIND-IN" 2UB, Departament d' Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain)

    2016-08-08

    The electroactivity of nanostructured indium tin oxide (ITO) has been investigated for its further use in applications such as sensing biological compounds by the analysis of redox active molecules. ITO films were fabricated by using electron beam evaporation at different substrate temperatures and subsequently annealed for promoting their crystallization. The morphology of the deposited material was monitored by scanning electron microscopy, confirming the deposition of either thin films or nanowires, depending on the substrate temperature. Electrochemical surface characterization revealed a 45 % increase in the electroactive surface area of nanostructured ITO with respect to thin films, one third lower than the geometrical surface area variation determined by atomic force microscopy. ITO surfaces were functionalized with a model organic molecule known as 6-(ferrocenyl)hexanethiol. The chemical attachment was done by means of a glycidoxy compound containing a reactive epoxy group, the so-called 3-glycidoxypropyltrimethoxy-silane. ITO functionalization was useful for determining the benefits of nanostructuration on the surface coverage of active molecules. Compared to ITO thin films, an increase in the total peak height of 140 % was observed for as-deposited nanostructured electrodes, whereas the same measurement for annealed electrodes resulted in an increase of more than 400 %. These preliminary results demonstrate the ability of nanostructured ITO to increase the surface-to-volume ratio, conductivity and surface area functionalization, features that highly benefit the performance of biosensors.

  20. Electrochemical characterization of organosilane-functionalized nanostructured ITO surfaces

    International Nuclear Information System (INIS)

    Pruna, R.; Palacio, F.; López, M.; Pérez, J.; Mir, M.; 2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (MIND-IN2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" >Blázquez, O.; 2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (MIND-IN2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" >Hernández, S.; 2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (MIND-IN2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" >Garrido, B.

    2016-01-01

    The electroactivity of nanostructured indium tin oxide (ITO) has been investigated for its further use in applications such as sensing biological compounds by the analysis of redox active molecules. ITO films were fabricated by using electron beam evaporation at different substrate temperatures and subsequently annealed for promoting their crystallization. The morphology of the deposited material was monitored by scanning electron microscopy, confirming the deposition of either thin films or nanowires, depending on the substrate temperature. Electrochemical surface characterization revealed a 45 % increase in the electroactive surface area of nanostructured ITO with respect to thin films, one third lower than the geometrical surface area variation determined by atomic force microscopy. ITO surfaces were functionalized with a model organic molecule known as 6-(ferrocenyl)hexanethiol. The chemical attachment was done by means of a glycidoxy compound containing a reactive epoxy group, the so-called 3-glycidoxypropyltrimethoxy-silane. ITO functionalization was useful for determining the benefits of nanostructuration on the surface coverage of active molecules. Compared to ITO thin films, an increase in the total peak height of 140 % was observed for as-deposited nanostructured electrodes, whereas the same measurement for annealed electrodes resulted in an increase of more than 400 %. These preliminary results demonstrate the ability of nanostructured ITO to increase the surface-to-volume ratio, conductivity and surface area functionalization, features that highly benefit the performance of biosensors.

  1. Comparative studies of MOS-gate/oxide-passivated AlGaAs/InGaAs pHEMTs by using ozone water oxidation technique

    International Nuclear Information System (INIS)

    Lee, Ching-Sung; Hung, Chun-Tse; Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lai, Ying-Nan

    2012-01-01

    Al 0.22 Ga 0.78 As/In 0.24 Ga 0.76 As pseudomorphic high-electron-mobility transistors (pHEMTs) with metal-oxide-semiconductor (MOS)-gate structure or oxide passivation by using ozone water oxidation treatment have been comprehensively investigated. Annihilated surface states, enhanced gate insulating property and improved device gain have been achieved by the devised MOS-gate structure and oxide passivation. The present MOS-gated or oxide-passivated pHEMTs have demonstrated superior device performances, including superior breakdown, device gain, noise figure, high-frequency characteristics and power performance. Temperature-dependent device characteristics of the present designs at 300–450 K are also studied. (paper)

  2. Convenient preparation of ITO nanoparticles inks for transparent conductive thin films

    International Nuclear Information System (INIS)

    Ito, Daisuke; Masuko, Keiichiro; Weintraub, Benjamin A.; McKenzie, Lallie C.; Hutchison, James E.

    2012-01-01

    Tin-doped indium oxide (ITO) nanoparticles are useful precursors to transparent electrodes in a variety of technologically important applications. We synthesized ITO nanoparticles from indium and tin acetylacetonates in oleyl alcohol using a novel temperature ramp profile. The monodispersed ITO nanoparticles have an average diameter of 8.6 nm and form dense, flat films by simple spin coating. The thickness of the film can be controlled by varying the number of additional depositions. The resulting ITO film is transparent and has a resistivity of 7 × 10 −3 Ω cm after sintering at 300 °C. Using a suitable solvent, it is possible to coat high-aspect-ratio structures with ITO nanoparticles. This approach to ITO coatings is greener and offers a number of advantages for transparent electrodes because it is highly versatile, easily scalable, and supports low-cost manufacturing.

  3. Ultrabroadband terahertz characterization of highly doped ZnO and ITO

    DEFF Research Database (Denmark)

    Wang, Tianwu; Zalkovskij, Maksim; Iwaszczuk, Krzysztof

    2015-01-01

    The broadband complex conductivities of transparent conducting oxides (TCO), namely, aluminum-doped zinc oxide (AZO), gallium-doped zinc oxide (GZO) and tin-doped indium oxide (ITO), were investigated by using THz-TDS from 0.5 to 18 THz. The complex conductivities were accurately calculated using...

  4. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    International Nuclear Information System (INIS)

    Ding Xingwei; Yan Jinliang; Li Ting; Zhang Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO 2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO 2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO 2 /ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO 2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  5. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    Science.gov (United States)

    Ding, Xingwei; Yan, Jinliang; Li, Ting; Zhang, Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO2/ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  6. Photoinduced absorption of Ag nanoparticles deposited on ITO substrate

    Energy Technology Data Exchange (ETDEWEB)

    Ozga, K., E-mail: cate.ozga@wp.pl [Chair of Public Health, Czestochowa University of Technology, Al. Armii Krajowej 36B, 42-200 Czestochowa (Poland); Oyama, M. [Department of Material Chemisrty, Graduate School of Engineering, Kyoto University, Nishikyo-ku, Kyoto 615-8520 (Japan); Szota, M. [Institute of Materials Science and Engineering, Technical University of Czestochowa, al. Armii Krajowej 19, 42-200 Czestochowa (Poland); Nabialek, M. [Institute of Physics, Czestochowa University of Technology, Al. Armii Krajowej 19, 42-200 Czestochowa (Poland); Kityk, I.V. [Electrical Engineering Department, Czestochowa University of Technology, Al. Armii Krajowej 17/19, 42-200 Czestochowa (Poland); Slezak, A. [Chair of Public Health, Czestochowa University of Technology, Al. Armii Krajowej 36B, 42-200 Czestochowa (Poland); Umar, A.A. [Institute of Micronegineering and Nanoelectronics Universiti Kebangsaan Malaysia 43600 UKM bangi, Selangor D.E. (Malaysia); Nouneh, K. [INANOTECH, Institute of Nanomaterials and Nanotechnology, MAScIR (Moroccan Advanced Science, Innovation and Research Foundation), ENSET, Av. Armee Royale, 10100, Rabat (Morocco)

    2011-06-15

    Research highlights: > We study photoinduced absorption for two Ag NP deposited on the ITO. > The higher resistance eof the NP favors larger photoinduced changes. > Principal role is played by nanointerfaces. - Abstract: Substantial changes of absorption after illumination by 300 mW continuous wave green laser at 532 nm were observed. The effect of indium tin oxide (ITO) substrate was explored versus Ag nanoparticles (AgNPs) size, their regularity and surface plasmon resonance. The ITO substrate features play a crucial role for the formation of homogenous AgNPs. The attachments of AgNPs on ITO surface as well as their homogeneity are significantly changed under the influence of the laser treatment. We study the Ag NP deposited on the two different substrates which play a crucial role in the photoinduced absorption. The dependence of the photoinduced absorption versus the time of optical treatment is explained within a framework of the photopolarization of the particular trapping levels on the borders between the ITO substrate and the Ag NP.

  7. Band offsets in ITO/Ga2O3 heterostructures

    Science.gov (United States)

    Carey, Patrick H.; Ren, F.; Hays, David C.; Gila, B. P.; Pearton, S. J.; Jang, Soohwan; Kuramata, Akito

    2017-11-01

    The valence band offsets in rf-sputtered Indium Tin Oxide (ITO)/single crystal β-Ga2O3 (ITO/Ga2O3) heterostructures were measured with X-Ray Photoelectron Spectroscopy using the Kraut method. The bandgaps of the component materials in the heterostructure were determined by Reflection Electron Energy Loss Spectroscopy as 4.6 eV for Ga2O3 and 3.5 eV for ITO. The valence band offset was determined to be -0.78 ± 0.30 eV, while the conduction band offset was determined to be -0.32 ± 0.13 eV. The ITO/Ga2O3 system has a nested gap (type I) alignment. The use of a thin layer of ITO between a metal and the Ga2O3 is an attractive approach for reducing contact resistance on Ga2O3-based power electronic devices and solar-blind photodetectors.

  8. Re-crystallization of ITO films after carbon irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad, E-mail: usmanm@ncp.edu.pk [Experimental Physics Laboratories, National Centre for Physics, Shahdara Valley Road, Quaid-i-Azam University, Islamabad (Pakistan); Khan, Shahid, E-mail: shahidkhan@zju.edu.cn [State Key Laboratory of Silicon Materials, School of Materials Science and Engineering, Zhejiang University, Hangzhou 310027 (China); Khan, Majid [Department of Physics, Quaid-i-Azam University, Islamabad (Pakistan); Abbas, Turab Ali [Experimental Physics Laboratories, National Centre for Physics, Shahdara Valley Road, Quaid-i-Azam University, Islamabad (Pakistan)

    2017-01-15

    Highlights: • Carbon irradiation on ITO destroys crystal structure until threshold ion fluence. • Carbon irradiation induced amorphization in ITO is recoverable at higher fluence. • Optical transmittance is reduced after carbon irradiation. • Electrical resistivity is increased after irradiation with carbon ions in ITO. • Bandgap is reduced with increasing fluence of carbon irradiation. - Abstract: 2.0 MeV carbon ion irradiation effects on Indium Tin Oxide (ITO) thin films on glass substrate are investigated. The films are irradiated with carbon ions in the fluence range of 1 × 10{sup 13} to 1 × 10{sup 15} ions/cm{sup 2}. The irradiation induced effects in ITO are compared before and after ion bombardment by systematic study of structural, optical and electrical properties of the films. The XRD results show polycrystalline nature of un-irradiated ITO films which turns to amorphous state after 1 × 10{sup 13} ions/cm{sup 2} fluence of carbon ions. Further increase in ion fluence to 1 × 10{sup 14} ions/cm{sup 2} re-crystallizes the structure and retains for even higher fluences. A gradual decrease in the electrical conductivity and transmittance of irradiated samples is observed with increasing ion fluence. The band gap of the films is observed to be decreased after carbon irradiation.

  9. Re-crystallization of ITO films after carbon irradiation

    International Nuclear Information System (INIS)

    Usman, Muhammad; Khan, Shahid; Khan, Majid; Abbas, Turab Ali

    2017-01-01

    Highlights: • Carbon irradiation on ITO destroys crystal structure until threshold ion fluence. • Carbon irradiation induced amorphization in ITO is recoverable at higher fluence. • Optical transmittance is reduced after carbon irradiation. • Electrical resistivity is increased after irradiation with carbon ions in ITO. • Bandgap is reduced with increasing fluence of carbon irradiation. - Abstract: 2.0 MeV carbon ion irradiation effects on Indium Tin Oxide (ITO) thin films on glass substrate are investigated. The films are irradiated with carbon ions in the fluence range of 1 × 10"1"3 to 1 × 10"1"5 ions/cm"2. The irradiation induced effects in ITO are compared before and after ion bombardment by systematic study of structural, optical and electrical properties of the films. The XRD results show polycrystalline nature of un-irradiated ITO films which turns to amorphous state after 1 × 10"1"3 ions/cm"2 fluence of carbon ions. Further increase in ion fluence to 1 × 10"1"4 ions/cm"2 re-crystallizes the structure and retains for even higher fluences. A gradual decrease in the electrical conductivity and transmittance of irradiated samples is observed with increasing ion fluence. The band gap of the films is observed to be decreased after carbon irradiation.

  10. Enhanced optical and electrical properties of Ni inserted ITO/Ni/AZO tri-layer structure for photoelectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, M. Melvin David; Kim, Hyunki [Department of Electrical Engineering, Incheon National University, Incheon 406772 (Korea, Republic of); Park, Yun Chang [Measurement and Analysis Division, National Nanofab Center (NNFC), Daejeon 305-806 (Korea, Republic of); Kim, Joondong, E-mail: joonkim@incheon.ac.kr [Department of Electrical Engineering, Incheon National University, Incheon 406772 (Korea, Republic of)

    2015-05-15

    Highlights: • Ni-embedding transparent conductor effectively reduces the resistivity. • Ni insertion improves the carrier mobility and collection efficiencies. • ITO/Ni/AZO is effective to improve photo-responses compared to ITO/AZO. - Abstract: A thin Ni layer of 5 nm thickness was deposited in between indium-tin-oxide (ITO) and aluminum-doped-zinc oxide (AZO) layers of 50 nm thickness each. The Ni inserting tri-layer structure (ITO/Ni/AZO) showed lower resistivity of 5.51 × 10{sup −4} Ωcm which is nearly 20 times lesser than 97.9 × 10{sup −4} Ωcm of bilayer structure (ITO/AZO). A thin Ni layer in between ITO and AZO enhanced the carrier concentration, mobility and photoresponse behaviors so that figure of merit (FOM) value of ITO/Ni/AZO device was greater than that of ITO/AZO device. ITO/Ni/AZO structure showed improved quantum efficiencies over a broad range of wavelengths (∼350–950 nm) compared to that of ITO/AZO bilayer structure, resulting in enhanced photoresponses. These results show that the optical, electrical and photoresponse properties of ITO/AZO structure could be enhanced by inserting Ni layer of 5 nm thickness in between ITO and AZO layers.

  11. Comparison study of transparent RF-sputtered ITO/AZO and ITO/ZnO bilayers for near UV-OLED applications

    Science.gov (United States)

    Rezaie, Mahdiyar Nouri; Manavizadeh, Negin; Abadi, Ehsan Mohammadi Nasr; Nadimi, Ebrahim; Boroumand, Farhad Akbari

    2017-01-01

    Hybrid inorganic/organic light-emitting diodes have attracted much attention in the field of luminescent electronics due to the desired incorporation of high optoelectronic features of inorganic materials with the processability and variety of organic polymers. To generate and emit a near ultraviolet (N-UV) ray, wide band gap semiconductors can be applied in the organic light-emitting diodes (OLEDs). In this paper, zinc oxide (ZnO) and aluminum-doped ZnO (AZO) thin films are deposited by radio frequency (RF) sputtering above the ITO electrode and poly [2-methoxy-5-(2-ethyl-hexyloxy)-1,4-phenylene-vinylene] (MEH-PPV) conjugated polymer is utilized as a complementary p-type semiconductor in OLED structure. The impact of ZnO and AZO thickness on the structural, electrical, optical and morphological properties of ITO/AZO and ITO/ZnO bilayers are scrutinized and compared. Results show that with the enlargement of both ZnO and AZO film thickness, the physical properties are gradually improved resulting in the better quality of transparent conducting thin film. The average electrical resistivity of 8.4 × 10-4 and 1.1 × 10-3 Ω-cm, average sheet resistance of 32.9 and 42.3 Ω/sq, average transmittance of 88.3 and 87.3% and average FOM of 1.0 × 104 and 7.4 × 103 (Ω-cm)-1 are obtained for ITO/AZO and ITO/ZnO bilayers, respectively. Moreover, comparing the results indicates that the strain and the stress within the ITO/AZO bilayer are decreased nearly 19% with respect to ITO/ZnO bilayer which yield higher quality of crystal. Consequently, the physical properties of ITO/AZO bilayer is found to be superior regarding ITO/ZnO bilayer. For fabricated UV-OLEDs, the turn-on voltages, the characteristic energy (Et) and the total concentration of traps (Nt) for the devices with the structures of ITO/ZnO/MEH-PPV/Al and ITO/AZO/MEH-PPV/Al are obtained 12 and 14 V, 0.108 and 0.191 eV, 9.33 × 1016 and 5.22 × 1016 cm-3, respectively. Furthermore, according to the electroluminescence

  12. Fast anodization fabrication of AAO and barrier perforation process on ITO glass

    Science.gov (United States)

    Liu, Sida; Xiong, Zuzhou; Zhu, Changqing; Li, Ma; Zheng, Maojun; Shen, Wenzhong

    2014-04-01

    Thin films of porous anodic aluminum oxide (AAO) on tin-doped indium oxide (ITO) substrates were fabricated through evaporation of a 1,000- to 2,000-nm-thick Al, followed by anodization with different durations, electrolytes, and pore widening. A faster method to obtain AAO on ITO substrates has been developed, which with 2.5 vol.% phosphoric acid at a voltage of 195 V at 269 K. It was found that the height of AAO films increased initially and then decreased with the increase of the anodizing time. Especially, the barrier layers can be removed by extending the anodizing duration, which is very useful for obtaining perforation AAO and will broaden the application of AAO on ITO substrates.

  13. High performance top-gated indium–zinc–oxide thin film transistors with in-situ formed HfO{sub 2} gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)

    2016-09-01

    We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.

  14. Degradation of ultra-thin gate oxide LDD NMOSFET under GIDL stress

    International Nuclear Information System (INIS)

    Hu Shigang; Hao Yue; Cao Yanrong; Ma Xiaohua; Wu Xiaofeng; Chen Chi; Zhou Qingjun

    2009-01-01

    The degradation of device under GIDL (gate-induced drain leakage current) stress has been studied using LDD NMOSFETs with 1.4 nm gate oxides. Experimental result shows that the degradation of device parameters depends more strongly on V d than on V g . The characteristics of the GIDL current are used to analyze the damage generated during the stress. It is clearly found that the change of GIDL current before and after stress can be divided into two stages. The trapping of holes in the oxide is dominant in the first stage, but that of electrons in the oxide is dominant in the second stage. It is due to the common effects of edge direct tunneling and band-to-band tunneling. SILC (stress induced leakage current) in the NMOSFET decreases with increasing stress time under GIDL stress. The degradation characteristic of SILC also shows saturating time dependence. SILC is strongly dependent on the measured gate voltage. The higher the measured gate voltage, the less serious the degradation of the gate current. A likely mechanism is presented to explain the origin of SILC during GIDL stress.

  15. Scaling up ITO-Free solar cells

    NARCIS (Netherlands)

    Galagan, Y.O.; Coenen, E.W.C.; Zimmermann, B.; Slooff, L.H.; Verhees, W.J.H.; Veenstra, S.C.; Kroon, J.M.; Jørgensen, M.; Krebs, F.C.; Andriessen, H.A.J.M.

    2014-01-01

    Indium-tin-oxide-free (ITO-free) polymer solar cells with composite electrodes containing current-collecting grids and a semitransparent poly(3,4-ethylenedioxythiophene):polystyrenesulfonate) (PEDOT:PSS) conductor are demonstrated. The up-scaling of the length of the solar cell from 1 to 6 cm and

  16. Preparation of ZnO nanorods on conductive PET-ITO-Ag fibers

    Science.gov (United States)

    Li, Yiwen; Ji, Shuai; Chen, Yuanyu; Zhang, Hong; Gong, Yumei; Guo, Jing

    2016-12-01

    We studied the vertical ZnO nanorods grown on conductive conventional polyethylene terephthalate (PET) fibers which are prepared by electroless silver depositing on tin-doped indium oxide (ITO) coated PET fibers through an efficient and low-cost green approach. The PET fibers were firstly functionalized with a layer of ITO gel synthesized through a sol-gel process at rather low temperature, simply by immersing the fibers into ITO sol for several minutes followed by gelation at 120 °C. Once the ITO gel layer surface was activated by SnCl2, a continuous, uniform, and compact layer of silver was carried out on the surface of the PET-ITO fibers through electroless plating operation at room temperature. The as-prepared PET-ITO-Ag fibers had good electrical conductivity, with surface resistivity as low as 0.23 mΩ cm. The overall procedure is simple, efficient, nontoxic, and controllable. The conductive PET-ITO-Ag fiber was used successfully as a flexible basal material to plant vertical ZnO nanorods through controlling the seeding and growth processes. The morphology of the PET-ITO, PET-ITO-Ag, and PET-ITO-Ag-ZnO fibers were observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Undergone the whole process, although the tensile strength of the fiber decreased slightly, they may still exert their applications in flexible electronic such as photovoltaic and piezoelectric devices.

  17. Photoinduced absorption of Ag nanoparticles deposited on ITO substrate

    International Nuclear Information System (INIS)

    Ozga, K.; Oyama, M.; Szota, M.; Nabialek, M.; Kityk, I.V.; Slezak, A.; Umar, A.A.; Nouneh, K.

    2011-01-01

    Research highlights: → We study photoinduced absorption for two Ag NP deposited on the ITO. → The higher resistance eof the NP favors larger photoinduced changes. → Principal role is played by nanointerfaces. - Abstract: Substantial changes of absorption after illumination by 300 mW continuous wave green laser at 532 nm were observed. The effect of indium tin oxide (ITO) substrate was explored versus Ag nanoparticles (AgNPs) size, their regularity and surface plasmon resonance. The ITO substrate features play a crucial role for the formation of homogenous AgNPs. The attachments of AgNPs on ITO surface as well as their homogeneity are significantly changed under the influence of the laser treatment. We study the Ag NP deposited on the two different substrates which play a crucial role in the photoinduced absorption. The dependence of the photoinduced absorption versus the time of optical treatment is explained within a framework of the photopolarization of the particular trapping levels on the borders between the ITO substrate and the Ag NP.

  18. Effect of N,C-ITO on Composite N,C-Ti/N,C-ITO/ITO Electrode Used for Photoelectrochemical Degradation of Aqueous Pollutant with Simultaneous Hydrogen Production

    Directory of Open Access Journals (Sweden)

    Kee-Rong Wu

    2012-01-01

    Full Text Available This study reports the effect of N,C-ITO (indium tin oxide layer on composite N,C-TiO2/N,C-ITO/ITO (Ti/TO electrode used for efficient photoelectrocatalytic (PEC degradation of aqueous pollutant with simultaneous hydrogen production. The structural properties of the composite Ti/TO electrode that determined by X-ray diffraction and Raman scattering, show primarily the crystallized anatase TiO2 phase and distinct diffraction patterns of polycrystalline In2O3 phase. Under solar light illumination, the composite Ti/TO electrode yields simultaneously a hydrogen production rate of 12.0 μmol cm−2 h−1 and degradation rate constant of  cm−2 h−1 in organic pollutant. It implies that the overlaid N,C-TiO2 layer enhances not only the photocurrent response of the composite Ti/TO electrode at entire applied potentials, but also the flat band potential; a shift of about 0.1 V toward cathode, which is desperately beneficial in the PEC process. In light of the X-ray photoelectron spectroscopy findings, these results are attributable partly to the synergetic effect of N,C-codoping into the TiO2 and ITO lattices on their band gap narrowing and photosensitizing as well. Thus, the Ti/TO electrode can potentially serve an efficient PEC electrode for simultaneous pollutant degradation and hydrogen production.

  19. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  20. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  1. Study of narrow and intense UV electroluminescence from ITO/SRO/Si-p and ITO/SRN/SRO/Si-p based light emitting capacitors

    International Nuclear Information System (INIS)

    Cabañas-Tay, S.A.; Palacios-Huerta, L.; Aceves-Mijares, M.; Coyopol, A.; Morales-Morales, F.; Pérez-García, S.A.; Licea-Jiménez, L.; Domínguez-Horna, C.; Monfil-Leyva, K.; Morales-Sánchez, A.

    2017-01-01

    In this work, multiple narrow and highly intense ultraviolet (UV) electroluminescent (EL) bands were observed in light emitting capacitors (LECs) using silicon rich oxide (SRO) films as active layer. Besides, the effect of a thin silicon rich nitride (SRN) film on top of the SRO (as SRN/SRO bilayer) layer was also studied. LECs were fabricated using simple metal–insulator–semiconductor (MIS) structures with indium tin oxide (ITO) and aluminum as gate and substrate electrodes, respectively. SRO and SRN films contain 41.85±1.1 and 46.96±1.1 at% of silicon, respectively. Both structures exhibited a resistance switching (RS) behavior from a high conduction state (HCS) to a low conduction state (LCS), enhancing an intense UV EL. This RS behavior produces structural changes in the active layer and probably in the ITO contact. Seven narrow bands with half-peak width of 7±0.6 nm at ~250, 270, 285, 305, 325, 415 and 450 nm were clearly observed once the LCS was reached. These bands could be related to a combination of emissions through defects inside SRO (252, 288.2 and 415 nm), and characteristic radiation of neutral tin (252.39 and 286.33 nm), neutral indium (271.02, 303.93 and 325.85 nm), single (444.82 nm) and doubly ionized indium (403.07 nm). Furthermore, red EL was observed at the HCS and it was similar to the PL spectra indicating the same radiative process involved. The charge transport is improved when the SRN/SRO bilayer is used as active layer in the LEC. An EL band at ~590 nm is observed when the SRN/SRO bilayer is formed at both conduction states. This band has been observed before and attributed to transitions from the minimum conduction band to K° centers in SRN films. The conduction mechanism responsible of the EL at both conduction states was also studied.

  2. Study of narrow and intense UV electroluminescence from ITO/SRO/Si-p and ITO/SRN/SRO/Si-p based light emitting capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Cabañas-Tay, S.A., E-mail: scabanastay@hotmail.com [Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, 66600, Apodaca, Nuevo León (Mexico); Palacios-Huerta, L.; Aceves-Mijares, M. [INAOE, Electronics Department, Apartado 51, Puebla 72000 (Mexico); Coyopol, A. [CIDS-BUAP, Apdo. 1651, Puebla Pue 72000 (Mexico); Morales-Morales, F.; Pérez-García, S.A.; Licea-Jiménez, L. [Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, 66600, Apodaca, Nuevo León (Mexico); Domínguez-Horna, C. [Instituto de Microelectrónica de Barcelona (IMB-CNM, CSIC), Bellaterra, 08103, Barcelona (Spain); Monfil-Leyva, K. [CIDS-BUAP, Apdo. 1651, Puebla Pue 72000 (Mexico); Morales-Sánchez, A., E-mail: alfredo.morales@cimav.edu.mx [Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, 66600, Apodaca, Nuevo León (Mexico)

    2017-03-15

    In this work, multiple narrow and highly intense ultraviolet (UV) electroluminescent (EL) bands were observed in light emitting capacitors (LECs) using silicon rich oxide (SRO) films as active layer. Besides, the effect of a thin silicon rich nitride (SRN) film on top of the SRO (as SRN/SRO bilayer) layer was also studied. LECs were fabricated using simple metal–insulator–semiconductor (MIS) structures with indium tin oxide (ITO) and aluminum as gate and substrate electrodes, respectively. SRO and SRN films contain 41.85±1.1 and 46.96±1.1 at% of silicon, respectively. Both structures exhibited a resistance switching (RS) behavior from a high conduction state (HCS) to a low conduction state (LCS), enhancing an intense UV EL. This RS behavior produces structural changes in the active layer and probably in the ITO contact. Seven narrow bands with half-peak width of 7±0.6 nm at ~250, 270, 285, 305, 325, 415 and 450 nm were clearly observed once the LCS was reached. These bands could be related to a combination of emissions through defects inside SRO (252, 288.2 and 415 nm), and characteristic radiation of neutral tin (252.39 and 286.33 nm), neutral indium (271.02, 303.93 and 325.85 nm), single (444.82 nm) and doubly ionized indium (403.07 nm). Furthermore, red EL was observed at the HCS and it was similar to the PL spectra indicating the same radiative process involved. The charge transport is improved when the SRN/SRO bilayer is used as active layer in the LEC. An EL band at ~590 nm is observed when the SRN/SRO bilayer is formed at both conduction states. This band has been observed before and attributed to transitions from the minimum conduction band to K° centers in SRN films. The conduction mechanism responsible of the EL at both conduction states was also studied.

  3. Fabrication of PEDOT-OTS-patterned ITO substrates

    NARCIS (Netherlands)

    Herzer, N.; Wienk, M.M.; Schmit, P.; Spoelstra, A.B.; Hendriks, C.E.; Oosterhout, S.D.; Höppener, S.; Schubert, U.S.

    2010-01-01

    The fabrication of a poly(3,4-ethylenedioxythiophene) (PEDOT) pattern is demonstrated. As template, an n-octadecyltrichlorosilane (OTS) monolayer self-assembled on indium tin oxide (ITO) was structured by UV–ozone photolithography, resulting in an ITO–OTS patterned surface. The conducting properties

  4. Structural and optical properties of ITO and Cu doped ITO thin films

    Science.gov (United States)

    Chakraborty, Deepannita; Kaleemulla, S.; Rao, N. Madhusudhana; Subbaravamma, K.; Rao, G. Venugopal

    2018-04-01

    (In0.95Sn0.05)2O3 and (In0.90Cu0.05Sn0.05)2O3 thin films were coated onto glass substrate by electron beam evaporation technique. The structural and optical properties of ITO and Cu doped ITO thin films have been studied by X-ray diffractometer (XRD) and UV-Vis-NIR spectrophotometer. The crystallite size obtained for ITO and Cu doped ITO thin films was in the range of 24 nm to 22 nm. The optical band gap of 4 eV for ITO thin film sample has been observed. The optical band gap decreases to 3.85 eV by doping Cu in ITO.

  5. Analyzing nitrogen concentration using carrier illumination (CI) technology for DPN ultra-thin gate oxide

    International Nuclear Information System (INIS)

    Li, W.S.; Wu, Bill; Fan, Aki; Kuo, C.W.; Segovia, M.; Kek, H.A.

    2005-01-01

    Nitrogen concentration in the gate oxide plays a key role for 90 nm and below ULSI technology. Techniques like secondary ionization mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS) are commonly used for understanding N concentration. This paper describes the application of the carrier illuminationTM (CI) technique to measure the nitrogen concentration in ultra-thin gate oxides. A set of ultra-thin gate oxide wafers with different DPN (decoupled plasma nitridation) treatment conditions were measured using the CI technique. The CI signal has excellent correlation with the N concentration as measured by XPS

  6. Effect of gate dielectrics on the performance of p-type Cu2O TFTs processed at room temperature

    KAUST Repository

    Al-Jawhari, Hala A.

    2013-12-01

    Single-phase Cu2O films with p-type semiconducting properties were successfully deposited by reactive DC magnetron sputtering at room temperature followed by post annealing process at 200°C. Subsequently, such films were used to fabricate bottom gate p-channel Cu2O thin film transistors (TFTs). The effect of using high-κ SrTiO3 (STO) as a gate dielectric on the Cu2O TFT performance was investigated. The results were then compared to our baseline process which uses a 220 nm aluminum titanium oxide (ATO) dielectric deposited on a glass substrate coated with a 200 nm indium tin oxide (ITO) gate electrode. We found that with a 150 nm thick STO, the Cu2O TFTs exhibited a p-type behavior with a field-effect mobility of 0.54 cm2.V-1.s-1, an on/off ratio of around 44, threshold voltage equaling -0.62 V and a sub threshold swing of 1.64 V/dec. These values were obtained at a low operating voltage of -2V. The advantages of using STO as a gate dielectric relative to ATO are discussed. © (2014) Trans Tech Publications, Switzerland.

  7. Effect of modified ITO substrate on electrochromic properties of polyaniline films

    Energy Technology Data Exchange (ETDEWEB)

    Leon-Silva, U.; Nicho, M.E.; Cruz-Silva, Rodolfo [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEMor, Av. Universidad 1001, Col. Chamilpa, 62209, Cuernavaca, Morelos (Mexico); Hu, Hailin [Departamento de Materiales Solares, Centro de Investigacion en Energia, UNAM, Av. Xochicalco S/N, Temixco, 62580, Morelos (Mexico)

    2007-09-22

    In this work, we report the morphological and electrochromic properties of electrochemically synthesized polyaniline (PANI) thin films on bare and modified indium-tin oxide (ITO) glass substrates. In the last case, the surface of ITO glass was covered by a self-assembled monolayer of N-phenyl-{gamma}-aminopropyl-trimethoxysilane (PAPTS). Atomic force microscopy images and perfilometry show that smoother and thinner PANI films were grown on PAPTS-modified ITO substrates. PANI-based electrochromic devices (ECDs) were assembled by using a viscous polymeric electrolyte (PE) of LiClO{sub 4} and polymethyl methacrylate (PMMA) co-dissolved in a mixture of propylene and ethylene carbonate. The architectural design of the devices was glass/ITO/PANI/PE/ITO/glass. A dual ECD was also prepared by collocating a poly(3-methylthiophene) (P3MT) thin film as a complementary electrochromic element. The effect of the PAPTS-modified ITO substrate is reflected in a higher optical transmittance at bleach state and a little less color change at 550 nm of PANI-based ECDs. (author)

  8. Involvement of flocculin in negative potential-applied ITO electrode adhesion of yeast cells

    Science.gov (United States)

    Koyama, Sumihiro; Tsubouchi, Taishi; Usui, Keiko; Uematsu, Katsuyuki; Tame, Akihiro; Nogi, Yuichi; Ohta, Yukari; Hatada, Yuji; Kato, Chiaki; Miwa, Tetsuya; Toyofuku, Takashi; Nagahama, Takehiko; Konishi, Masaaki; Nagano, Yuriko; Abe, Fumiyoshi

    2015-01-01

    The purpose of this study was to develop novel methods for attachment and cultivation of specifically positioned single yeast cells on a microelectrode surface with the application of a weak electrical potential. Saccharomyces cerevisiae diploid strains attached to an indium tin oxide/glass (ITO) electrode to which a negative potential between −0.2 and −0.4 V vs. Ag/AgCl was applied, while they did not adhere to a gallium-doped zinc oxide/glass electrode surface. The yeast cells attached to the negative potential-applied ITO electrodes showed normal cell proliferation. We found that the flocculin FLO10 gene-disrupted diploid BY4743 mutant strain (flo10Δ /flo10Δ) almost completely lost the ability to adhere to the negative potential-applied ITO electrode. Our results indicate that the mechanisms of diploid BY4743 S. cerevisiae adhesion involve interaction between the negative potential-applied ITO electrode and the Flo10 protein on the cell wall surface. A combination of micropatterning techniques of living single yeast cell on the ITO electrode and omics technologies holds potential of novel, highly parallelized, microchip-based single-cell analysis that will contribute to new screening concepts and applications. PMID:26187908

  9. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  10. Preparation of ZnO nanorods on conductive PET-ITO-Ag fibers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yiwen; Ji, Shuai; Chen, Yuanyu; Zhang, Hong; Gong, Yumei, E-mail: ymgong@dlpu.edu.cn; Guo, Jing, E-mail: guojing8161@163.com

    2016-12-01

    Highlights: • Polymeric PET fibers were conductive modified by ITO and the subsequent Ag coating. The conductive PET-ITO-Ag fiber has the surface resistivity as low as 0.23 mΩ cm. The PET-ITO-Ag fiber was used as a basal material to plant vertical ZnO nanorods. - Abstract: We studied the vertical ZnO nanorods grown on conductive conventional polyethylene terephthalate (PET) fibers which are prepared by electroless silver depositing on tin-doped indium oxide (ITO) coated PET fibers through an efficient and low-cost green approach. The PET fibers were firstly functionalized with a layer of ITO gel synthesized through a sol–gel process at rather low temperature, simply by immersing the fibers into ITO sol for several minutes followed by gelation at 120 °C. Once the ITO gel layer surface was activated by SnCl{sub 2}, a continuous, uniform, and compact layer of silver was carried out on the surface of the PET-ITO fibers through electroless plating operation at room temperature. The as-prepared PET-ITO-Ag fibers had good electrical conductivity, with surface resistivity as low as 0.23 mΩ cm. The overall procedure is simple, efficient, nontoxic, and controllable. The conductive PET-ITO-Ag fiber was used successfully as a flexible basal material to plant vertical ZnO nanorods through controlling the seeding and growth processes. The morphology of the PET-ITO, PET-ITO-Ag, and PET-ITO-Ag-ZnO fibers were observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Undergone the whole process, although the tensile strength of the fiber decreased slightly, they may still exert their applications in flexible electronic such as photovoltaic and piezoelectric devices.

  11. Preparation of ZnO nanorods on conductive PET-ITO-Ag fibers

    International Nuclear Information System (INIS)

    Li, Yiwen; Ji, Shuai; Chen, Yuanyu; Zhang, Hong; Gong, Yumei; Guo, Jing

    2016-01-01

    Highlights: • Polymeric PET fibers were conductive modified by ITO and the subsequent Ag coating. The conductive PET-ITO-Ag fiber has the surface resistivity as low as 0.23 mΩ cm. The PET-ITO-Ag fiber was used as a basal material to plant vertical ZnO nanorods. - Abstract: We studied the vertical ZnO nanorods grown on conductive conventional polyethylene terephthalate (PET) fibers which are prepared by electroless silver depositing on tin-doped indium oxide (ITO) coated PET fibers through an efficient and low-cost green approach. The PET fibers were firstly functionalized with a layer of ITO gel synthesized through a sol–gel process at rather low temperature, simply by immersing the fibers into ITO sol for several minutes followed by gelation at 120 °C. Once the ITO gel layer surface was activated by SnCl 2 , a continuous, uniform, and compact layer of silver was carried out on the surface of the PET-ITO fibers through electroless plating operation at room temperature. The as-prepared PET-ITO-Ag fibers had good electrical conductivity, with surface resistivity as low as 0.23 mΩ cm. The overall procedure is simple, efficient, nontoxic, and controllable. The conductive PET-ITO-Ag fiber was used successfully as a flexible basal material to plant vertical ZnO nanorods through controlling the seeding and growth processes. The morphology of the PET-ITO, PET-ITO-Ag, and PET-ITO-Ag-ZnO fibers were observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Undergone the whole process, although the tensile strength of the fiber decreased slightly, they may still exert their applications in flexible electronic such as photovoltaic and piezoelectric devices.

  12. Investigation on surface, electrical and optical properties of ITO-Ag-ITO coated glass

    International Nuclear Information System (INIS)

    Aslan Necdet; Sen, Tuba; Coruhlu Turgay; Senturk Kenan; Keskin Sinan; Seker Sedat; Dobrovolskiy Andrey

    2015-01-01

    The aim of this work was to study the optical and electrical properties of thick ITO-Ag-ITO multilayer coating onto glass. ITO-Ag-ITO coatings with thickness of ITO layers 110 nm, 185 nm and intermediate Ag layer thickness 40 nm were prepared by magnetron sputtering. The optical, electrical and atomic properties of the coating were examined by scanning electron microscope, atomic force microscope, X-ray diffraction analysis and ultraviolet-visible spectroscopy

  13. High-performance all-printed amorphous oxide FETs and logics with electronically compatible electrode/ channel interface.

    Science.gov (United States)

    Sharma, Bhupendra Kumar; Stoesser, Anna; Mondal, Sandeep Kumar; Garlapati, Suresh K; Fawey, Mohammed H; Chakravadhanula, Venkata Sai Kiran; Kruk, Robert; Hahn, Horst; Dasgupta, Subho

    2018-06-12

    Oxide semiconductors typically show superior device performance compared to amorphous silicon or organic counterparts, especially, when they are physical vapor deposited. However, it is not easy to reproduce identical device characteristics when the oxide field-effect transistors (FETs) are solution-processed/ printed; the level of complexity further intensifies with the need to print the passive elements as well. Here, we developed a protocol for designing the most electronically compatible electrode/ channel interface based on the judicious material selection. Exploiting this newly developed fabrication schemes, we are now able to demonstrate high-performance all-printed FETs and logic circuits using amorphous indium-gallium-zinc oxide (a-IGZO) semiconductor, indium tin oxide (ITO) as electrodes and composite solid polymer electrolyte as the gate insulator. Interestingly, all-printed FETs demonstrate an optimal electrical performance in terms of threshold voltages and device mobility and may very well be compared with devices fabricated using sputtered ITO electrodes. This observation originates from the selection of electrode/ channel materials from the same transparent semiconductor oxide family, resulting in the formation of In-Sn-Zn-O (ITZO) based diffused a-IGZO/ ITO interface that controls doping density while ensuring high electrical performance. Compressive spectroscopic studies reveal that Sn doping mediated excellent band alignment of IGZO with ITO electrodes is responsible for the excellent device performance observed. All-printed n-MOS based logic circuits have also been demonstrated towards new-generation portable electronics.

  14. Three-dimensional electrodes for dye-sensitized solar cells: synthesis of indium-tin-oxide nanowire arrays and ITO/TiO2 core-shell nanowire arrays by electrophoretic deposition

    International Nuclear Information System (INIS)

    Wang, H-W; Ting, C-F; Hung, M-K; Chiou, C-H; Liu, Y-L; Liu Zongwen; Ratinac, Kyle R; Ringer, Simon P

    2009-01-01

    Dye-sensitized solar cells (DSSCs) show promise as a cheaper alternative to silicon-based photovoltaics for specialized applications, provided conversion efficiency can be maximized and production costs minimized. This study demonstrates that arrays of nanowires can be formed by wet-chemical methods for use as three-dimensional (3D) electrodes in DSSCs, thereby improving photoelectric conversion efficiency. Two approaches were employed to create the arrays of ITO (indium-tin-oxide) nanowires or arrays of ITO/TiO 2 core-shell nanowires; both methods were based on electrophoretic deposition (EPD) within a polycarbonate template. The 3D electrodes for solar cells were constructed by using a doctor-blade for coating TiO 2 layers onto the ITO or ITO/TiO 2 nanowire arrays. A photoelectric conversion efficiency as high as 4.3% was achieved in the DSSCs made from ITO nanowires; this performance was better than that of ITO/TiO 2 core-shell nanowires or pristine TiO 2 films. Cyclic voltammetry confirmed that the reaction current was significantly enhanced when a 3D ITO-nanowire electrode was used. Better separation of charge carriers and improved charge transport, due to the enlarged interfacial area, are thought to be the major advantages of using 3D nanowire electrodes for the optimization of DSSCs.

  15. Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Yi Zhao

    2012-08-01

    Full Text Available High permittivity (k gate dielectric films are widely studied to substitute SiO2 as gate oxides to suppress the unacceptable gate leakage current when the traditional SiO2 gate oxide becomes ultrathin. For high-k gate oxides, several material properties are dominantly important. The first one, undoubtedly, is permittivity. It has been well studied by many groups in terms of how to obtain a higher permittivity for popular high-k oxides, like HfO2 and La2O3. The second one is crystallization behavior. Although it’s still under the debate whether an amorphous film is definitely better than ploy-crystallized oxide film as a gate oxide upon considering the crystal boundaries induced leakage current, the crystallization behavior should be well understood for a high-k gate oxide because it could also, to some degree, determine the permittivity of the high-k oxide. Finally, some high-k gate oxides, especially rare earth oxides (like La2O3, are not stable in air and very hygroscopic, forming hydroxide. This topic has been well investigated in over the years and significant progresses have been achieved. In this paper, I will intensively review the most recent progresses of the experimental and theoretical studies for preparing higher-k and more stable, in terms of hygroscopic tolerance and crystallization behavior, Hf- and La-based ternary high-k gate oxides.

  16. Transparent conducting AZO and ITO films produced by pulsed laser ablation at 355 nm

    DEFF Research Database (Denmark)

    Thestrup, B.; Schou, Jørgen

    1999-01-01

    Thin films of aluminium-doped zinc oxide (AZO) and indium tin oxide (ITO) were deposited on glass substrates by laser ablation in an oxygen environment. The electrical and optical properties of films grown at various oxygen pressures were compared. With no substrate heating, highly transparent...... and conducting films were obtained with oxygen pressures between 15 and 23 mTorr for both materials. We obtained a specific resistivity of 1.8 x 10(-3) Omega cm for AZO and 1.1 x 10(-3) Omega cm for ITO. By heating the substrate to 160 degrees C or 200 degrees C, the resistivity was further reduced to 1.1 x 10......(-3) Omega cm for AZO and 3.9 x 10(-4) Omega cm for ITO. The average transmission of visible light (450-750 MI) was between 82% and 98% in most cases. The results suggest that AZO is a promising alternative to ITO....

  17. Improving Performance of CIGS Solar Cells by Annealing ITO Thin Films Electrodes

    Directory of Open Access Journals (Sweden)

    Chuan Lung Chuang

    2015-01-01

    Full Text Available Indium tin oxide (ITO thin films were grown on glass substrates by direct current (DC reactive magnetron sputtering at room temperature. Annealing at the optimal temperature can considerably improve the composition, structure, optical properties, and electrical properties of the ITO film. An ITO sample with a favorable crystalline structure was obtained by annealing in fixed oxygen/argon ratio of 0.03 at 400°C for 30 min. The carrier concentration, mobility, resistivity, band gap, transmission in the visible-light region, and transmission in the near-IR regions of the ITO sample were -1.6E+20 cm−3, 2.7E+01 cm2/Vs, 1.4E-03 Ohm-cm, 3.2 eV, 89.1%, and 94.7%, respectively. Thus, annealing improved the average transmissions (400–1200 nm of the ITO film by 16.36%. Moreover, annealing a copper-indium-gallium-diselenide (CIGS solar cell at 400°C for 30 min in air improved its efficiency by 18.75%. The characteristics of annealing ITO films importantly affect the structural, morphological, electrical, and optical properties of ITO films that are used in solar cells.

  18. ICP dry etching ITO to improve the performance of GaN-based LEDs

    International Nuclear Information System (INIS)

    Meng Lili; Chen Yixin; Ma Li; Liu Zike; Shen Guangdi

    2011-01-01

    In order to improve the light efficiency of the conventional GaN-based light-emitting diodes (LEDs), the indium tin oxide (ITO) film is introduced as the current spreading layer and the light anti-reflecting layer on the p-GaN surface. There is a big problem with the ITO thin film's corrosion during the electrode preparation. In this paper, at least, the edge of the ITO film was lateral corroded 3.5 μm width, i.e. 6.43%-1/3 of ITO film's area. An optimized simple process, i.e. inductively couple plasma (ICP), was introduced to solve this problem. The ICP process not only prevented the ITO film from lateral corrosion, but also improved the LED's light intensity and device performance. The edge of the ITO film by ICP dry etching is steep, and the areas of ITO film are whole. Compared with the chip by wet etching, the areas of light emission increase by 6.43% at least and the chip's lop values increase by 45.9% at most. (semiconductor devices)

  19. Properties of Ce-doped ITO films deposited on polymer substrate by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Kang, Y.M.; Kwon, S.H.; Choi, J.H.; Cho, Y.J.; Song, P.K.

    2010-01-01

    Ce-doped indium tin oxide (ITO:Ce) films were deposited on flexible polyimide substrates by DC magnetron sputtering using ITO targets containing various CeO 2 contents (CeO 2 : 0, 0.5, 3.0, 4.0, 6.0 wt.%) at room temperature and post-annealed at 200 o C. The crystallinity of the ITO films decreased with increasing Ce content, and it led to a decrease in surface roughness. In addition, a relatively small change in resistance in dynamic stress mode was obtained for ITO:Ce films even after the annealing at high temperature (200 o C). The minimum resistivity of the amorphous ITO:Ce films was 3.96 x 10 -4 Ωcm, which was deposited using a 3.0 wt.% CeO 2 doped ITO target. The amorphous ITO:Ce films not only have comparable electrical properties to the polycrystalline films but also have a crystallization temperature > 200 o C. In addition, the amorphous ITO:Ce film showed stable mechanical properties in the bended state.

  20. High resolution laser patterning of ITO on PET substrate

    Science.gov (United States)

    Zhang, Tao; Liu, Di; Park, Hee K.; Yu, Dong X.; Hwang, David J.

    2013-03-01

    Cost-effective laser patterning of indium tin oxide (ITO) thin film coated on flexible polyethylene terephthalate (PET) film substrate for touch panel was studied. The target scribing width was set to the order of 10 μm in order to examine issues involved with higher feature resolution. Picosecond-pulsed laser and Q-switched nanosecond-pulsed laser at the wavelength of 532nm were applied for the comparison of laser patterning in picosecond and nanosecond regimes. While relatively superior scribing quality was achieved by picosecond laser, 532 nm wavelength showed a limitation due to weaker absorption in ITO film. In order to seek for cost-effective solution for high resolution ITO scribing, nanosecond laser pulses were applied and performance of 532nm and 1064nm wavelengths were compared. 1064nm wavelength shows relatively better scribing quality due to the higher absorption ratio in ITO film, yet at noticeable substrate damage. Through single pulse based scribing experiments, we inspected that reduced pulse overlapping is preferred in order to minimize the substrate damage during line patterning.

  1. Influence of illumination and decay of electrical resistance of ITO nanoscale layers

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Erdelyi, K.; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Indium tin oxide (ITO) is known as a transparent oxide with n-type electrical conductivity. However, the as grown ITO layers have high resistivity and the transparency is also limited. In this work, thin ITO layers were deposited by evaporation and then underwent a post-growth annealing. Annealing leads to a low electrical resistivity and to an enhanced transparency. Annealed samples show n-type conductivity. In this work, ITO layers of typically 10 nm thicknesses were deposited onto Si{sub 1-x}Ti{sub x}O{sub 2} covered glass substrates and then annealed. First the conductivity was evaluated after the annealing. The rough, quick estimation was performed by simple two point direct resistance measurement, and then van der Pauw configuration and collinear four-point probe method were applied. The light sensitivity and storage time dependent stability were studied. It is demonstrated that the resistance decreases due to illumination, though only in a small extent. The measure and speed of the decrease depend on the wavelength of the light and the process is very slow (up to hours). The recovery of the starting resistance is also a slow process.

  2. Effects of high dose gamma irradiation on ITO thin film properties

    Energy Technology Data Exchange (ETDEWEB)

    Alyamani, A. [National Nanotechnology Center, King Abdul-Aziz City for Science and Technology (KACST), Riyadh (Saudi Arabia); Mustapha, N., E-mail: nazirmustapha@hotmail.com [Dept. of Physics, College of Sciences, Al Imam Mohammad Ibn Saud Islamic University, P.O. Box 90950, Riyadh 11623 (Saudi Arabia)

    2016-07-29

    Transparent thin-film Indium Tin Oxides (ITO) were prepared on 0.7 mm thick glass substrates using a pulsed laser deposition (PLD) process with average thickness of 150 nm. The samples were then exposed to high gamma γ radiation doses by {sup 60}Co radioisotope. The films have been irradiated by performing exposure cycles up to 250 kGy total doses at room temperature. The surface structures before and after irradiation were analysed by x-ray diffraction. Atomic Force Microscopy (AFM) was performed on all samples before and after irradiation to investigate any change in the grain sizes, and also in the roughness of the ITO surface. We investigated the influence of γ irradiation on the spectra of transmittance T, in the ultraviolet-visible-near infrared spectrum using spectrophotometer measurements. Energy band gap E{sub g} was then calculated from the optical spectra for all ITO films. It was found that the optical band gap values decreased as the radiation dose was increased. To compare the effect of the irradiation on refractive index n and extinction coefficient k properties, additional measurements were done on the ITO samples before and after gamma irradiation using an ellipsometer. The optical constants n and k increased by increasing the irradiation doses. Electrical properties such as resistivity and sheet resistance were measured using the four-point probe method. The good optical, electrical and morphological properties maintained by the ITO films even after being exposed to high gamma irradiation doses, made them very favourable to be used as anodes for solar cells and as protective coatings in space windows. - Highlights: • Indium Tin Oxide (ITO) thin films were deposited by pulsed laser deposition. • Effects of Gamma irradiation were investigated. • Changes of optical transmission and electrical properties of ITO films were studied. • Intensity of the diffraction peaks and the film's structure changed with increasing irradiation doses.

  3. Investigation of Rapid Low-Power Microwave-Induction Heating Scheme on the Cross-Linking Process of the Poly(4-vinylphenol) for the Gate Insulator of Pentacene-Based Thin-Film Transistors

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Wang, Shea-Jue; Hsia, Mao-Yuan; Lee, Win-Der; Huang, Bohr-Ran

    2017-01-01

    In this study, a proposed Microwave-Induction Heating (MIH) scheme has been systematically studied to acquire suitable MIH parameters including chamber pressure, microwave power and heating time. The proposed MIH means that the thin indium tin oxide (ITO) metal below the Poly(4-vinylphenol) (PVP) film is heated rapidly by microwave irradiation and the heated ITO metal gate can heat the PVP gate insulator, resulting in PVP cross-linking. It is found that the attenuation of the microwave energy decreases with the decreasing chamber pressure. The optimal conditions are a power of 50 W, a heating time of 5 min, and a chamber pressure of 20 mTorr. When suitable MIH parameters were used, the effect of PVP cross-linking and the device performance were similar to those obtained using traditional oven heating, even though the cross-linking time was significantly decreased from 1 h to 5 min. Besides the gate leakage current, the interface trap state density (Nit) was also calculated to describe the interface status between the gate insulator and the active layer. The lowest interface trap state density can be found in the device with the PVP gate insulator cross-linked by using the optimal MIH condition. Therefore, it is believed that the MIH scheme is a good candidate to cross-link the PVP gate insulator for organic thin-film transistor applications as a result of its features of rapid heating (5 min) and low-power microwave-irradiation (50 W). PMID:28773101

  4. Investigation of Rapid Low-Power Microwave-Induction Heating Scheme on the Cross-Linking Process of the Poly(4-vinylphenol for the Gate Insulator of Pentacene-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2017-07-01

    Full Text Available In this study, a proposed Microwave-Induction Heating (MIH scheme has been systematically studied to acquire suitable MIH parameters including chamber pressure, microwave power and heating time. The proposed MIH means that the thin indium tin oxide (ITO metal below the Poly(4-vinylphenol (PVP film is heated rapidly by microwave irradiation and the heated ITO metal gate can heat the PVP gate insulator, resulting in PVP cross-linking. It is found that the attenuation of the microwave energy decreases with the decreasing chamber pressure. The optimal conditions are a power of 50 W, a heating time of 5 min, and a chamber pressure of 20 mTorr. When suitable MIH parameters were used, the effect of PVP cross-linking and the device performance were similar to those obtained using traditional oven heating, even though the cross-linking time was significantly decreased from 1 h to 5 min. Besides the gate leakage current, the interface trap state density (Nit was also calculated to describe the interface status between the gate insulator and the active layer. The lowest interface trap state density can be found in the device with the PVP gate insulator cross-linked by using the optimal MIH condition. Therefore, it is believed that the MIH scheme is a good candidate to cross-link the PVP gate insulator for organic thin-film transistor applications as a result of its features of rapid heating (5 min and low-power microwave-irradiation (50 W.

  5. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    Science.gov (United States)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  6. Optical and Electrical Performance of MOS-Structure Silicon Solar Cells with Antireflective Transparent ITO and Plasmonic Indium Nanoparticles under Applied Bias Voltage.

    Science.gov (United States)

    Ho, Wen-Jeng; Sue, Ruei-Siang; Lin, Jian-Cheng; Syu, Hong-Jang; Lin, Ching-Fuh

    2016-08-10

    This paper reports impressive improvements in the optical and electrical performance of metal-oxide-semiconductor (MOS)-structure silicon solar cells through the incorporation of plasmonic indium nanoparticles (In-NPs) and an indium-tin-oxide (ITO) electrode with periodic holes (perforations) under applied bias voltage. Samples were prepared using a plain ITO electrode or perforated ITO electrode with and without In-NPs. The samples were characterized according to optical reflectance, dark current voltage, induced capacitance voltage, external quantum efficiency, and photovoltaic current voltage. Our results indicate that induced capacitance voltage and photovoltaic current voltage both depend on bias voltage, regardless of the type of ITO electrode. Under a bias voltage of 4.0 V, MOS cells with perforated ITO and plain ITO, respectively, presented conversion efficiencies of 17.53% and 15.80%. Under a bias voltage of 4.0 V, the inclusion of In-NPs increased the efficiency of cells with perforated ITO and plain ITO to 17.80% and 16.87%, respectively.

  7. Optical and Electrical Performance of MOS-Structure Silicon Solar Cells with Antireflective Transparent ITO and Plasmonic Indium Nanoparticles under Applied Bias Voltage

    Directory of Open Access Journals (Sweden)

    Wen-Jeng Ho

    2016-08-01

    Full Text Available This paper reports impressive improvements in the optical and electrical performance of metal-oxide-semiconductor (MOS-structure silicon solar cells through the incorporation of plasmonic indium nanoparticles (In-NPs and an indium-tin-oxide (ITO electrode with periodic holes (perforations under applied bias voltage. Samples were prepared using a plain ITO electrode or perforated ITO electrode with and without In-NPs. The samples were characterized according to optical reflectance, dark current voltage, induced capacitance voltage, external quantum efficiency, and photovoltaic current voltage. Our results indicate that induced capacitance voltage and photovoltaic current voltage both depend on bias voltage, regardless of the type of ITO electrode. Under a bias voltage of 4.0 V, MOS cells with perforated ITO and plain ITO, respectively, presented conversion efficiencies of 17.53% and 15.80%. Under a bias voltage of 4.0 V, the inclusion of In-NPs increased the efficiency of cells with perforated ITO and plain ITO to 17.80% and 16.87%, respectively.

  8. Comparison study of ITO thin films deposited by sputtering at room temperature onto polymer and glass substrates

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.

    2005-01-01

    Indium tin oxide (ITO) thin films have been grown simultaneously onto glass and polymer substrates at room temperature by sputtering from ceramic target. The structure, morphology and electro-optical characteristics of the ITO/glass and ITO/polymer samples have been analyzed by X-ray diffraction, atomic force microscopy, four-point electrical measurements and spectrophotometry. In the selected experimental conditions, the polycrystalline ITO coating shows higher average grain size and higher conductivity, with similar visible transmittance, onto the polymer than onto the glass substrate

  9. Effect of top gate potential on bias-stress for dual gate amorphous indium-gallium-zinc-oxide thin film transistor

    Energy Technology Data Exchange (ETDEWEB)

    Chun, Minkyu; Um, Jae Gwang; Park, Min Sang; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 02447 (Korea, Republic of)

    2016-07-15

    We report the abnormal behavior of the threshold voltage (V{sub TH}) shift under positive bias Temperature stress (PBTS) and negative bias temperature stress (NBTS) at top/bottom gate in dual gate amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). It is found that the PBTS at top gate shows negative transfer shift and NBTS shows positive transfer shift for both top and bottom gate sweep. The shift of bottom/top gate sweep is dominated by top gate bias (V{sub TG}), while bottom gate bias (V{sub BG}) is less effect than V{sub TG}. The X-ray photoelectron spectroscopy (XPS) depth profile provides the evidence of In metal diffusion to the top SiO{sub 2}/a-IGZO and also the existence of large amount of In{sup +} under positive top gate bias around top interfaces, thus negative transfer shift is observed. On the other hand, the formation of OH{sup −} at top interfaces under the stress of negative top gate bias shows negative transfer shift. The domination of V{sub TG} both on bottom/top gate sweep after PBTS/NBTS is obviously occurred due to thin active layer.

  10. Self-assembled gold nanoparticles modified ITO electrodes: The monolayer binder molecule effect

    Energy Technology Data Exchange (ETDEWEB)

    Ballarin, Barbara; Cassani, Maria Cristina; Scavetta, Erika; Tonelli, Domenica [Dipartimento di Chimica Fisica ed Inorganica, Universita di Bologna, V.le Risorgimento 4, 40136 Bologna, INSTM, UdR Bologna (Italy)

    2008-11-15

    The fabrication of gold attached organosilane-coated indium tin oxide Au{sub NPs}-MPTMS/ITO and Au{sub NPs}-APTES/ITO electrodes [MPTMS 3-(mercaptopropyl)-trimethoxysilane, APTES = 3-(aminopropyl)-triethoxysilane, ITO = indium tin oxide] was carried out making use of a well-known two-step procedure and the role played by the -SH and -NH{sub 2} functional groups in the two electrodes has been examined and compared using different techniques. Information about particle coverage and inter-particle spacing has been obtained using transmission electron microscopy (TEM), scanning electron microscopy (SEM) and atomic force microscopy (AFM) whereas, bulk surface properties have been probed with UV-vis spectroscopy, CV and electrochemical impedance spectroscopy (EIS). The catalytic activity of the two electrodes has been evaluated studying the electrooxidation of methanol in alkaline conditions. The results obtained show that the NH{sub 2} functionality in the APTES binder molecule favours the formation of isle-like Au nanoparticle aggregates that lead to both a higher electron transfer and electrocatalytic activity. (author)

  11. Morphology, structure and optical properties of sol-gel ITO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stoica, T.F.; Teodorescu, V.S.; Blanchin, M.G.; Stoica, T.A.; Gartner, M.; Losurdo, M.; Zaharescu, M

    2003-08-15

    The alkoxidic route and the spinning deposition were used to prepare monolayer sol-gel indium tin oxide (ITO) films. The morphology and crystalline structure were investigated by cross-section transmission electron microscopy (XTEM) and atomic force microscopy (AFM). The ITO sol-gel mono-layer contains three regions of different porosities. The basic crystalline structure is that of the In{sub 2}O{sub 3} lattice. The optical properties have been studied by optical transmission and spectroscopic ellipsometry.

  12. Synthesis and Characterization of Graphene/ITO Nanoparticle Hybrid Transparent Conducting Electrode

    Institute of Scientific and Technical Information of China (English)

    Jae-Kwan Kim; Ji-Myon Lee

    2018-01-01

    The combination of graphene with conductive nanoparticles, forming graphene–nanoparticle hybrid materials, offers a number of excellent properties for advanced engineering applications. A novel and simple method was developed to deposit 10 wt% tin-doped indium tin oxide (ITO) nanoparticles on graphene. The method involved a combination of a solution-based environmen-tally friendly electroless deposition approach and subse-quent vacuum annealing.A stable organic-free solution of ITO was prepared from economical salts of In(NO3)3?H2O and SnCl4. The obtained ITO nanostructure exhibited a unique architecture, with uniformly dispersed 25–35 nm size ITO nanoparticles, containing only the crystallized In2O3phase.The synthesized ITO nanoparticles–graphene hybrid exhibited very good and reproducible optical transparency in the visible range (more than 85%) and a 28.2% improvement in electrical conductivity relative to graphene synthesized by chemical vapor deposition.It was observed that the ITO nanoparticles affect the position of the Raman signal of graphene,in which the D,G,and 2D peaks were redshifted by 5.65, 5.69, and 9.74 cm-1,respectively, and the annealing conditions had no signifi-cant effect on the Raman signatures of graphene.

  13. Synthesis and Characterization of Graphene/ITO Nanoparticle Hybrid Transparent Conducting Electrode

    Science.gov (United States)

    Hemasiri, Bastian Waduge Naveen Harindu; Kim, Jae-Kwan; Lee, Ji-Myon

    2018-03-01

    The combination of graphene with conductive nanoparticles, forming graphene-nanoparticle hybrid materials, offers a number of excellent properties for advanced engineering applications. A novel and simple method was developed to deposit 10 wt% tin-doped indium tin oxide (ITO) nanoparticles on graphene. The method involved a combination of a solution-based environmentally friendly electroless deposition approach and subsequent vacuum annealing. A stable organic-free solution of ITO was prepared from economical salts of In(NO3) 3 · H2O and SnCl4. The obtained ITO nanostructure exhibited a unique architecture, with uniformly dispersed 25-35 nm size ITO nanoparticles, containing only the crystallized In2O3 phase. The synthesized ITO nanoparticles-graphene hybrid exhibited very good and reproducible optical transparency in the visible range (more than 85%) and a 28.2% improvement in electrical conductivity relative to graphene synthesized by chemical vapor deposition. It was observed that the ITO nanoparticles affect the position of the Raman signal of graphene, in which the D, G, and 2D peaks were redshifted by 5.65, 5.69, and 9.74 cm-1, respectively, and the annealing conditions had no significant effect on the Raman signatures of graphene. [Figure not available: see fulltext.

  14. Improved ITO thin films for photovoltaic applications with a thin ZnO layer by sputtering

    International Nuclear Information System (INIS)

    Herrero, J.; Guillen, C.

    2004-01-01

    The improvement of the optical and electrical characteristics of indium tin oxide (ITO) layers is pursued to achieve a higher efficiency in its application as frontal electrical contacts in thin film photovoltaic devices. In order to take advantage of the polycrystalline structure of ZnO films as growth support, the properties of ITO layers prepared at room temperature by sputtering onto bare and ZnO-coated substrates have been analyzed using X-ray diffraction, optical and electrical measurements. It has been found that by inserting a thin ZnO layer, the ITO film resistivity can be reduced as compared to that of a single ITO film with similar optical transmittance. The electrical quality improvement is related to ITO grain growth enhancement onto the polycrystalline ZnO underlayer

  15. Effect on the properties of ITO thin films in Gamma environment

    Science.gov (United States)

    Sofi, A. H.; Shah, M. A.; Asokan, K.

    2018-04-01

    The present study reports the effect of gamma irradiation of varying doses (0-200 kGy) on the physical properties of the indium tin oxide (ITO) thin films. The films were fabricated by thermal evaporation method using indium-tin (InSn) ingots followed by an oxidation in atmosphere at a temperature of 550 °C. X-ray diffraction analysis confirmed the body-centered cubic (BCC) structure corresponds to the ITO thin films, high phase purity and a variation in crystallite size between 30-44 nm. While the optical studies revealed an increase in transmission as well as variation in optical band gap, the electrical studies confirmed n-type semiconductive behavior of the thin films, increase in mobility and a decrease in resistivity from 2.33×10-2 - 9.31×10-4 Ωcm with the increase in gamma dose from 0-200 kGy. The gamma irradiation caused totally electronic excitation and resulted in this modifications. The degenerate electron gas model was considered when attempting to understand the prevalent scattering mechanism in gamma irradiated ITO thin films.

  16. Diffusion and crystal growth in plasma deposed thin ITO films

    International Nuclear Information System (INIS)

    Steffen, H.; Wulff, H.; Quaas, M.; Tun, Tin Maung.; Hipple, R.

    2000-01-01

    Tin-doped indium oxide (ITO) films were deposited by means of DC-planar magnetron sputtering. A metallic In/Sn (90/10) target an Ar/O 2 gas mixture were used. The oxygen flow was varied between 0 and 2 sccm. Substrate voltages between 0 and -100 V were used. With increasing oxygen flow film structure and composition change from crystalline metallic In/Sn to amorphous ITO. Simultaneously the deposition rate decreases and the film density increases. The diffusion of oxygen into metallic In/Sn films and the amorphous-to-crystalline transformation of ITO were studied using in situ grazing incidence X-ray diffractometry (GIXRD), grazing incidence reflectometry (GIXR), and AFM. From the X-ray integral intensities diffusion constants, activation energies of the diffusion, reaction order and activation energy of the crystal growth were extracted. (authors)

  17. Characterization of a new transparent-conducting material of ZnO doped ITO thin films

    Science.gov (United States)

    Ali, H. M.

    2005-11-01

    Thin films of indium tin oxide (ITO) doped with zinc oxide have the remarkable properties of being conductive yet still highly transparent in the visible and near-IR spectral ranges. The Electron beam deposi- tion technique is one of the simplest and least expensive ways of preparing. High-quality ITO thin films have been deposited on glass substrates by Electron beam evaporation technique. The effect of doping and substrate deposition temperature was found to have a significant effect on the structure, electrical and optical properties of ZnO doped ITO films. The average optical transmittance has been increased with in- creasing the substrate temperature. The maximum value of transmittance is greater than 84% in the visible region and 85% in the NIR region obtained for film with Zn/ITO = 0.13 at substrate temperature 200 °C. The dielectric constant, average excitation energy for electronic transitions (E o), the dispersion energy (E d), the long wavelength refractive index (n ), average oscillator wave length ( o) and oscillator strength S o for the thin films were determined and presented in this work.

  18. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  19. Current Collecting Grids for ITO-Free Solar Cells

    DEFF Research Database (Denmark)

    Galagan, Yulia; Zimmermann, Birger; Coenen, Erica W. C.

    2012-01-01

    Indium-tin-oxide (ITO) free polymer solar cells prepared by ink jet printing a composite front electrode comprising silver grid lines and a semitransparent PEDOT:PSS conductor are demonstrated. The effect of grid line density is explored for a large series of devices and a careful modeling study...

  20. Fast printing of thin, large area, ITO free electrochromics on flexible barrier foil

    DEFF Research Database (Denmark)

    Søndergaard, Roar R.; Hösel, Markus; Jørgensen, Mikkel

    2013-01-01

    Processing of large area, indium tin oxide (ITO) free electrochromic (EC) devices has been carried out using roll-toroll (R2R) processing. By use of very fine high-conductive silver grids with a hexagonal structure, it is possible to achieve good transparency of the electrode covered substrates...... and when used in EC devices switching times are similar to corresponding ITO devices. This is obtained without the uneven switching of larger areas, which is generally observed when using ITO because of its high-sheet resistance. The silver electrode structures for 18 ×18 cm2 devices can be processed...

  1. Carbon nanotube transistors with graphene oxide films as gate dielectrics

    Institute of Scientific and Technical Information of China (English)

    2010-01-01

    Carbon nanomaterials,including the one-dimensional(1-D) carbon nanotube(CNT) and two-dimensional(2-D) graphene,are heralded as ideal candidates for next generation nanoelectronics.An essential component for the development of advanced nanoelectronics devices is processing-compatible oxide.Here,in analogy to the widespread use of silicon dioxide(SiO2) in silicon microelectronic industry,we report the proof-of-principle use of graphite oxide(GO) as a gate dielectrics for CNT field-effect transistor(FET) via a fast and simple solution-based processing in the ambient condition.The exceptional transistor characteristics,including low operation voltage(2 V),high carrier mobility(950 cm2/V-1 s-1),and the negligible gate hysteresis,suggest a potential route to the future all-carbon nanoelectronics.

  2. ITO nanoparticles reused from ITO scraps and their applications to sputtering target for transparent conductive electrode layer.

    Science.gov (United States)

    Hong, Sung-Jei; Song, Sang-Hyun; Kim, Byeong Jun; Lee, Jae-Yong; Kim, Young-Sung

    2017-01-01

    In this study, ITO nanoparticles (ITO-NPs) were reused from ITO target scraps to synthesize low cost ITO-NPs and to apply to make sputtering target for transparent conductive electrodes (TCEs). By controlling heat-treatment temperature as 980 °C, we achieved reused ITO-NPs having Brunauer, Emmett and Teller specific surface area (BET SSA) and average particle size 8.05 m 2 /g and 103.8 nm, respectively. The BET SSA decreases along with increasing heat-treatment temperature. The ITO-NPs were grown as round mound shape, and highly crystallized to (222) preferred orientations. Also, applying the reused ITO-NPs, we achieved an ITO target of which density was 99.6%. Using the ITO target, we achieved high quality TCE layer of which sheet resistance and optical transmittance at 550 nm were 29.5 Ω/sq. and 82.3%. Thus, it was confirmed that the reused ITO-NPs was feasible to sputtering target for TCEs layer.

  3. ITO nanoparticles reused from ITO scraps and their applications to sputtering target for transparent conductive electrode layer

    Science.gov (United States)

    Hong, Sung-Jei; Song, Sang-Hyun; Kim, Byeong Jun; Lee, Jae-Yong; Kim, Young-Sung

    2017-09-01

    In this study, ITO nanoparticles (ITO-NPs) were reused from ITO target scraps to synthesize low cost ITO-NPs and to apply to make sputtering target for transparent conductive electrodes (TCEs). By controlling heat-treatment temperature as 980 °C, we achieved reused ITO-NPs having Brunauer, Emmett and Teller specific surface area (BET SSA) and average particle size 8.05 m2/g and 103.8 nm, respectively. The BET SSA decreases along with increasing heat-treatment temperature. The ITO-NPs were grown as round mound shape, and highly crystallized to (222) preferred orientations. Also, applying the reused ITO-NPs, we achieved an ITO target of which density was 99.6%. Using the ITO target, we achieved high quality TCE layer of which sheet resistance and optical transmittance at 550 nm were 29.5 Ω/sq. and 82.3%. Thus, it was confirmed that the reused ITO-NPs was feasible to sputtering target for TCEs layer.

  4. Electrical characterization of the ITO/NiPc/PEDOT : PSS junction diode

    Energy Technology Data Exchange (ETDEWEB)

    Shah, Mutabar; Sayyad, M H; Karimov, Kh S; Wahab, Fazal, E-mail: mutabar_shah@hotmail.co, E-mail: mutabarshah@gmail.co [Ghulam Ishaq Khan Institute of Engineering Sciences and Technology, Topi, District Swabi, Khyber Pakhtunkhwa 23640 (Pakistan)

    2010-10-13

    This paper reports on the fabrication and characterization of an ITO/NiPc/PEDOT : PSS junction diode. A thin film of nickel phthalocyanine (NiPc) was deposited by the thermal vacuum deposition method on indium tin oxide (ITO) used as a substrate. The current-voltage characteristics of the diode were measured at room temperature under dark condition and showed rectifying behaviour. The values of several electrical parameters such as ideality factor, barrier height, conductivity, and series and shunt resistances were calculated.

  5. Enhancement of optical transmittance and electrical resistivity of post-annealed ITO thin films RF sputtered on Si

    Science.gov (United States)

    Ali, Ahmad Hadi; Hassan, Zainuriah; Shuhaimi, Ahmad

    2018-06-01

    This paper reports on the enhancement of optical transmittance and electrical resistivity of indium tin oxide (ITO) transparent conductive oxides (TCO) deposited by radio frequency (RF) sputtering on Si substrate. Post-annealing was conducted on the samples at temperature ranges of 500-700 °C. From X-ray diffraction analysis (XRD), ITO (2 2 2) peak was observed after post-annealing indicating crystallization phase of the films. From UV-vis measurements, the ITO thin film shows highest transmittance of more than 90% at post-annealing temperature of 700 °C as compared to the as-deposited thin films. From atomic force microscope (AFM), the surface roughness becomes smoother after post-annealing as compared to the as-deposited. The lowest electrical resistivity for ITO sample is 6.68 × 10-4 Ω cm after post-annealed at 700 °C that are contributed by high carrier concentration and mobility. The improved structural and surface morphological characteristics helps in increasing the optical transmittance and reducing the electrical resistivity of the ITO thin films.

  6. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  7. Effect of Coercive Voltage and Charge Injection on Performance of a Ferroelectric-Gate Thin-Film Transistor

    Directory of Open Access Journals (Sweden)

    P. T. Tue

    2013-01-01

    Full Text Available We adopted a lanthanum oxide capping layer between semiconducting channel and insulator layers for fabrication of a ferroelectric-gate thin-film transistor memory (FGT which uses solution-processed indium-tin-oxide (ITO and lead-zirconium-titanate (PZT film as a channel layer and a gate insulator, respectively. Good transistor characteristics such as a high “on/off” current ratio, high channel mobility, and a large memory window of 108, 15.0 cm2 V−1 s−1, and 3.5 V were obtained, respectively. Further, a correlation between effective coercive voltage, charge injection effect, and FGT’s memory window was investigated. It is found that the charge injection from the channel to the insulator layer, which occurs at a high electric field, dramatically influences the memory window. The memory window’s enhancement can be explained by a dual effect of the capping layer: (1 a reduction of the charge injection and (2 an increase of effective coercive voltage dropped on the insulator.

  8. ITO nanoparticles reused from ITO scraps and their applications to sputtering target for transparent conductive electrode layer

    OpenAIRE

    Hong, Sung-Jei; Song, Sang-Hyun; Kim, Byeong Jun; Lee, Jae-Yong; Kim, Young-Sung

    2017-01-01

    In this study, ITO nanoparticles (ITO-NPs) were reused from ITO target scraps to synthesize low cost ITO-NPs and to apply to make sputtering target for transparent conductive electrodes (TCEs). By controlling heat-treatment temperature as 980??C, we achieved reused ITO-NPs having Brunauer, Emmett and Teller specific surface area (BET SSA) and average particle size 8.05?m2/g and 103.8?nm, respectively. The BET SSA decreases along with increasing heat-treatment temperature. The ITO-NPs were gro...

  9. ZnO nanowire-based nano-floating gate memory with Pt nanocrystals embedded in Al2O3 gate oxides

    International Nuclear Information System (INIS)

    Yeom, Donghyuk; Kang, Jeongmin; Lee, Myoungwon; Jang, Jaewon; Yun, Junggwon; Jeong, Dong-Young; Yoon, Changjoon; Koo, Jamin; Kim, Sangsig

    2008-01-01

    The memory characteristics of ZnO nanowire-based nano-floating gate memory (NFGM) with Pt nanocrystals acting as the floating gate nodes were investigated in this work. Pt nanocrystals were embedded between Al 2 O 3 tunneling and control oxide layers deposited on ZnO nanowire channels. For a representative ZnO nanowire-based NFGM with embedded Pt nanocrystals, a threshold voltage shift of 3.8 V was observed in its drain current versus gate voltage (I DS -V GS ) measurements for a double sweep of the gate voltage, revealing that the deep effective potential wells built into the nanocrystals provide our NFGM with a large charge storage capacity. Details of the charge storage effect observed in this memory device are discussed in this paper

  10. ITO films with enhanced electrical properties deposited on unheated ZnO-coated polymer substrates

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Alves, H.; Goncalves, A.; Varela, J.; Nascimento, R.; Amaral, A.

    2005-01-01

    Indium tin oxide (ITO) films were deposited by radio frequency (rf)-plasma enhanced reactive thermal evaporation (rf-PERTE) at room temperature on intrinsic ZnO/polymer substrates to enhance their electrical and structural properties. The polymer substrate used is polyethylene terephthalate (PET). The thickness of the ZnO films varied in the range 50-150 nm. The average thickness of the ITO films is of about 170 nm. Results show that ITO deposited on bare PET substrates exhibit: an average visible transmittance of about 85% and an electrical resistivity of 5.6 x 10 -2 Ω cm. ITO on ZnO/PET substrates show the optical quality practically preserved and the resistivity decreased to a minimum value of 1.9x10 -3 Ω cm for ZnO layers 125 nm thick. The electrical properties of ITO on ZnO/PET are largely improved by the increase in carrier mobility

  11. Effects O2 plasma surface treatment on the electrical properties of the ITO substrate

    International Nuclear Information System (INIS)

    Hong, Jin-Woong; Oh, Dong-Hoon; Shim, Sang-Min; Lee, Young-Sang; Kang, Yong-Gil; Shin, Jong-Yeol

    2012-01-01

    The indium-tin-oxide (ITO) substrate is used as a transparent electrode in organic light-emitting diodes (OLEDs) and organic photovoltaic cells. The effect of an O 2 plasma surface treatment on the electrical properties of the ITO substrate was examined. The four-point probe method, an atomic force microscope (AFM), a LCR meter, a Cole-Cole plot, and a conductive mechanism analysis were used to assess the properties of the treated ITO substrates. The four-point probe method and the AFM study revealed a lower ITO surface resistance of 17.6 Ω/sq and an average roughness of 2 nm, respectively, for a substrate treated by a plasma at 250 W for 40 s. The lower surface resistance of the ITO substrate treated at 250 W for 40 s was confirmed by using a LCR meter. An amorphous fluoropolymer (AF) was deposited on an ITO substrate treated under the optimal conditions and on a non-plasma treated ITO substrate as well. The potential barriers for charge injection in these devices were 0.25 eV and 0.15 eV, respectively, indicating a 0.1-eV decrease due to the plasma treatment.

  12. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yong Seob [Department of Photoelectronics Information, Chosun College of Science and Technology, Gwangju (Korea, Republic of); Kim, Eungkwon [Digital Broadcasting Examination, Korean Intellectual Property Office, Daejeon, Suwon 440-746 (Korea, Republic of); Hong, Byungyou [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of); Lee, Jaehyoeng, E-mail: jaehyeong@skku.edu [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of)

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{sub 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.

  13. Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures

    International Nuclear Information System (INIS)

    Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich

    2006-01-01

    The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si

  14. Characterization of ITO/CdO/glass thin films evaporated by electron beam technique

    Directory of Open Access Journals (Sweden)

    Hussein Abdel-Hafez Mohamed and Hazem Mahmoud Ali

    2008-01-01

    Full Text Available A thin buffer layer of cadmium oxide (CdO was used to enhance the optical and electrical properties of indium tin oxide (ITO films prepared by an electron-beam evaporation technique. The effects of the thickness and heat treatment of the CdO layer on the structural, optical and electrical properties of ITO films were carried out. It was found that the CdO layer with a thickness of 25 nm results in an optimum transmittance of 70% in the visible region and an optimum resistivity of 5.1×10−3 Ω cm at room temperature. The effect of heat treatment on the CdO buffer layer with a thickness of 25 nm was considered to improve the optoelectronic properties of the formed ITO films. With increasing annealing temperature, the crystallinity of ITO films seemed to improve, enhancing some physical properties, such as film transmittance and conductivity. ITO films deposited onto a CdO buffer layer heated at 450 °C showed a maximum transmittance of 91% in the visible and near-infrared regions of the spectrum associated with the highest optical energy gap of 3.61 eV and electrical resistivity of 4.45×10−4 Ω cm at room temperature. Other optical parameters, such as refractive index, extinction coefficient, dielectric constant, dispersion energy, single effective oscillator energy, packing density and free carrier concentration, were also studied.

  15. Impact of oxide thickness on gate capacitance – Modelling and ...

    Indian Academy of Sciences (India)

    Department of Electronics and Communication Engineering, National ... conventional HEMT, Schottky barrier diode is formed at the gate electrode. .... term corresponds to the energy required for the electric field in the oxide layer and the.

  16. Characterization of dip-coated ITO films derived from nanoparticles synthesized by low-pressure spray pyrolysis

    International Nuclear Information System (INIS)

    Ogi, Takashi; Iskandar, Ferry; Itoh, Yoshifumi; Okuyama, Kikuo

    2006-01-01

    In 2 O 3 :Sn (Indium Tin Oxide; ITO) films were prepared from a sol solution with highly crystalline ITO nanoparticles (less than 20 nm in size with 10 at.% Sn) which had been prepared by low-pressure spray pyrolysis (LPSP) in a single step. The ITO sol solution was prepared by dispersing LPSP-prepared ITO nanoparticles into ultra pure water. The nanoparticle ITO film was deposited on a glass substrate using a dip-coating method and then annealed in air at various temperatures. The optical transmittances of the ITO films were measured by UV-Vis spectrometry, and the films were found to have a high transparency to visible light (in the case of a film thickness of 250 nm annealed at 400 deg. C, the transparency was in excess of 95% over the range λ=450-800 nm, with a maximum value near 100% at wavelengths above λ=700 nm). The optical transmittances of the films were influenced by the size of the ITO particle used, the film thickness and the annealing temperature. The ITO films showed a minimum resistivity of 9.5x10 -2 Ω cm, and their resistivity was affected by both the ITO particle size and the annealing temperature used

  17. Solvothermal synthesis of gallium-indium-zinc-oxide nanoparticles for electrolyte-gated transistors.

    Science.gov (United States)

    Santos, Lídia; Nunes, Daniela; Calmeiro, Tomás; Branquinho, Rita; Salgueiro, Daniela; Barquinha, Pedro; Pereira, Luís; Martins, Rodrigo; Fortunato, Elvira

    2015-01-14

    Solution-processed field-effect transistors are strategic building blocks when considering low-cost sustainable flexible electronics. Nevertheless, some challenges (e.g., processing temperature, reliability, reproducibility in large areas, and cost effectiveness) are requirements that must be surpassed in order to achieve high-performance transistors. The present work reports electrolyte-gated transistors using as channel layer gallium-indium-zinc-oxide nanoparticles produced by solvothermal synthesis combined with a solid-state electrolyte based on aqueous dispersions of vinyl acetate stabilized with cellulose derivatives, acrylic acid ester in styrene and lithium perchlorate. The devices fabricated using this approach display a ION/IOFF up to 1 × 10(6), threshold voltage (VTh) of 0.3-1.9 V, and mobility up to 1 cm(2)/(V s), as a function of gallium-indium-zinc-oxide ink formulation and two different annealing temperatures. These results validates the usage of electrolyte-gated transistors as a viable and promising alternative for nanoparticle based semiconductor devices as the electrolyte improves the interface and promotes a more efficient step coverage of the channel layer, reducing the operating voltage when compared with conventional dielectrics gating. Moreover, it is shown that by controlling the applied gate potential, the operation mechanism of the electrolyte-gated transistors can be modified from electric double layer to electrochemical doping.

  18. Impact of metal-ion contaminated silica particles on gate oxide integrity

    NARCIS (Netherlands)

    Rink, Ingrid; Wali, F.; Knotter, D.M.

    2009-01-01

    The impact of metal-ion contamination (present on wafer surface before oxidation) on gate oxide integrity (GOI) is well known in literature, which is not the case for clean silica particles [1, 2]. However, it is known that particles present in ultra-pure water (UPW) decrease the random yield in

  19. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. The TDDB Characteristics of Ultra-Thin Gate Oxide MOS Capacitors under Constant Voltage Stress and Substrate Hot-Carrier Injection

    Directory of Open Access Journals (Sweden)

    Jingyu Shen

    2018-01-01

    Full Text Available The breakdown characteristics of ultra-thin gate oxide MOS capacitors fabricated in 65 nm CMOS technology under constant voltage stress and substrate hot-carrier injection are investigated. Compared to normal thick gate oxide, the degradation mechanism of time-dependent dielectric breakdown (TDDB of ultra-thin gate oxide is found to be different. It is found that the gate current (Ig of ultra-thin gate oxide MOS capacitor is more likely to be induced not only by Fowler-Nordheim (F-N tunneling electrons, but also by electrons surmounting barrier and penetrating electrons in the condition of constant voltage stress. Moreover it is shown that the time to breakdown (tbd under substrate hot-carrier injection is far less than that under constant voltage stress when the failure criterion is defined as a hard breakdown according to the experimental results. The TDDB mechanism of ultra-thin gate oxide will be detailed. The differences in TDDB characteristics of MOS capacitors induced by constant voltage stress and substrate hot-carrier injection will be also discussed.

  1. Corundum nanostructure ITO film fabrication: An approach for physical properties assessment

    International Nuclear Information System (INIS)

    Solieman, A.; Zayed, M.K.; Alamri, S.N.; Al-Dahoudi, N.; Aegerter, M.A.

    2012-01-01

    Highlights: ► Transparent conductive nanostructured ITO films. ► Synthesis of ITO nanoparticles with corundum structure phase by the hydrothermal process. ► Deposition of nanoparticulate ITO films by spin coating technique. ► Curing of ITO films using UV irradiation at low temperatures. - Abstract: Corundum (hexagonal) structure indium tin oxide (h-ITO) nanocrystals have been synthesized by subjecting an aqueous solution of In and Sn chlorides (Sn/In 8 wt.%) to a hydrothermal process followed by annealing at 450 °C in forming gas for 1 h. The annealing temperature was selected based on thermo-gravimetric analysis (TGA) and differential thermal analysis (DTA) of the dried precipitated powder, which showed a stable weight and phase at temperatures above 420 °C. X-ray diffraction (XRD) patterns showed the formation of orthorhombic InOOH precipitates that is transformed, after annealing, into h-ITO nanocrystals with 32 nm average crystal size. For nanostructure film deposition, dispersed sols of the prepared nanocrystals were spun coated on glass substrates. The films were densified by UV irradiation, whilst four-probe method was used to measure its sheet resistance. A sheet resistance as low as 10.6 kΩ □ have been reached. Scanning electron microscope (SEM) and high resolution transmission electron microscope (HRTEM) showed that the films have high surface roughness and nanopores. The transmittance spectra of the nanostructure films were measured in the UV–vis–NIR wavelength range. In addition to its low resistivity, nanostructure h-ITO films showed a wide range of transparency.

  2. ITO thin films prepared by a microwave heating

    International Nuclear Information System (INIS)

    Okuya, Masayuki; Ito, Nobuyuki; Shiozaki, Katsuyuki

    2007-01-01

    ITO thin films were prepared by irradiating 2.45 GHz of microwave with an output power of 700 W using a commercial kitchen microwave oven. A substrate temperature went up and down rapidly between 100 and 650 deg. C in a minute by a dielectric loss of SnO 2 layer pre-deposited on a glass substrate. We found that the electrical and optical properties of films were affected by the atmosphere in a microwave irradiation, while the sintering was completed within a few minutes. Although the electrical resistivity was not reduced below 5.0 x 10 -4 Ω.cm in this study, the results lead to the possibility of a practical rapid synthesis of ITO transparent conducting oxide films

  3. Correlation between optical and structural properties of copper oxide electrodeposited on ITO glass

    Energy Technology Data Exchange (ETDEWEB)

    Messaoudi, O., E-mail: olfamassaoudi@gmail.com [Laboratoire de Photovoltaïque, Centre des Recherches et des Technologies de l’Energie, Technopole BorjCedria, B.P. 95, Hammammlif 2050 (Tunisia); Makhlouf, H.; Souissi, A.; Ben assaker, I.; Karyaoui, M. [Laboratoire de Photovoltaïque, Centre des Recherches et des Technologies de l’Energie, Technopole BorjCedria, B.P. 95, Hammammlif 2050 (Tunisia); Bardaoui, A. [Laboratoire de Photovoltaïque, Centre des Recherches et des Technologies de l’Energie, Technopole BorjCedria, B.P. 95, Hammammlif 2050 (Tunisia); Physics department, Taif University (Saudi Arabia); Oueslati, M. [Unité de nano matériaux et photoniques, Faculté des Sciences de Tunis, ElManar1, 2092 Tunis (Tunisia); Chtourou, R. [Laboratoire de Photovoltaïque, Centre des Recherches et des Technologies de l’Energie, Technopole BorjCedria, B.P. 95, Hammammlif 2050 (Tunisia)

    2014-10-25

    Highlights: • Copper oxide films were grown by electrodeposition method with different applied potential. • Forouhi and Bloomer ellipsometric model were used. • Correlation between structural and optical proprieties was done. - Abstract: In this paper we study the growth of copper oxide (Cu{sub 2}O) thin films on indium tin oxide (ITO)-coated glass substrate by electrochemical deposition. We vary the applied potential from −0.50 to −0.60 V vs. Ag/AgCl in order to have a pure Cu{sub 2}O. The copper oxide thin films properties are obtained using Spectroscopic Ellipsometry (SE) in the frame of the Forouhi and Bloomer model. This model demonstrates that depending on the applied cathodic potential pure or mixed phases of CuO and Cu{sub 2}O can be obtained. Structural, morphological and optical properties are performed in order to confirm the SE results. X-ray diffraction analysis of the films reveals a mixed phase for a potential lower than −0.60V vs. Ag/AgCl while a high purity is obtained for this last potential. The optical band gap energy (E{sub g}) is evaluated using the tauc relation. Pure Cu{sub 2}O having a band gap of E{sub g} = 2.5 eV and a thickness around 900 nm are therefore successfully obtained with an applied potential of −0.60 V. Raman measurements show the characteristic modes of Cu{sub 2}O with a contribution of CuO modes at 618 cm{sup −1}. The intensity of the CuO modes decreases as the applied cathodic potential increases, leading to pure copper oxide layers.

  4. A simple two-step method to fabricate highly transparent ITO/polymer nanocomposite films

    International Nuclear Information System (INIS)

    Liu, Haitao; Zeng, Xiaofei; Kong, Xiangrong; Bian, Shuguang; Chen, Jianfeng

    2012-01-01

    Highlights: ► A simple two-step method without further surface modification step was employed. ► ITO nanoparticles were easily to be uniformly dispersed in polymer matrix. ► ITO/polymer nanocomposite film had high transparency and UV/IR blocking properties. - Abstract: Transparent functional indium tin oxide (ITO)/polymer nanocomposite films were fabricated via a simple approach with two steps. Firstly, the functional monodisperse ITO nanoparticles were synthesized via a facile nonaqueous solvothermal method using bifunctional chemical agent (N-methyl-pyrrolidone, NMP) as the reaction solvent and surface modifier. Secondly, the ITO/acrylics polyurethane (PUA) nanocomposite films were fabricated by a simple sol-solution mixing method without any further surface modification step as often employed traditionally. Flower-like ITO nanoclusters with about 45 nm in diameter were mono-dispersed in ethyl acetate and each nanocluster was assembled by nearly spherical nanoparticles with primary size of 7–9 nm in diameter. The ITO nanoclusters exhibited an excellent dispersibility in polymer matrix of PUA, remaining their original size without any further agglomeration. When the loading content of ITO nanoclusters reached to 5 wt%, the transparent functional nanocomposite film featured a high transparency more than 85% in the visible light region (at 550 nm), meanwhile cutting off near-infrared radiation about 50% at 1500 nm and blocking UV ray about 45% at 350 nm. It could be potential for transparent functional coating materials applications.

  5. High stability and high activity Pd/ITO-CNTs electrocatalyst for direct formic acid fuel cell

    International Nuclear Information System (INIS)

    Qu, Wei-Li; Gu, Da-Ming; Wang, Zhen-Bo; Zhang, Jing-Jia

    2014-01-01

    Graphical abstract: The addition of ITO in Pd/CNTs catalyst significantly improves the activity and stability of catalyst for formic acid electrooxidation due to excellent stability and high electrical conductivity of ITO, and metal-support interaction between Pd nanoparticles and ITO. - Highlights: • Pd catalyst with ITO and CNTs as a mixture support for DFAFC was first prepared by microwave-assisted polyol process. • The activity and stability of Pd/ITO-CNTs catalyst is significantly higher than those of Pd/CNTs. • When ITO content is 50% of ITO/CNTs support mass, Pd/ITO-CNTs exhibits the best performance. - Abstract: Indium tin oxide (ITO) and carbon nanotube hybrid has been explored as a support for Pd catalyst. Pd/ITO-CNTs catalysts with different ITO contents were prepared by the microwave-assisted polyol process. The as-prepared Pd/ITO-CNTs catalysts were characterized by X-ray diffraction (XRD), energy dispersive analysis of X-ray (EDAX), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), high resolution transmission electron microscopy (HRTEM), and electrochemical measurements in this work. The TEM results show that Pd particle size distribution in the Pd/ITO-CNTs catalyst is more uniform than that in Pd/CNTs, indicating that the ITO can promote the dispersion of Pd nanoparticles. It is found that there is metal-support interaction between Pd nanoparticles and ITO in the Pd/ITO-CNTs catalyst through XPS test. The results of electrochemical tests prove that the Pd/ITO-CNTs catalysts exhibit higher electro-catalytic activity and stability than Pd/CNTs toward formic acid electrooxidation. When the ITO content is 50% of ITO-CNTs support mass, the Pd/ITO-CNTs catalyst has the best catalytic performance for formic acid electrooxidation. The peak current density of formic acid electrooxidation on the Pd/ITO-CNTs50% electrode is 1.53 times as high as that on Pd/CNTs, 2.31 times higher than that on Pd/ITO. The results of aging

  6. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  7. Electrode patterning of ITO thin films by high repetition rate fiber laser

    International Nuclear Information System (INIS)

    Lin, H.K.; Hsu, W.C.

    2014-01-01

    Indium tin oxide (ITO) thin films are deposited on glass substrates using a radio frequency magnetron sputtering system. As-deposited ITO thin film was 100 nm in thickness and a transmittance of ITO film on glass substrate was 79% at 550 nm. Conductive electrodes are then patterned on the ITO films using a high repetition rate fiber laser system followed by a wet chemical etching process. The electrical, optical and structural properties of the patterned samples are evaluated by means of a four-point probe technique, spectrophotometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The results show that the samples annealed with a pulse repetition rate of 150 kHz or 400 kHz have a low sheet resistivity of 21 Ω/□ and a high optical transmittance of 90%. In addition, it is shown that a higher pulse repetition rate reduces both the residual stress and the surface roughness of the patterned specimens. Therefore, the present results suggest that a pulse repetition rate of 400 kHz represents the optimal processing condition for the patterning of crack-free ITO-coated glass substrates with good electrical and optical properties.

  8. Electrode patterning of ITO thin films by high repetition rate fiber laser

    Energy Technology Data Exchange (ETDEWEB)

    Lin, H.K., E-mail: HKLin@mail.npust.edu.tw; Hsu, W.C.

    2014-07-01

    Indium tin oxide (ITO) thin films are deposited on glass substrates using a radio frequency magnetron sputtering system. As-deposited ITO thin film was 100 nm in thickness and a transmittance of ITO film on glass substrate was 79% at 550 nm. Conductive electrodes are then patterned on the ITO films using a high repetition rate fiber laser system followed by a wet chemical etching process. The electrical, optical and structural properties of the patterned samples are evaluated by means of a four-point probe technique, spectrophotometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The results show that the samples annealed with a pulse repetition rate of 150 kHz or 400 kHz have a low sheet resistivity of 21 Ω/□ and a high optical transmittance of 90%. In addition, it is shown that a higher pulse repetition rate reduces both the residual stress and the surface roughness of the patterned specimens. Therefore, the present results suggest that a pulse repetition rate of 400 kHz represents the optimal processing condition for the patterning of crack-free ITO-coated glass substrates with good electrical and optical properties.

  9. Potential of ITO nanoparticles formed by hydrogen treatment in PECVD for improved performance of back grid contact crystalline silicon solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Sourav; Mitra, Suchismita; Dhar, Sukanta; Ghosh, Hemanta; Banerjee, Chandan, E-mail: chandanbanerjee74@gmail.com; Datta, Swapan K.; Saha, Hiranmoy

    2015-09-15

    Highlights: • Indium tin oxide (ITO) nanoparticles as back scatterers in c-Si solar cells. • ITO NP have comparatively low dissipative losses and tunable optical properties. • ITO NP formed by hydrogen plasma treatment on sputtered ITO film. • Enhanced absorption and carrier collection at longer wavelengths due to enhanced light trapping. - Abstract: This paper discusses the prospect of using indium tin oxide (ITO) nanoparticles as back scatterers in crystalline silicon solar cells instead of commonly used metal nanoparticles as ITO nanoparticles have comparatively low dissipative losses and tunable optical properties. ITO nanoparticles of ∼5–10 nm size is developed on the rear side of the solar cell by deposition of ∼5–10 nm thick ITO layer by DC magnetron sputtering followed by hydrogen treatment in PECVD. The silicon solar cell is fabricated in the laboratory using conventional method with grid metal contact at the back surface. Various characterizations like FESEM, TEM, AFM, XRD, EQE and IV characteristics are performed to analyze the morphology, chemical composition, optical characteristics and electrical performance of the device. ITO nanoparticles at the back surface of the solar cell significantly enhances the short circuit current, open circuit voltage and efficiency of the solar cell. These enhancements may be attributed to the increased absorption and carrier collection at longer wavelengths of solar spectrum due to enhanced light trapping by the ITO nanoparticles and surface passivation by the hydrogen treatment of the back surface.

  10. Field Emission of ITO-Coated Vertically Aligned Nanowire Array.

    KAUST Repository

    Lee, Changhwa

    2010-04-29

    An indium tin oxide (ITO)-coated vertically aligned nanowire array is fabricated, and the field emission characteristics of the nanowire array are investigated. An array of vertically aligned nanowires is considered an ideal structure for a field emitter because of its parallel orientation to the applied electric field. In this letter, a vertically aligned nanowire array is fabricated by modified conventional UV lithography and coated with 0.1-μm-thick ITO. The turn-on electric field intensity is about 2.0 V/μm, and the field enhancement factor, β, is approximately 3,078 when the gap for field emission is 0.6 μm, as measured with a nanomanipulator in a scanning electron microscope.

  11. Field Emission of ITO-Coated Vertically Aligned Nanowire Array.

    KAUST Repository

    Lee, Changhwa; Lee, Seokwoo; Lee, Seung S

    2010-01-01

    An indium tin oxide (ITO)-coated vertically aligned nanowire array is fabricated, and the field emission characteristics of the nanowire array are investigated. An array of vertically aligned nanowires is considered an ideal structure for a field emitter because of its parallel orientation to the applied electric field. In this letter, a vertically aligned nanowire array is fabricated by modified conventional UV lithography and coated with 0.1-μm-thick ITO. The turn-on electric field intensity is about 2.0 V/μm, and the field enhancement factor, β, is approximately 3,078 when the gap for field emission is 0.6 μm, as measured with a nanomanipulator in a scanning electron microscope.

  12. An oxide filled extended trench gate super junction MOSFET structure

    International Nuclear Information System (INIS)

    Cai-Lin, Wang; Jun, Sun

    2009-01-01

    This paper proposes an oxide filled extended trench gate super junction (SJ) MOSFET structure to meet the need of higher frequency power switches application. Compared with the conventional trench gate SJ MOSFET, new structure has the smaller input and output capacitances, and the remarkable improvements in the breakdown voltage, on-resistance and switching speed. Furthermore, the SJ in the new structure can be realized by the existing trench etching and shallow angle implantation, which offers more freedom to SJ MOSFET device design and fabrication. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. An oxidation-resistant indium tin oxide catalyst support for proton exchange membrane fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Chhina, H.; Campbell, S. [Ballard Power Systems Inc., 9000 Glenlyon Parkway, Burnaby, BC V5J 5J8 (Canada); Kesler, O. [Department of Mechanical Engineering, University of British Columbia, Vancouver, BC, V6T 1Z4 (Canada)

    2006-10-27

    The oxidation of carbon catalyst supports causes degradation in catalyst performance in proton exchange membrane fuel cells (PEMFCs). Indium tin oxide (ITO) is considered as a candidate for an alternative catalyst support. The electrochemical stability of ITO was studied by use of a rotating disk electrode (RDE). Oxidation cycles between +0.6 and +1.8V were applied to ITO supporting a Pt catalyst. Cyclic voltammograms (CVs) both before and after the oxidation cycles were obtained for Pt on ITO, Hispec 4000 (a commercially available catalyst), and 40wt.% Pt dispersed in-house on Vulcan XC-72R. Pt on ITO showed significantly better electrochemical stability, as determined by the relative change in electrochemically active surface area after cycling. Hydrogen desorption peaks in the CVs existed even after 100 cycles from 0.6 to 1.8V for Pt on ITO. On the other hand, most of the active surface area was lost after 100 cycles of the Hispec 4000 catalyst. The 40wt.% Pt on Vulcan made in-house also lost most of its active area after only 50 cycles. Pt on ITO was significantly more electrochemically stable than both Hispec 4000 and Pt on Vulcan XC-72R. In this study, it was found that the Pt on ITO had average crystallite sizes of 13nm for Pt and 38nm for ITO. Pt on ITO showed extremely high thermal stability, with only {approx}1wt.% loss of material for ITO versus {approx}57wt.% for Hispec 4000 on heating to 1000{sup o}C. The TEM data show Pt clusters dispersed on small crystalline ITO particles. The SEM data show octahedral shaped ITO particles supporting Pt. (author)

  14. Effects of bias voltage on the properties of ITO films prepared on polymer substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lim, Donggun; Yang, Keajoon; Song, Woochang; Yi, Junsin

    2005-01-01

    The ITO (indium tin oxide) thin films were deposited on acryl, glass, PET, and poly-carbonate substrates by DC reactive magnetron sputtering. The bias voltage was changed from -20 to -80 V. As the bias voltage increased, the deposition rate of ITO films decreased regardless of substrate types. The roughness of the films on PET increased with the bias voltage. The study demonstrated that the bias improved the electrical and optical properties of ITO films regardless of substrate types. The lowest electrical resistivity of 5.5x10 -4 no. OMEGAno. -cm and visible transmittance of about 80% were achieved by applying a negative bias of -60 V

  15. Fabrication of ITO particles using a combination of a homogeneous precipitation method and a seeding technique and their electrical conductivity

    Directory of Open Access Journals (Sweden)

    Yoshio Kobayashi

    2015-09-01

    Full Text Available The present work proposes a method to fabricate indium tin oxide (ITO particles using precursor particles synthesized with a combination of a homogeneous precipitation method and a seeding technique, and it also describes their electronic conductivity properties. Seed nanoparticles were produced using a co-precipitation method with aqueous solutions of indium (III chloride, tin (IV chloride aqueous solution and sodium hydroxide. Three types of ITO nanoparticles were fabricated. The first type was fabricated using the co-precipitation method (c-ITO. The second and third types were fabricated using a homogeneous precipitation method with the seed nanoparticles (s-ITO and without seeds (n-ITO. The as-prepared precursor particles were annealed in air at 500 °C, and their crystal structures were cubic ITO. The c-ITO nanoparticles formed irregular-shaped agglomerates of nanoparticles. The n-ITO nanoparticles had a rectangular-parallelepiped or quasi-cubic structure. Most s-ITO nanoparticles had a quasi-cubic structure, and their size was larger than the n-ITO particles. The volume resistivities of the c-ITO, n-ITO and s-ITO powders decreased in that order because the regular-shaped particles were made to strongly contact with each other.

  16. Tuning the ITO work function by capacitively coupled plasma and its application in inverted organic solar cells

    International Nuclear Information System (INIS)

    Fang, Ming; Zhang, Chunmei; Chen, Qiang

    2016-01-01

    Highlights: • The work function of ITO was reduced by plasma treatment. • The reduction of the work function was attributed to the variation in chemical component of ITO surface. • The inverted solar cell without electron transport layer was fabricated using plasma-treated ITO. • Optimal power conversion efficiency of 3.22% was achieved. - Abstract: In this paper, we investigated the performance of inverted organic solar cells (OSCs) with plasma-treated indium tin oxide (ITO) as the cathode for omitting an electron transport layer. The Ar plasma was produced by capcitively coupled plasma setup under 20 Pa chamber pressure. For the device with the structure of plasma-treated ITO/P3HT:PCBM/MoO_3/Ag, a power conversion efficiency (PCE) of 3.22% was achieved, whereas PCE of 1.13% was recorded from the device fabricated with the pristine ITO. The photovoltaic performance was found to be dependent on the applied power of plasma. After analyzing by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS), we concluded that the chemical component variation of ITOs surface resulted in the decrease of ITO work function, which meant that the ITO Fermi level became shallow relative to the vacuum level. The low work function of ITO should be responsible for the improvement of inverted OSCs because of the better energy level alignment between ITO and the photoactive layer.

  17. Tuning the ITO work function by capacitively coupled plasma and its application in inverted organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Ming [Laboratory of Plasma Physics and Materials, Beijing Institute of Graphic Communication, Beijing (China); Zhang, Chunmei, E-mail: zhangchunmei@bigc.edu.cn [Laboratory of Plasma Physics and Materials, Beijing Institute of Graphic Communication, Beijing (China); Chen, Qiang [Laboratory of Plasma Physics and Materials, Beijing Institute of Graphic Communication, Beijing (China); State Key Laboratory of Electrical Insulation and Power Equipment, Xi’an Jiaotong University, Xi’an (China)

    2016-11-01

    Highlights: • The work function of ITO was reduced by plasma treatment. • The reduction of the work function was attributed to the variation in chemical component of ITO surface. • The inverted solar cell without electron transport layer was fabricated using plasma-treated ITO. • Optimal power conversion efficiency of 3.22% was achieved. - Abstract: In this paper, we investigated the performance of inverted organic solar cells (OSCs) with plasma-treated indium tin oxide (ITO) as the cathode for omitting an electron transport layer. The Ar plasma was produced by capcitively coupled plasma setup under 20 Pa chamber pressure. For the device with the structure of plasma-treated ITO/P3HT:PCBM/MoO{sub 3}/Ag, a power conversion efficiency (PCE) of 3.22% was achieved, whereas PCE of 1.13% was recorded from the device fabricated with the pristine ITO. The photovoltaic performance was found to be dependent on the applied power of plasma. After analyzing by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS), we concluded that the chemical component variation of ITOs surface resulted in the decrease of ITO work function, which meant that the ITO Fermi level became shallow relative to the vacuum level. The low work function of ITO should be responsible for the improvement of inverted OSCs because of the better energy level alignment between ITO and the photoactive layer.

  18. INFLUENCE OF SUBSTRATE TEMPERATURE ON STRUCTURAL, ELECTRICAL AND OPTICAL PROPERTIES OF ITO THIN FILMS PREPARED BY RF MAGNETRON SPUTTERING

    OpenAIRE

    BO HE; LEI ZHAO; JING XU; HUAIZHONG XING; SHAOLIN XUE; MENG JIANG

    2013-01-01

    In this paper, we investigated indium-tin-oxide (ITO) thin films on glass substrates deposited by RF magnetron sputtering using ceramic target to find the optimal condition for fabricating optoelectronic devices. The structural, electrical and optical properties of the ITO films prepared at various substrate temperatures were investigated. The results indicate the grain size increases with substrate temperature increases. As the substrate temperature grew up, the resistivity of ITO films grea...

  19. KChIP2 genotype dependence of transient outward current (Ito) properties in cardiomyocytes isolated from male and female mice.

    Science.gov (United States)

    Waldschmidt, Lara; Junkereit, Vera; Bähring, Robert

    2017-01-01

    The transient outward current (Ito) in cardiomyocytes is largely mediated by Kv4 channels associated with Kv Channel Interacting Protein 2 (KChIP2). A knockout model has documented the critical role of KChIP2 in Ito expression. The present study was conducted to characterize in both sexes the dependence of Ito properties, including current magnitude, inactivation kinetics, recovery from inactivation and voltage dependence of inactivation, on the number of functional KChIP2 alleles. For this purpose we performed whole-cell patch-clamp experiments on isolated left ventricular cardiomyocytes from male and female mice which had different KChIP2 genotypes; i.e., wild-type (KChIP2+/+), heterozygous knockout (KChIP2+/-) or complete knockout of KChIP2 (KChIP2-/-). We found in both sexes a KChIP2 gene dosage effect (i.e., a proportionality between number of alleles and phenotype) on Ito magnitude, however, concerning other Ito properties, KChIP2+/- resembled KChIP2+/+. Only in the total absence of KChIP2 (KChIP2-/-) we observed a slowing of Ito kinetics, a slowing of recovery from inactivation and a negative shift of a portion of the voltage dependence of inactivation. In a minor fraction of KChIP2-/- myocytes Ito was completely lost. The distinct KChIP2 genotype dependences of Ito magnitude and inactivation kinetics, respectively, seen in cardiomyocytes were reproduced with two-electrode voltage-clamp experiments on Xenopus oocytes expressing Kv4.2 and different amounts of KChIP2. Our results corroborate the critical role of KChIP2 in controlling Ito properties. They demonstrate that the Kv4.2/KChIP2 interaction in cardiomyocytes is highly dynamic, with a clear KChIP2 gene dosage effect on Kv4 channel surface expression but not on inactivation gating.

  20. Bias-induced migration of ionized donors in amorphous oxide semiconductor thin-film transistors with full bottom-gate and partial top-gate structures

    Directory of Open Access Journals (Sweden)

    Mallory Mativenga

    2012-09-01

    Full Text Available Bias-induced charge migration in amorphous oxide semiconductor thin-film transistors (TFTs confirmed by overshoots of mobility after bias stressing dual gated TFTs is presented. The overshoots in mobility are reversible and only occur in TFTs with a full bottom-gate (covers the whole channel and partial top-gate (covers only a portion of the channel, indicating a bias-induced uneven distribution of ionized donors: Ionized donors migrate towards the region of the channel that is located underneath the partial top-gate and the decrease in the density of ionized donors in the uncovered portion results in the reversible increase in mobility.

  1. Improvement of organic solar cells by flexible substrate and ITO surface treatments

    International Nuclear Information System (INIS)

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Wang, Chien-Kun; Lee, William; Lu, Chih-Chiang; Yau, Bao-Shun; Nain, Jhen-Liang; Chang, Shun-Hsyung; Chang, Chiu-Cheng; Wang, Kang L.

    2010-01-01

    In this paper, surface treatments on polyethylene terephthalate with polymeric hard coating (PET-HC) substrates are described. The effect of the contact angle on the treatment is first investigated. It has been observed that detergent is quite effective in removing organic contamination on the flexible PET-HC substrates. Next, using a DC-reactive magnetron sputter, indium tin oxide (ITO) thin films of 90 nm are grown on a substrate treated by detergent. Then, various ITO surface treatments are made for improving the performance of the finally developed organic solar cells with structure Al/P3HT:PCBM/PEDOT:PSS/ITO/PET. It is found that the parameters of the ITO including resistivity, carrier concentration, transmittance, surface morphology, and work function depended on the surface treatments and significantly influence the solar cell performance. With the optimal conditions for detergent treatment on flexible PET substrates, the ITO film with a resistivity of 5.6 x 10 -4 Ω cm and average optical transmittance of 84.1% in the visible region are obtained. The optimal ITO surface treated by detergent for 5 min and then by UV ozone for 20 min exhibits the best WF value of 5.22 eV. This improves about 8.30% in the WF compared with that of the untreated ITO film. In the case of optimal treatment with the organic photovoltaic device, meanwhile, 36.6% enhancement in short circuit current density (J sc ) and 92.7% enhancement in conversion efficiency (η) over the untreated solar cell are obtained.

  2. Electrical properties of SAM-modified ITO surface using aromatic small molecules with double bond carboxylic acid groups for OLED applications

    Energy Technology Data Exchange (ETDEWEB)

    Can, Mustafa [Izmir Katip Celebi University, Faculty of Engineering, Department of Engineering Sciences, Çiğli, Izmir (Turkey); Havare, Ali Kemal [Toros University, Faculty of Engineering, Electric and Electronic Department, Mersin (Turkey); Aydın, Hasan; Yagmurcukardes, Nesli [Izmir Institute of Technology, Material Science and Engineering, Izmir (Turkey); Demic, Serafettin [Izmir Katip Celebi University, Faculty of Engineering, Department of Material Science and Engineering, Çiğli, Izmir (Turkey); Icli, Sıddık [Ege University, Solar Energy Institute, Izmir (Turkey); Okur, Salih, E-mail: salih.okur@ikc.edu.tr [Izmir Katip Celebi University, Faculty of Engineering, Department of Material Science and Engineering, Çiğli, Izmir (Turkey)

    2014-09-30

    Graphical abstract: - Highlights: • We report that the performance of OLED consist of aromatic small molecules with double bond carboxylic acid groups on ITO surface. • The OLED devices were tested in terms of electrical and optical characteristics. • The I–V results show that OLEDs with SAM-modified ITO surface have lower turn on voltages than OLED configurations without SAMs. - Abstract: 5-[(3-Methylphenyl)(phenyl)amino]isophthalic acid (5-MePIFA) and 5-(diphenyl)amino]isophthalic acid (5-DPIFA) organic molecules were synthesized to form self-assembled monolayer on indium tin oxide (ITO) anode to enhance hole transport from ITO to organic hole transport layers such as TPD. The modified surface was characterized by scanning tunneling microscopy (STM). The change in the surface potential was measured by Kelvin probe force microscopy (KPFM). Our Kelvin probe force microscopy (KPFM) measurements showed that the surface potentials increased more than 100 mV with reference to bare indium tin-oxide. The results show that the threshold voltage on OLEDs with modified ITO is lowered significantly compared to OLEDs with unmodified ITO. The hole mobility of TPD has been estimated using space–charge-limited current measurements (SCLC)

  3. Electrical properties of SAM-modified ITO surface using aromatic small molecules with double bond carboxylic acid groups for OLED applications

    International Nuclear Information System (INIS)

    Can, Mustafa; Havare, Ali Kemal; Aydın, Hasan; Yagmurcukardes, Nesli; Demic, Serafettin; Icli, Sıddık; Okur, Salih

    2014-01-01

    Graphical abstract: - Highlights: • We report that the performance of OLED consist of aromatic small molecules with double bond carboxylic acid groups on ITO surface. • The OLED devices were tested in terms of electrical and optical characteristics. • The I–V results show that OLEDs with SAM-modified ITO surface have lower turn on voltages than OLED configurations without SAMs. - Abstract: 5-[(3-Methylphenyl)(phenyl)amino]isophthalic acid (5-MePIFA) and 5-(diphenyl)amino]isophthalic acid (5-DPIFA) organic molecules were synthesized to form self-assembled monolayer on indium tin oxide (ITO) anode to enhance hole transport from ITO to organic hole transport layers such as TPD. The modified surface was characterized by scanning tunneling microscopy (STM). The change in the surface potential was measured by Kelvin probe force microscopy (KPFM). Our Kelvin probe force microscopy (KPFM) measurements showed that the surface potentials increased more than 100 mV with reference to bare indium tin-oxide. The results show that the threshold voltage on OLEDs with modified ITO is lowered significantly compared to OLEDs with unmodified ITO. The hole mobility of TPD has been estimated using space–charge-limited current measurements (SCLC)

  4. Wavelet-fractal approach to surface characterization of nanocrystalline ITO thin films

    International Nuclear Information System (INIS)

    Raoufi, Davood; Kalali, Zahra

    2012-01-01

    In this study, indium tin oxide (ITO) thin films were prepared by electron beam deposition method on glass substrates at room temperature (RT). Surface morphology characterization of ITO thin films, before and after annealing at 500 °C, were investigated by analyzing the surface profile of atomic force microscopy (AFM) images using wavelet transform formalism. The wavelet coefficients related to the thin film surface profiles have been calculated, and then roughness exponent (α) of the films has been estimated using the scalegram method. The results reveal that the surface profiles of the films before and after annealing process have self-affine nature.

  5. Electronic States of High-k Oxides in Gate Stack Structures

    Science.gov (United States)

    Zhu, Chiyu

    In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen

  6. Transparent conductive Ta2O5-codoped ITO thin films prepared by different heating process

    International Nuclear Information System (INIS)

    Zhang, B.; Dong, X.P.; Wu, J.S.; Xu, X.F.

    2008-01-01

    Tantalum-doped indium tin oxide thin films were deposited by a cosputtering technique with an ITO target and a Ta 2 O 5 target. The variations of microstructure, electrical and optical properties with substrate temperature and annealing temperature were investigated in some detail. Ta-doped ITO thin films showed better crystalline structure with different prominent plane orientation by different heating process. ITO:Ta thin films deposited at room temperature showed better optical and electrical properties. Increasing substrate temperature and reasonable annealing temperature could remarkably improve the optical and electrical properties of the films. The variation of carrier concentration had an important influence on near-IR reflection, near-UV absorption and optical bandgap. ITO:Ta thin films showed wider optical bandgap. ITO:Ta thin films under the optimum parameters had a sheet resistance of 10-20 and ohm;/sq and a transmittance of 85% with an optical bandgap of above 4.0 eV. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Influence of a ZnO Buffer Layer on the Structural, Optical, and Electrical Properties of ITO/ZnO Bi-Layered Films

    International Nuclear Information System (INIS)

    Heo, Sung-Bo; Moon, Hyun-Joo; Kim, Daeil; Kim, Jun-Ho

    2016-01-01

    Sn-doped indium oxide (ITO) films and ITO/ZnO bi-layered films were prepared on polycarbonate substrates by RF magnetron sputtering without intentional substrate heating. In order to consider the influence of the ZnO thickness on the structural, optical, and electrical properties of ITO/ZnO films, the thickness of the ZnO buffer layer was varied from 5 to 20 nm. As-deposited ITO films show an average optical transmittance of 79.2% in the visible range and an electrical resistivity of 3.0×10"-"4 Ωcm, while films with a 5-nm thick ZnO buffer layer film show an electrical resistivity of 2.6×10"-"4 Ωcm and films with a 20-nm thick ZnO buffer layer show an optical transmittance of 82.0%. Based on the figure of merit, it is concluded that the ZnO buffer layer enhances the optical and electrical performance of ITO films used as transparent conducting oxides in flexible display applications.

  8. Role of Oxygen in Ionic Liquid Gating on Two-Dimensional Cr2Ge2Te6: A Non-oxide Material.

    Science.gov (United States)

    Chen, Yangyang; Xing, Wenyu; Wang, Xirui; Shen, Bowen; Yuan, Wei; Su, Tang; Ma, Yang; Yao, Yunyan; Zhong, Jiangnan; Yun, Yu; Xie, X C; Jia, Shuang; Han, Wei

    2018-01-10

    Ionic liquid gating can markedly modulate a material's carrier density so as to induce metallization, superconductivity, and quantum phase transitions. One of the main issues is whether the mechanism of ionic liquid gating is an electrostatic field effect or an electrochemical effect, especially for oxide materials. Recent observation of the suppression of the ionic liquid gate-induced metallization in the presence of oxygen for oxide materials suggests the electrochemical effect. However, in more general scenarios, the role of oxygen in the ionic liquid gating effect is still unclear. Here, we perform ionic liquid gating experiments on a non-oxide material: two-dimensional ferromagnetic Cr 2 Ge 2 Te 6 . Our results demonstrate that despite the large increase of the gate leakage current in the presence of oxygen, the oxygen does not affect the ionic liquid gating effect on  the channel resistance of Cr 2 Ge 2 Te 6 devices (ionic liquid gating is more effective on the modulation of the channel resistances compared to the back gating across the 300 nm thick SiO 2 .

  9. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    Science.gov (United States)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  10. Pilot production of 4 sq cm ITO/InP photovoltaic solar cells

    Science.gov (United States)

    Gessert, T. A.; Li, X.; Coutts, T. J.; Tzafaras, N.

    1991-01-01

    Experimental results of a pilot production of 32 4-sq cm indium tin oxide (ITO)InP space solar cells are presented. The discussion includes analysis of the device performance of the best cells produced as well as the performance range of all production cells. The experience gained from the production is discussed, indicating other issues that may be encountered when large-scale productions are initiated. Available data on a 4-sq cm ITO/InP cell that was flown on the UoSAT-5 satellite is reported.

  11. Preparation and Thermoelectric Characteristics of ITO/PtRh:PtRh Thin Film Thermocouple.

    Science.gov (United States)

    Zhao, Xiaohui; Wang, Hongmin; Zhao, Zixiang; Zhang, Wanli; Jiang, Hongchuan

    2017-12-15

    Thin film thermocouples (TFTCs) can provide more precise in situ temperature measurement for aerospace propulsion systems without disturbance of gas flow and surface temperature distribution of the hot components. ITO/PtRh:PtRh TFTC with multilayer structure was deposited on alumina ceramic substrate by magnetron sputtering. After annealing, the TFTC was statically calibrated for multiple cycles with temperature up to 1000 °C. The TFTC with excellent stability and repeatability was realized for the negligible variation of EMF in different calibration cycles. It is believed that owing to oxygen diffusion barriers by the oxidation of top PtRh layer and Schottky barriers formed at the grain boundaries of ITO, the variation of the carrier concentration of ITO film is minimized. Meanwhile, the life time of TFTC is more than 30 h in harsh environment. This makes ITO/PtRh:PtRh TFTC a promising candidate for precise surface temperature measurement of hot components of aeroengines.

  12. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  13. Structural, optical and electrical characteristics of ITO thin films deposited by sputtering on different polyester substrates

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.

    2008-01-01

    Indium tin oxide (ITO) thin films were deposited by sputtering at room temperature on glass and different polyester substrates; namely polyarylate (PA), polycarbonate (PC) and polyethylene terephtalate (PET). The influence of the substrate on the structural, optical and electrical characteristics of the ITO layers was investigated. The sputtered films exhibited crystallization in the (2 2 2) orientation, with higher mean crystallite size and lower structural distortion onto PET than onto PA, PC or glass substrates. ITO films deposited onto PET showed also higher band gap energy, higher carrier concentration and lower resistivity than the ITO layers onto the other tested substrates. These optical and electrical characteristics have been related to the structural distortion that was found dependent on the specific polyester substrate

  14. Performance of organic field effect transistors with high-k gate oxide after application of consecutive bias stress

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sunwoo; Choi, Changhwan; Lee, Kilbock [Department of Materials Science and Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Cho, Joong Hwee [Department of Embedded Systems Engineering,University of Incheon, Incheon 406-722 (Korea, Republic of); Ko, Ki-Young [Korea Institute of Patent Information, Seoul, 146-8 (Korea, Republic of); Ahn, Jinho, E-mail: jhahn@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of)

    2012-10-30

    We report the effect of consecutive electrical stress on the performance of organic field effect transistors (OFETs). Sputtered aluminum oxide (Al{sub 2}O{sub 3}) and hafnium oxide (HfO{sub 2}) were used as gate oxide layers. After the electrical stress, the threshold voltage, which strongly depends on bulk defects, was remarkably shifted to the negative direction, while the other performance characteristics of OFETs such as on-current, transconductance and mobility, which are sensitive to interface defects, were slightly decreased. This result implies that the defects in the bulk layer are significantly affected compared to the defects in the interface layer. Thus, it is important to control the defects in the pentacene bulk layer in order to maintain the good reliabilities of pentacene devices. Those defects in HfO{sub 2} gate oxide devices were larger compared to those in Al{sub 2}O{sub 3} gate oxide devices.

  15. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  16. Electro-synthesis, characterization and photoconducting performance of ITO/polybithiophene–MnO{sub 2} composite

    Energy Technology Data Exchange (ETDEWEB)

    Zouaoui, H.; Abdi, D. [Laboratoire d’Energétique et d’Electrochimie du Solide, Université Ferhat Abbas Sétif-1, Sétif 19000 (Algeria); Bahloul, A.; Nessark, B. [Laboratoire d’Electrochimie et Matériaux, Université Ferhat Abbas Sétif-1, Sétif 19000 (Algeria); Briot, E.; Groult, H. [Sorbonne Universités, Université Paris 6 (UPMC), Physicochimie des Electrolytes et Nanosystèmes Interfaciaux (PHENIX), 4 place Jussieu, 75252 Paris Cedex 05 (France); Mauger, A. [Sorbonne Universités, Université Paris 6 (UPMC), Institut de Minéralogie et de Physique des Milieux Condensés (IMPMC), 4 place Jussieu, 75252 Paris Cedex 05 (France); Julien, C.M., E-mail: christian.julien@upmc.fr [Sorbonne Universités, Université Paris 6 (UPMC), Physicochimie des Electrolytes et Nanosystèmes Interfaciaux (PHENIX), 4 place Jussieu, 75252 Paris Cedex 05 (France)

    2016-06-15

    Highlights: • PBTh–MnO{sub 2} composites are prepared by electro-polymerization of bithiophene on ITO. • Photocurrent of ITO/PBTh–MnO{sub 2} films is three times higher than that of ITO/PBTh substrate. • Electrochemical gap, HOMO and LUMO potentials are determined. • ITO/PBTh–MnO{sub 2} films can be used as a new active material in solar cells. - Abstract: Manganese dioxide is synthesized by reduction reaction of potassium permanganate with hydrogen peroxide. The as-synthesized α-MnO{sub 2} is characterized by powder X-ray diffraction and infrared spectroscopy. The MnO{sub 2} particles are used to prepare composite films containing polybithophene (PBTh) on indium tin oxide (ITO) glass substrates. The composite films ITO/PBTh–MnO{sub 2} are obtained by electro-polymerization of bithiophene in the presence the α-MnO{sub 2} particles dispersed in the electrolytic solution. The XRD and SEM analyses show that the α-MnO{sub 2} particles of size in the range 100–300 nm are incorporated in the polymer. The films are characterized by cyclic voltammetry impedance spectroscopy, UV–vis spectroscopy and scanning electron microscopy. As a result, the electrochemical gap and the optical gap are shifted by the incorporation of MnO{sub 2} from 2.15 eV for ITO/PBTh to 1.88 eV for ITO/PBTh–MnO{sub 2}, while the electrical conductivity decreases from 195.35 S/cm for ITO/PBTh down to 0.047 S/cm for ITO/PBTh–MnO{sub 2} at the highest MnO{sub 2} investigated. The photo-electrochemical measurements also indicate that the ITO/PBTh–MnO{sub 2} films show a photocurrent that is three times higher than that of ITO/PBTh substrate to reach 20.6 μA cm{sup −2}, so that such a composite can be used as a new active material in solar cells.

  17. Highly transparent ITO thin films on photosensitive glass: sol-gel synthesis, structure, morphology and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Koroesi, Laszlo; Papp, Szilvia; Dekany, Imre [University of Szeged, Supramolecular and Nanostructured Materials Research Group of the Hungarian Academy of Sciences, Szeged (Hungary); Beke, Szabolcs [Italian Institute of Technology, Department of Nanophysics, Genova (Italy); Pecz, Bela; Horvath, Robert; Petrik, Peter; Agocs, Emil [Research Institute for Technical Physics and Materials Science, Budapest (Hungary)

    2012-05-15

    Conductive and highly transparent indium tin oxide (ITO) thin films were prepared on photosensitive glass substrates by the combination of sol-gel and spin-coating techniques. First, the substrates were coated with amorphous Sn-doped indium hydroxide, and these amorphous films were then calcined at 550 {sup circle} C to produce crystalline and electrically conductive ITO layers. The resulting thin films were characterized by means of scanning electron microscopy, UV-Vis spectroscopy, X-ray photoelectron spectroscopy and spectroscopic ellipsometry. The measurements revealed that the ITO films were composed of spherical crystallites around 20 nm in size with mainly cubic crystal structure. The ITO films acted as antireflection coatings increasing the transparency of the coated substrates compared to that of the bare supports. The developed ITO films with a thickness of {proportional_to}170-330 nm were highly transparent in the visible spectrum with sheet resistances of 4.0-13.7 k{omega}/sq. By coating photosensitive glass with ITO films, our results open up new perspectives in micro- and nano-technology, for example in fabricating conductive and highly transparent 3D microreactors. (orig.)

  18. Three-dimensionally embedded indium tin oxide (ITO) films in photosensitive glass: a transparent and conductive platform for microdevices

    International Nuclear Information System (INIS)

    Beke, S.; Sugioka, K.; Midorikawa, K.; Koroesi, L.; Dekany, I.

    2011-01-01

    A new method for embedding transparent and conductive two- and three-dimensional microstructures in glass is presented. We show that the internal surface of hollow structures fabricated by femtosecond-laser direct writing inside the photosensitive glass can be coated by indium tin oxide (Sn-doped In 2 O 3 , ITO) using a sol-gel process. The idea of combining two transparent materials with different electrical properties, i.e., insulating and conductive, is very promising and hence it opens new prospects in manufacturing cutting edge microdevices, such as lab-on-a-chips (LOCs) and microelectromechanical systems (MEMS). (orig.)

  19. Chemical mechanical polishing characteristics of ITO thin film prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Kang-Yeon; Choi, Gwon-Woo; Kim, Yong-Jae; Choi, Youn-Ok; Kim, Nam-Oh

    2012-01-01

    Indium-tin-oxide (ITO) thin films have attracted intensive interest because of their unique properties of good conductivity, high optical transmittance over the visible region and easy patterning ability. ITO thin films have found many applications in anti-static coatings, thermal heaters, solar cells, flat panel displays (FPDs), liquid crystal displays (LCDs), electroluminescent devices, sensors and organic light-emitting diodes (OLEDs). ITO thin films are generally fabricated by using various methods, such as spraying, chemical vapor deposition (CVD), evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive sputtering. In this research, ITO films were grown on glass substrates by using a radio-frequency (RF) magnetron sputtering method. In order to achieve a high transmittance and a low resistivity, we examined the various film deposition conditions, such as substrate temperature, working pressure, annealing temperature, and deposition time. Next, in order to improve the surface quality of the ITO thin films, we performed a chemical mechanical polishing (CMP) with different process parameters and compared the electrical and the optical properties of the polished ITO thin films. The best CMP conditions with a high removal rate, low nonuniformity, low resistivity and high transmittance were as follows: platen speed, head speed, polishing time, and slurry flow rate of 30 rpm, 30 rpm, 60 sec, and 60 ml/min, respectively.

  20. Investigation of ITO layers for application as transparent contacts in flexible photovoltaic cell structures

    Science.gov (United States)

    Znajdek, Katarzyna; Sibiński, Maciej

    2013-07-01

    In this paper authors present the mechanical, optical and electrical parameters of Indium Tin Oxide (ITO) Transparent Conductive Layers (TCL) deposited on flexible substrate. Layers' properties are analyzed and verified. Investigated Transparent Conductive Oxide (TCO) was deposited, using magnetron sputtering method. Flexible polymer PET (polyethylene terephthalate) foil was used as a substrate, in order to photovoltaic (PV) cell's emitter contact application of investigated material. ITO-coated PET foils have been dynamically bent on numerous cylinders of various diameters according to the standard requirements. Resistance changes for each measured sample were measured and recorded during bending cycle. Thermal durability, as well as temperature influence on resistance and optical transmission are verified. Presented results were conducted to verify practical suitability and to evaluate possible applications of Indium Tin Oxide as a front contact in flexible photovoltaic cell structures.

  1. Preparation and characterization of nanocrystalline ITO thin films on glass and clay substrates by ion-beam sputter deposition method

    International Nuclear Information System (INIS)

    Venkatachalam, S.; Nanjo, H.; Kawasaki, K.; Wakui, Y.; Hayashi, H.; Ebina, T.

    2011-01-01

    Nanocrystalline indium tin oxide (ITO) thin films were prepared on clay-1 (Clay-TPP-LP-SA), clay-2 (Clay-TPP-SA) and glass substrates using ion-beam sputter deposition method. X-ray diffraction (XRD) patterns showed that the as-deposited ITO films on both clay-1 and clay-2 substrates were a mixture of amorphous and polycrystalline. But the as-deposited ITO films on glass substrates were polycrystalline. The surface morphologies of as-deposited ITO/glass has smooth surface; in contrast, ITO/clay-1 has rough surface. The surface roughnesses of ITO thin films on glass and clay-1 substrate were calculated as 4.3 and 83 nm, respectively. From the AFM and SEM analyses, the particle sizes of nanocrystalline ITO for a film thickness of 712 nm were calculated as 19.5 and 20 nm, respectively. Optical study showed that the optical transmittance of ITO/clay-2 was higher than that of ITO/clay-1. The sheet resistances of as-deposited ITO/clay-1 and ITO/clay-2 were calculated as 76.0 and 63.0 Ω/□, respectively. The figure of merit value for as-deposited ITO/clay-2 (12.70 x 10 -3 /Ω) was also higher than that of ITO/clay-1 (9.6 x 10 -3 /Ω), respectively. The flexibilities of ITO/clay-1 and ITO/clay-2 were evaluated as 13 and 12 mm, respectively. However, the ITO-coated clay-2 substrate showed much better optical and electrical properties as well as flexibility as compared to clay-1.

  2. Electrical properties of ZnO-based bottom-gate thin film transistors fabricated by using radio frequency magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Navamathavan, R. [Nano Thin Film Materials Laboratory, Department of Physics, Cheju National University, Jeju 690-756 (Korea, Republic of)], E-mail: n_mathavan@yahoo.com; Choi, Chi Kyu [Nano Thin Film Materials Laboratory, Department of Physics, Cheju National University, Jeju 690-756 (Korea, Republic of); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju 500-712 (Korea, Republic of)

    2009-05-05

    We report on enhancement-mode thin film transistors (TFTs) using ZnO as an active channel layer deposited by radio frequency (rf) magnetron sputtering at 300 deg. C. The TFT structure consisted of ZnO as a channel, SiN{sub x} as a gate insulator and indium tin oxide (ITO) as a gate which were deposited onto a Corning glass substrate. X-ray diffraction pattern revealed that dense columnar structure of closely packed ZnO nano grains along the c-axis. The transfer characteristics of a typical ZnO TFT exhibited a field effect mobility of 31 cm{sup 2}/V s, a drain current on/off ratio of 10{sup 4}, the low off-current value in the order of 10{sup -10} A, and a threshold voltage of 1.7 V. The transparent ZnO TFT exhibited n-channel enhancement mode behavior.

  3. ZnO nanowire-based nano-floating gate memory with Pt nanocrystals embedded in Al{sub 2}O{sub 3} gate oxides

    Energy Technology Data Exchange (ETDEWEB)

    Yeom, Donghyuk; Kang, Jeongmin; Lee, Myoungwon; Jang, Jaewon; Yun, Junggwon; Jeong, Dong-Young; Yoon, Changjoon; Koo, Jamin; Kim, Sangsig [Department of Electrical Engineering and Institute for Nano Science, Korea University, Seoul 136-701 (Korea, Republic of)], E-mail: sangsig@korea.ac.kr

    2008-10-01

    The memory characteristics of ZnO nanowire-based nano-floating gate memory (NFGM) with Pt nanocrystals acting as the floating gate nodes were investigated in this work. Pt nanocrystals were embedded between Al{sub 2}O{sub 3} tunneling and control oxide layers deposited on ZnO nanowire channels. For a representative ZnO nanowire-based NFGM with embedded Pt nanocrystals, a threshold voltage shift of 3.8 V was observed in its drain current versus gate voltage (I{sub DS}-V{sub GS}) measurements for a double sweep of the gate voltage, revealing that the deep effective potential wells built into the nanocrystals provide our NFGM with a large charge storage capacity. Details of the charge storage effect observed in this memory device are discussed in this paper.

  4. Bannai-Ito polynomials and dressing chains

    OpenAIRE

    Derevyagin, Maxim; Tsujimoto, Satoshi; Vinet, Luc; Zhedanov, Alexei

    2012-01-01

    Schur-Delsarte-Genin (SDG) maps and Bannai-Ito polynomials are studied. SDG maps are related to dressing chains determined by quadratic algebras. The Bannai-Ito polynomials and their kernel polynomials -- the complementary Bannai-Ito polynomials -- are shown to arise in the framework of the SDG maps.

  5. Degradation of Ultra-Thin Gate Oxide NMOSFETs under CVDT and SHE Stresses

    International Nuclear Information System (INIS)

    Shi-Gang, Hu; Yan-Rong, Cao; Yue, Hao; Xiao-Hua, Ma; Chi, Chen; Xiao-Feng, Wu; Qing-Jun, Zhou

    2008-01-01

    Degradation of device under substrate hot-electron (SHE) and constant voltage direct-tunnelling (CVDT) stresses are studied using NMOSFET with 1.4-nm gate oxides. The degradation of device parameters and the degradation of the stress induced leakage current (SILC) under these two stresses are reported. The emphasis of this paper is on SILC and breakdown of ultra-thin-gate-oxide under these two stresses. SILC increases with stress time and several soft breakdown events occur during direct-tunnelling (DT) stress. During SHE stress, SILC firstly decreases with stress time and suddenly jumps to a high level, and no soft breakdown event is observed. For DT injection, the positive hole trapped in the oxide and hole direct-tunnelling play important roles in the breakdown. For SHE injection, it is because injected hot electrons accelerate the formation of defects and these defects formed by hot electrons induce breakdown. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. Optimization of ITO layers for applications in a-Si/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Pla, J.; Tamasi, M.; Rizzoli, R.; Losurdo, M.; Centurioni, E.; Summonte, C.; Rubinelli, F

    2003-02-03

    A detailed study of the properties of indium tin oxide (ITO) thin films used as antireflecting front electrodes in a-Si/c-Si heterojunction solar cells is presented. The deposition conditions of ITO layers by radiofrequency magnetron sputtering were optimized for heterojunction solar cells applications. The X-ray photoelectron spectroscopy analysis of the deposited films allowed for a correlation between the film composition and the experimental parameters used in the sputtering process. The ITO thickness was optimized considering the thickness of the a-Si emitter layer, its optical characteristics and the heterojunction solar cell spectral response. In our devices, the optimal thickness calculated for the ITO film was in the range 80-95 nm, depending on the solar cell spectral response, and a thickness tolerance of {+-}10 nm was found to be suitable to limit the degradation of the device performance. Finally, device simulation results obtained by the 'Analysis of Microelectronic and Photonic Structures' code are reported.

  7. Organic light emitting diodes on ITO-free polymer anodes

    Energy Technology Data Exchange (ETDEWEB)

    Fehse, Karsten; Schwartz, Gregor; Walzer, Karsten; Leo, Karl [Institut fuer Angewandte Photophysik, TU Dresden, D-01062 Dresden (Germany)

    2007-07-01

    The high material cost of indium, being the main component of the commonly used indium-tin-oxide anodes (ITO) in organic light emitting diodes (OLEDs), is an obstacle for the production of efficient low-cost OLEDs. Therefore, new anode materials are needed for large scale OLED production. Recently, we demonstrated that the polymer PEDOT:PSS can substitute ITO as anode. Another highly conductive polymer is polyaniline (PANI) that provides 200 S/cm with a work function of 4.8 eV. In this study, we use PANI as anode for OLEDs (without ITO layer underneath the polymer) with electrically doped hole- and electron transport layers and intrinsic materials in between. Fluorescent blue (Spiro-DPVBi) as well as phosphorescent green (Ir(ppy){sub 3}) and red emitters (Ir(MDQ){sub 2}(acac)) were used for single colour and white OLEDs. Green single and double emission OLEDs achieve device efficiencies of 34 lm/W and 40.7 lm/W, respectively. The white OLED shows a power efficiency of 8.9 lm/W at 1000 cd/m{sup 2} with CIE coordinates of (0.42/0.39).

  8. 7 CFR 254.3 - Administration by an ITO.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 4 2010-01-01 2010-01-01 false Administration by an ITO. 254.3 Section 254.3... FOR INDIAN HOUSEHOLDS IN OKLAHOMA § 254.3 Administration by an ITO. (a) Applicability of part 253. All... any claim and to settle and adjust any claim against an ITO. (d) ITO administration. The ITO, acting...

  9. Development of nanocrystalline Indium Tin Oxide (ITO) thin films using RF-magnetron sputtering

    International Nuclear Information System (INIS)

    Tamilselvan, N.; Thilakan, Periyasamy

    2013-01-01

    ITO thin films have been deposited on glass substrate using RF Magnetron puttering Technique from the pre-synthesized ITO target. The sputtering parameters such as the deposition temperature, gas composition and the RF power densities were varied. X-ray diffraction studies revealed that the crystallization of the films is mostly depending on the RF power density and substrate temperature. Crystallized films exhibited a change in the preferred orientation from (111) plane to (100) plane at specific conditions such as high RF power density and high oxygen mixing to the plasma. Change in the film microstructure and a shift in the optical bandgap were recorded from the SEM and UV-Visible measurements respectively. (author)

  10. Life cycle assessment of ITO-free flexible polymer solar cells prepared by roll-to-roll coating and printing

    DEFF Research Database (Denmark)

    Espinosa Martinez, Nieves; García-Valverde, Rafael; Urbina, Antonio

    2012-01-01

    Indium is a scarce and expensive material that has been identified as a bottleneck for future organic electronics deployment in large scale. Indium is the main constituent of Indium Tin Oxide (ITO), which is the most successful transparent electrode in organic photovoltaics (OPV) so far. A new...... process, termed Hiflex, allows for manufacture of flexible OPV modules where the ITO electrode has been replaced by a sputtered Al/Cr electrode in an inverted device architecture with front illumination. This work presents a life cycle assessment of the Hiflex process, in order to compare...... the environmental impact of avoiding ITO as electrode. The new ITO-free process reduces some of the processing steps, leading to important reductions of the energy input during OPV module manufacturing in comparison to ITO-based modules. The environmental analysis reveals an Energy Pay-Back time (EPBT) of 10 years...

  11. Optical and electrical properties of transparent conductive ITO thin films under proton radiation with 100 keV

    International Nuclear Information System (INIS)

    Wei, Q.; He, S.Y.; Yang, D.Z.; Liu, J.C.

    2005-01-01

    Under the simulation environment for the vacuum and heat sink in space, the changes in optical and electrical properties of transparent conductive indium tin oxide (ITO) thin films induced by radiation of protons with 100 keV were studied. The ITO thin films were deposited on JGS1 quartz substrate by a sol-gel method. The sheet resistance and transmittance spectra of the ITO thin films were measured using the four-point probe method and a spectrophotometer, respectively. The surface morphology was analyzed by AFM. The experimental results showed that the electrical and optical performances of the ITO thin films were closely related to the irradiation fluence. When the fluence exceeded a given value 2 x 10 16 cm -2 , the sheet resistance increased obviously and the optical transmittance decreased. The AFM analysis indicated that the grain size of the ITO thin films diminished. The studies about the radiation effect on ITO thin films will help to predict performance evolution of the second surface mirrors on satellites under space radiation environment. (orig.)

  12. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  13. Technique for forming ITO films with a controlled refractive index

    Energy Technology Data Exchange (ETDEWEB)

    Markov, L. K., E-mail: l.markov@mail.ioffe.ru; Smirnova, I. P.; Pavluchenko, A. S.; Kukushkin, M. V.; Zakheim, D. A.; Pavlov, S. I. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2016-07-15

    A new method for fabricating transparent conducting coatings based on indium-tin oxide (ITO) with a controlled refractive index is proposed. This method implies the successive deposition of material by electron-beam evaporation and magnetron sputtering. Sputtered coatings with different densities (and, correspondingly, different refractive indices) can be obtained by varying the ratio of the mass fractions of material deposited by different methods. As an example, films with effective refractive indices of 1.2, 1.4, and 1.7 in the wavelength range of 440–460 nm are fabricated. Two-layer ITO coatings with controlled refractive indices of the layers are also formed by the proposed method. Thus, multilayer transparent conducting coatings with desired optical parameters can be produced.

  14. Optical and electrical characterization of r.f. sputtered ITO films developed as art protection coatings

    International Nuclear Information System (INIS)

    Boycheva, Sylvia; Sytchkova, Anna Krasilnikova; Piegari, Angela

    2007-01-01

    Transparent and conductive tin-doped indium oxide (ITO) films have been prepared by r.f. plasma sputtering technique in Ar and Ar + O 2 gas mixture. The influence of the deposition conditions, film thickness, and substrate heating, as well as the post-annealing treatment on the optical and electrical properties of the ITO films has been investigated. The present study has extended the optical behaviour characterization of the ITO films in a wide UV-VIS-IR spectral region in addition to the comprehensive optical studies of this material at shorter wavelengths. The optical constants: refractive index (n), extinction (k) and absorption (α) coefficient, and the optical band gap (E go ) have been calculated for the ITO films in the spectral range between 350 and 2500 nm. A combination of several well-known theoretical models has been applied to describe precisely the complex optical behaviour of ITO films in separate spectral parts. In this approach, a good overlapping between the experimental and the simulated spectra in the whole investigated spectral region has been achieved. The deposition conditions and the optical and electrical properties of the ITO films have been optimized with respect to the requirements for their applications in art protection coatings

  15. Patterning of nanoparticulate transparent conductive ITO films using UV light irradiation and UV laser beam writing

    International Nuclear Information System (INIS)

    Solieman, A.; Moharram, A.H.; Aegerter, M.A.

    2010-01-01

    Indium tin oxide (ITO) thin film is one of the most widely used as transparent conductive electrodes in all forms of flat panel display (FPD) and microelectronic devices. Suspension of already crystalline conductive ITO nanoparticles fully dispersed in alcohol was spun, after modifying with coupling agent, on glass substrates. The low cost, simple and versatile traditional photolithography process without complication of the photoresist layer was used for patterning ITO films. Using of UV light irradiation through mask and direct UV laser beam writing resulted in an accurate linear, sharp edge and very smooth patterns. Irradiated ITO film showed a high transparency (∼85%) in the visible region. The electrical sheet resistance decrease with increasing time of exposure to UV light and UV laser. Only 5 min UV light irradiation is enough to decrease the electrical sheet resistance down to 5 kΩ□.

  16. Flexible diode of polyaniline/ITO heterojunction on PET substrate

    Science.gov (United States)

    Bera, A.; Deb, K.; Kathirvel, V.; Bera, T.; Thapa, R.; Saha, B.

    2017-10-01

    Hybrid organic-inorganic heterojunction between polyaniline and ITO film coated on flexible polyethylene terephthalate (PET) substrate has been prepared through vapor phase polymerization process. Polaron and bipolaron like defect states induced hole transport and exceptional mobility makes polyaniline a noble hole transport layer. Thus a p-n junction has been obtained between the hole transport layer of polyaniline and highly conductive n-type layer of ITO film. The synthesis process was carried out using FeCl3 as polymerizing agent in the oxidative chemical polymerization process. The prepared polyaniline has been found to be crystalline on characterization through X-ray diffraction measurement. X-ray photoelectron spectroscopic measurements were done for compositional analysis of the prepared film. The UV-vis-NIR absorbance spectra obtained for polyaniline shows the characteristics absorbance as observed for highly conductive polyaniline and confirms the occurrence of partially oxidized emeraldine form of polyaniline. The energy band gap of the polyaniline has been obtained as 2.52 eV, by analyzing the optical transmittance spectra. A rectifying behavior has been observed in the electrical J-V plot, which is of great significance in designing polymer based flexible electronic devices.

  17. Investigation of the influence of growth parameters on self-catalyzed ITO nanowires by high RF-power sputtering

    Science.gov (United States)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-04-01

    Tin-doped indium oxide (ITO) nanowires are successfully fabricated using a radio frequency (RF) sputtering technique with a high RF power of 250 W. The fabrication of the ITO nanowires is optimized through the study of oxygen flow rates, temperatures and RF power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target is observed and the mechanism for the difference is discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method demonstrated good conductivity (15 Ω sq-1) and a transmittance of more than 64% at a wavelength longer than 550 nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices.

  18. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.

  19. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.

    2010-11-19

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  20. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.

    2010-01-01

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  1. On the Mechanism of In Nanoparticle Formation by Exposing ITO Thin Films to Hydrogen Plasmas.

    Science.gov (United States)

    Fan, Zheng; Maurice, Jean-Luc; Chen, Wanghua; Guilet, Stéphane; Cambril, Edmond; Lafosse, Xavier; Couraud, Laurent; Merghem, Kamel; Yu, Linwei; Bouchoule, Sophie; Roca I Cabarrocas, Pere

    2017-10-31

    We present our systematic work on the in situ generation of In nanoparticles (NPs) from the reduction of ITO thin films by hydrogen (H 2 ) plasma exposure. In contrast to NP deposition from the vapor phase (i.e., evaporation), the ITO surface can be considered to be a solid reservoir of In atoms thanks to H 2 plasma reduction. On one hand, below the In melting temperature, solid In NP formation is governed by the island-growth mode, which is a self-limiting process because the H 2 plasma/ITO interaction will be gradually eliminated by the growing In NPs that cover the ITO surface. On the other hand, we show that above the melting temperature In droplets prefer to grow along the grain boundaries on the ITO surface and dramatic coalescence occurs when the growing NPs connect with each other. This growth-connection-coalescence behavior is even strengthened on In/ITO bilayers, where In particles larger than 10 μm can be formed, which are made of evaporated In atoms and in situ released ones. Thanks to this understanding, we manage to disperse dense evaporated In NPs under H 2 plasma exposure when inserting an ITO layer between them and substrate like c-Si wafer or glass by modifying the substrate surface chemistry. Further studies are needed for more precise control of this self-assembling method. We expect that our findings are not limited to ITO thin films but could be applicable to various metal NPs generation from the corresponding metal oxide thin films.

  2. ITO-MgF2 Film Development for PowerSphere Polymer Surface Protection

    Science.gov (United States)

    Hambourger, Paul D.; Kerslake, Thomas W.; Waters, Deborah L.

    2004-01-01

    Multi-kilogram class microsatellites with a PowerSphere electric power system are attractive for fulfilling a variety of potential NASA missions. However, PowerSphere polymer surfaces must be coated with a film that has suitable electrical sheet resistivity for electrostatic discharge control, be resistant to atomic oxygen attack, be transparent to ultraviolet light for composite structure curing and resist ultraviolet light induced darkening for efficient photovoltaic cell operation. In addition, the film must be tolerant of polymer layer folding associated with launch stowage of PowerSphere inflatable structures. An excellent film material candidate to meet these requirements is co-sputtered, indium oxide (In2O3) - tin oxide (SnO2), known as 'ITO', and magnesium fluoride (MgF2). While basic ITO-MgF2 film properties have been the subject of research over the last decade, further research is required in the areas of film durability for space-inflatable applications and precise film property control for large scale commercial production. In this paper, the authors present film durability results for a folded polymer substrate and film resistance to vacuum UV darkening. The authors discuss methods and results in the area of film sheet resistivity measurement and active control, particularly dual-channel, plasma emission line measurement of ITO and MgF2 plasma sources. ITO-MgF2 film polymer coupon preparation is described as well as film deposition equipment, procedures and film characterization. Durability testing methods are also described. The pre- and post-test condition of the films is assessed microscopically and electrically. Results show that an approx. 500A ITO-18vol% MgF2 film is a promising candidate to protect PowerSphere polymer surfaces for Earth orbit missions. Preliminary data also indicate that in situ film measurement methods are promising for active film resistivity control in future large scale production. Future film research plans are also

  3. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    Energy Technology Data Exchange (ETDEWEB)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin; Roy, A. -M.; Curry, Matthew Jon; Ten Eyck, Gregory A.; Manginell, Ronald P.; Wendt, Joel R.; Pluym, Tammy; Carr, Stephen M; Ward, Daniel Robert; Lilly, Michael; pioro-ladriere, michel

    2017-07-01

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down to the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.

  4. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  5. Electrodeposition of lead on ITO electrode: influence of copper as an additive

    International Nuclear Information System (INIS)

    Avellaneda, Cesar O.; Napolitano, Marcos A.; Kaibara, Evandro K.; Bulhoes, Luis O.S.

    2005-01-01

    The reversible electrodeposition of metallic lead onto indium-tin oxide coated glass (ITO) was investigated and the influence of Cu(NO 3 ) 2 ·3H 2 O as additive was evaluated. The presence of Cu 2+ in the electrolytic solution produces a higher variation in the optical transmissivity. The optical response of the system changes from 85 to 10% relative to the ITO coated substrate. The kinetics of the electroreduction process of the Pb 2+ and Cu 2+ from the electrolytes has been determined by electrochemical impedance spectroscopy (EIS) at different electrodeposition potentials. This system may be a promising candidate for electrochromic materials

  6. Electrical and Plasmonic Properties of Ligand-Free Sn(4+) -Doped In2 O3 (ITO) Nanocrystals.

    Science.gov (United States)

    Jagadeeswararao, Metikoti; Pal, Somnath; Nag, Angshuman; Sarma, D D

    2016-03-03

    Sn(4+) -doped In2 O3 (ITO) is a benchmark transparent conducting oxide material. We prepared ligand-free but colloidal ITO (8 nm, 10 % Sn(4+) ) nanocrystals (NCs) by using a post-synthesis surface-modification reaction. (CH3 )3 OBF4 removes the native oleylamine ligand from NC surfaces to give ligand-free, positively charged NCs that form a colloidal dispersion in polar solvents. Both oleylamine-capped and ligand-free ITO NCs exhibit intense absorption peaks, due to localized surface plasmon resonance (LSPR) at around λ=1950 nm. Compared with oleylamine-capped NCs, the electrical resistivity of ligand-free ITO NCs is lower by an order of magnitude (≈35 mΩ cm(-1) ). Resistivity over a wide range of temperatures can be consistently described as a composite of metallic ITO grains embedded in an insulating matrix by using a simple equivalent circuit, which provides an insight into the conduction mechanism in these systems. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Electrical properties of transparent CNT and ITO coatings on PET substrate including nano-structural aspects

    Science.gov (United States)

    Park, Joung-Man; Wang, Zuo-Jia; Kwon, Dong-Jun; Gu, Ga-Young; Lawrence DeVries, K.

    2013-01-01

    Ultraviolet (UV)-visible spectra and surface resistance measurement were used to investigate optical transmittance and conductive properties of carbon nanotube (CNT) and indium tin oxide (ITO) coated polyethylene terephthalate (PET) substrates. Conductive CNT and ITO coatings were successfully fabricated on PET by a spray-coating method. Thin coatings of both materials exhibited good conductivity and transparency. Changes in electrical and optical properties of the coatings were studied as a function of the coating suspension concentration. Interfacial durability of the coatings on PET substrates was also investigated under fatigue and bending loads. CNT coated substrates, with high aspect ratios, exhibited no detectable change in surface resistance up to 2000 cyclic loadings, whereas the ITO coated substrates exhibited a substantial increase in surface resistance at 1000 loading cycles. This change in resistance is attributed to a reduction in the number and effectiveness of the electrical contact points due to the inherent brittle nature of ITO.

  8. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  9. Interfacial behavior of resistive switching in ITO-PVK-Al WORM memory devices

    Science.gov (United States)

    Whitcher, T. J.; Woon, K. L.; Wong, W. S.; Chanlek, N.; Nakajima, H.; Saisopa, T.; Songsiriritthigul, P.

    2016-02-01

    Understanding the mechanism of resistive switching in a memory device is fundamental in order to improve device performance. The mechanism of current switching in a basic organic write-once read-many (WORM) memory device is investigated by determining the energy level alignments of indium tin oxide (ITO), poly(9-vinylcarbazole) (PVK) and aluminum (Al) using x-ray and ultraviolet photoelectron spectroscopy, current-voltage characterization and Auger depth profiling. The current switching mechanism was determined to be controlled by the interface between the ITO and the PVK. The electric field applied across the device causes the ITO from the uneven surface of the anode to form metallic filaments through the PVK, causing a shorting effect within the device leading to increased conduction. This was found to be independent of the PVK thickness, although the switch-on voltage was non-linearly dependent on the thickness. The formation of these filaments also caused the destruction of the interfacial dipole at the PVK-Al interface.

  10. Optical properties of ITO films obtained by high-frequency magnetron sputtering with accompanying ion treatment

    Energy Technology Data Exchange (ETDEWEB)

    Krylov, P. N., E-mail: ftt@uni.udm.ru; Zakirova, R. M.; Fedotova, I. V. [Udmurt State University (Russian Federation)

    2013-10-15

    A variation in the properties of indium-tin-oxide (ITO) films obtained by the method of reactive magnetron sputtering with simultaneous ion treatment is reported. The ITO films feature the following parameters in the optical range of 450-1100 nm: a transmission coefficient of 80%, band gap of 3.50-3.60 eV, and a refractive index of 1.97-2.06. All characteristics of the films depend on the ion-treatment current. The latter, during the course of deposition, reduces the resistivity of the ITO films with the smallest value of the resistivity being equal to 2 Multiplication-Sign 10{sup -3} {Omega} cm. The degradation of films with a high resistivity when kept in air is observed.

  11. Low-Cost Upscaling Compatibility of Five Different ITO-Free Architectures for Polymer Solar Cells

    DEFF Research Database (Denmark)

    Angmo, Dechan; Gonzalez-Valls, Irene; Veenstra, Sjoerd

    2013-01-01

    Five different indium-tin-oxide free (ITO-free) polymer solar cell architectures provided by four participating research institutions that all presented a laboratory cell performance sufficient for use in mobile and information and communication technology (ICT) were evaluated based on photovoltaic...... performance and lifetime tests according to the ISOS protocols. The comparison of the different device architectures was performed using the same active material (P3HT: PCBM) and tested against an ITO-based reference device. The active area was 1 cm2 and rigid glass or flexible polyester substrates were...

  12. General observation of the memory effect in metal-insulator-ITO structures due to indium diffusion

    International Nuclear Information System (INIS)

    Wu, Xiaojing; Xu, Huihua; Zhao, Ni; Wang, Yu; Rogach, Andrey L; Shen, Yingzhong

    2015-01-01

    Resistive random access memory (RRAM) devices based on metal oxides, organic molecules and inorganic nanocrystals (NCs) have been studied extensively in recent years. Different memory switching mechanisms have been proposed and shown to be closely related to the device architectures. In this work, we demonstrate that the use of an ITO/active layer/InGa structure can yield nonvolatile resistive memory behavior in a variety of active materials, including polymers, organic small molecules, and colloidal NCs. Through the electrode material and thickness-dependent study, we show that the ON state of the devices is associated with filamentary conduction induced by indium diffusion from the ITO electrode, occurring mostly within around 40–50 nm from the ITO/active layer interface. A negative differential resistance (NDR) regime is observed during transition from the ON to OFF state, and is explained by the space charge limited current (SCLC) effect due to hole injection at the ITO/active layer interface. Our study reveals the impact of indium diffusion at the ITO/active layer interface, an important factor that should be taken into consideration when designing thin printed RRAM devices. (paper)

  13. Touch sensors based on planar liquid crystal-gated-organic field-effect transistors

    International Nuclear Information System (INIS)

    Seo, Jooyeok; Lee, Chulyeon; Han, Hyemi; Lee, Sooyong; Nam, Sungho; Kim, Youngkyoo; Kim, Hwajeong; Lee, Joon-Hyung; Park, Soo-Young; Kang, Inn-Kyu

    2014-01-01

    We report a tactile touch sensor based on a planar liquid crystal-gated-organic field-effect transistor (LC-g-OFET) structure. The LC-g-OFET touch sensors were fabricated by forming the 10 μm thick LC layer (4-cyano-4 ′ -pentylbiphenyl - 5CB) on top of the 50 nm thick channel layer (poly(3-hexylthiophene) - P3HT) that is coated on the in-plane aligned drain/source/gate electrodes (indium-tin oxide - ITO). As an external physical stimulation to examine the tactile touch performance, a weak nitrogen flow (83.3 μl/s) was employed to stimulate the LC layer of the touch device. The LC-g-OFET device exhibited p-type transistor characteristics with a hole mobility of 1.5 cm 2 /Vs, but no sensing current by the nitrogen flow touch was measured at sufficiently high drain (V D ) and gate (V G ) voltages. However, a clear sensing current signal was detected at lower voltages, which was quite sensitive to the combination of V D and V G . The best voltage combination was V D = −0.2 V and V G = −1 V for the highest ratio of signal currents to base currents (i.e., signal-to-noise ratio). The change in the LC alignment upon the nitrogen flow touch was assigned as the mechanism for the present LC-g-OFET touch sensors

  14. Interface Study of ITO/ZnO and ITO/SnO2 Complex Transparent Conductive Layers and Their Effect on CdTe Solar Cells

    Directory of Open Access Journals (Sweden)

    Tingliang Liu

    2013-01-01

    Full Text Available Transparent ITO/ZnO and ITO/SnO2 complex conductive layers were prepared by DC- and RF-magnetron sputtering. Their structure and optical and electronic performances were studied by XRD, UV/Vis Spectroscopy, and four-probe technology. The interface characteristic and band offset of the ITO/ZnO, ITO/SnO2, and ITO/CdS were investigated by Ultraviolet Photoelectron Spectroscopy (UPS and X-ray Photoelectron Spectroscopy (XPS, and the energy band diagrams have also been determined. The results show that ITO/ZnO and ITO/SnO2 films have good optical and electrical properties. The energy barrier those at the interface of ITO/ZnO and ITO/SnO2 layers are almost 0.4 and 0.44 eV, which are lower than in ITO/CdS heterojunctions (0.9 eV, which is beneficial for the transfer and collection of electrons in CdTe solar cells and reduces the minority carrier recombination at the interface, compared to CdS/ITO. The effects of their use in CdTe solar cells were studied by AMPS-1D software simulation using experiment values obtained from ZnO, ITO, and SnO2. From the simulation, we confirmed the increase of Eff, FF, Voc, and Isc by the introduction of ITO/ZnO and ITO/SnO2 layers in CdTe solar cells.

  15. Modelling ionising radiation induced defect generation in bipolar oxides with gated diodes

    International Nuclear Information System (INIS)

    Barnaby, H.J.; Cirba, C.; Schrimpf, R.D.; Kosier, St.; Fouillat, P.; Montagner, X.

    1999-01-01

    Radiation-induced oxide defects that degrade electrical characteristics of bipolar junction transistor (BJTs) can be measured with the use of gated diodes. The buildup of defects and their effect on device radiation response are modeled with computer simulation. (authors)

  16. ITO/metal/ITO anode for efficient transparent white organic light-emitting diodes

    Science.gov (United States)

    Joo, Chul Woong; Lee, Jonghee; Sung, Woo Jin; Moon, Jaehyun; Cho, Nam Sung; Chu, Hye Yong; Lee, Jeong-Ik

    2015-02-01

    We report on the characteristics of enhanced and balanced white-light emission of transparent organic light emitting diodes (TOLEDs) by introducing anode that has a stack structure of ITO/metal/ITO (IMI). We have investigated an anode that has a stack structure of IMI. IMI anodes are typically composed of a thin Ag layer (˜15 nm) sandwiched between two ITO layers (˜50 nm). By inserting an Ag layer it was possible to achieve sheet resistance lower than 3 Ω/sq. and transmittance of 86% at a wavelength of 550 nm. The Ag insert can act as a reflective component. With its counterpart, a transparent cathode made of a thin Ag layer (˜15 nm), micro-cavities (MC) can be effectively induced in the OLED, leading to improved performance. Using an IMI anode, it was possible to significantly increase the current efficiencies. The current efficiencies of the top and the bottom of the IMI TOLED increased to 23.0 and 15.6 cd/A, respectively, while those of the white TOLED with the ITO anode were 20.7 and 5.1 cd/A, respectively. A 30% enhancement in the overall current efficiency was achieved by taking advantage of the MC effect and the low sheet resistance.

  17. Conduction noise absorption by ITO thin films attached to microstrip line utilizing Ohmic loss

    International Nuclear Information System (INIS)

    Kim, Sun-Hong; Kim, Sung-Soo

    2010-01-01

    For the aim of wide-band noise absorbers with a special design for low frequency performance, this study proposes conductive indium-tin oxide (ITO) thin films as the absorbent materials in microstrip line. ITO thin films were deposited on the polyimide film substrates by rf magnetron cosputtering of In 2 O 3 and Sn targets. The deposited ITO films show a typical value of electrical resistivity (∼10 -4 Ω m) and sheet resistance can be controlled in the range of 20-230 Ω by variation in film thickness. Microstrip line with characteristic impedance of 50 Ω was used for determining their noise absorbing properties. It is found that there is an optimum sheet resistance of ITO films for the maximum power absorption. Reflection parameter (S 11 ) is increased with decrease in sheet resistance due to impedance mismatch. On the while, transmission parameter (S 21 ) is decreased with decrease in sheet resistance due to larger Ohmic loss of the ITO films. Experimental results and computational prediction show that the optimum sheet resistance is about 100 Ω. For this film, greater power absorption is predicted in the lower frequency region than ferrite thin films of high magnetic loss, which indicates that Ohmic loss is the predominant loss parameter for power absorption in the low frequency range.

  18. A transparent flexible z-axis sensitive multi-touch panel based on colloidal ITO nanocrystals.

    Science.gov (United States)

    Sangeetha, N M; Gauvin, M; Decorde, N; Delpech, F; Fazzini, P F; Viallet, B; Viau, G; Grisolia, J; Ressier, L

    2015-08-07

    Bottom-up fabrication of a flexible multi-touch panel prototype based on transparent colloidal indium tin oxide (ITO) nanocrystal (NC) films is presented. A series of 7% Sn(4+) doped ITO NCs protected by oleate, octanoate and butanoate ligands are synthesized and characterized by a battery of techniques including, high resolution transmission electron microscopy, X-ray diffraction, (1)H, (13)C and (119)Sn nuclear magnetic resonance spectroscopy, and the related diffusion ordered spectroscopy. Electrical resistivities of transparent films of these NCs assembled on flexible polyethylene terephthalate substrates by convective self-assembly from their suspension in toluene decrease with the ligand length, from 220 × 10(3) for oleate ITO to 13 × 10(3)Ω cm for butanoate ITO NC films. A highly transparent, flexible touch panel based on a matrix of strain gauges derived from the least resistive film of 17 nm butanoate ITO NCs sensitively detects the lateral position (x, y) of the touch as well as its intensity over the z-axis. Being compatible with a stylus or bare/gloved finger, a larger version of this module may be readily implemented in upcoming flexible screens, enabling navigation capabilities over all three axes, a feature highly desired by the display industry.

  19. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  20. Direct growth of CdSe nanorods on ITO substrates by co-anchoring of ZnO nanoparticles and ethylenediamine

    International Nuclear Information System (INIS)

    Pan Shangke; Xu Tingting; Venkatesan, Swaminathan; Qiao Qiquan

    2012-01-01

    To grow CdSe nanorods directly onto indium tin oxide (ITO) substrates, a ZnO buffer layer composed of nanoparticles with diameter of ∼30–40 nm was prepared by spin coating ZnO sol–gel solution onto the ITO substrates. CdSe nanorods were then successfully in situ grown onto ITO substrates with diameter of ∼30–40 nm and length of ∼120–160 nm using solvothermal method in which CdSe·0.5en (en = ethylenediamine) acted as solution precursor. The in situ synthesized CdSe nanorods were conformed and characterized by atomic force microscope and electron microscopy. The mechanism of such in situ CdSe growth was understood as ZnO nanoparticles anchored en onto ITO substrates, while en linked CdSe with ZnO.

  1. Preparation of ITO/SiOx/n-Si solar cells with non-decline potential field and hole tunneling by magnetron sputtering

    Science.gov (United States)

    Du, H. W.; Yang, J.; Li, Y. H.; Xu, F.; Xu, J.; Ma, Z. Q.

    2015-03-01

    Complete photo-generated minority carrier's quantum tunneling device under AM1.5 illumination is fabricated by depositing tin-doped indium oxide (ITO) on n-type silicon to form a structure of ITO/SiOx/n-Si heterojunction. The work function difference between ITO and n-Si materials essentially acts as the origin of built-in-field. Basing on the measured value of internal potential (Vbi = 0.61 V) and high conversion efficiency (9.27%), we infer that this larger photo-generated holes tunneling occurs when a strong inversion layer at the c-Si surface appears. Also, the mixed electronic states in the ultra-thin intermediate region between ITO and n-Si play a defect-assisted tunneling.

  2. Amorphous ITO thin films prepared by DC sputtering for electrochromic applications

    International Nuclear Information System (INIS)

    Teixeira, V.; Cui, H.N.; Meng, L.J.; Fortunato, E.; Martins, R.

    2002-01-01

    Indium-Tin-Oxide (ITO) thin films were deposited on glass substrates using DC magnetron reactive sputtering at different bias voltages and substrate temperatures. Some improvements were obtained on film properties, microstructure and other physical characteristics for different conditions. Amorphous and polycrystalline films can be obtained for various deposition conditions. The transmission, absorption, spectral and diffuse reflection of ITO films were measured in some ranges of UV-Vis-NIR. The refractive index (n), Energy band gap E g and the surface roughness of the film were derived from the measured spectra data. The carrier density (n c ) and the carrier mobility (μ) of the film micro conductive properties were discussed. The films exhibited suitable optical transmittance and conductivity for electrochromic applications

  3. Fabrication of highly conductive graphene/ITO transparent bi-film through CVD and organic additives-free sol-gel techniques.

    Science.gov (United States)

    Hemasiri, Bastian Waduge Naveen Harindu; Kim, Jae-Kwan; Lee, Ji-Myon

    2017-12-19

    Indium tin oxide (ITO) still remains as the main candidate for high-performance optoelectronic devices, but there is a vital requirement in the development of sol-gel based synthesizing techniques with regards to green environment and higher conductivity. Graphene/ITO transparent bi-film was synthesized by a two-step process: 10 wt. % tin-doped ITO thin films were produced by an environmentally friendly aqueous sol-gel spin coating technique with economical salts of In(NO 3 ) 3 .H 2 O and SnCl 4 , without using organic additives, on surface free energy enhanced (from 53.826 to 97.698 mJm -2 ) glass substrate by oxygen plasma treatment, which facilitated void-free continuous ITO film due to high surface wetting. The chemical vapor deposited monolayer graphene was transferred onto the synthesized ITO to enhance its electrical properties and it was capable of reducing sheet resistance over 12% while preserving the bi-film surface smoother. The ITO films contain the In 2 O 3 phase only and exhibit the polycrystalline nature of cubic structure with 14.35 ± 0.5 nm crystallite size. The graphene/ITO bi-film exhibits reproducible optical transparency with 88.66% transmittance at 550 nm wavelength, and electrical conductivity with sheet resistance of 117 Ω/sq which is much lower than that of individual sol-gel derived ITO film.

  4. Influence of O2 Flux on Compositions and Properties of ITO Films Deposited at Room Temperature by Direct-Current Pulse Magnetron Sputtering

    International Nuclear Information System (INIS)

    Wang Hua-Lin; Ding Wan-Yu; Liu Chao-Qian; Chai Wei-Ping

    2010-01-01

    Indium tin oxide (ITO) films were deposited on glass substrates at room temperature by dc pulse magnetron sputtering. Varying O 2 flux, ITO films with different properties are obtained. Both x-ray diffractometer and x-ray photoelectron spectrometer are used to study the change of crystalline structures and bonding structures of ITO films, respectively. Electrical properties are measured by four-point probe measurements. The results indicate that the chemical structures and compositions of ITO films strongly depend on the O 2 flux. With increasing O 2 flux, ITO films display better crystallization, which could decrease the resistivity of films. On the contrary, ITO films contain less O vacancies with increasing O 2 flux, which could worsen the conductive properties of films. Without any heat treatment onto the samples, the resistivity of the ITO film could reach 6.0 × 10 −4 Ω ·cm, with the optimal deposition parameter of 0.2 sccm O 2 flux. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Influence of sintering necks on the spectral behaviour of ITO clusters using the Discrete Dipole Approximation

    International Nuclear Information System (INIS)

    Skorupski, Krzysztof; Hellmers, Jens; Feng, Wen; Mroczka, Janusz; Wriedt, Thomas; Mädler, Lutz

    2015-01-01

    In this paper we study the spectral behaviour of indium tin oxide (ITO) nanoparticle clusters using different sinter neck models for the connections between the primary particles. The investigations include light scattering calculations based on the Discrete Dipole Approximation (DDA). The corresponding clusters are generated using the Cluster–Cluster algorithm proposed by Filippov et al. Different sintering neck models led to significantly different spectral features. A spectral neck factor that reveals the thickness of the necks connecting the primary particles with a simple measurement method is introduced. - Highlights: • We investigate the necking phenomenon in ITO fractal-like aggregates. • Extinction diagrams are sensitive to changes of the neck size. • We propose a simple procedure for measuring the neck size in ITO aggregates

  6. Very High Output Thermoelectric Devices Based on ITO Nanocomposites

    Science.gov (United States)

    Fralick, Gustave; Gregory, Otto J.

    2009-01-01

    A material having useful thermoelectric properties was synthesized by combining indium-tin-oxide (ITO) with a NiCoCrAlY alloy/alumina cermet. This material had a very large Seebeck coefficient with electromotive-force-versustemperature behavior that is considered to be excellent with respect to utility in thermocouples and other thermoelectric devices. When deposited in thin-film form, ceramic thermocouples offer advantages over precious-metal (based, variously, on platinum or rhodium) thermocouples that are typically used in gas turbines. Ceramic thermocouples exhibit high melting temperatures, chemical stability at high temperatures, and little or no electromigration. Oxide ceramics also resist oxidation better than metal thermocouples, cost substantially less than precious-metal thermocouples, and, unlike precious-metal thermocouples, do not exert catalytic effects.

  7. Roll-to-Roll sputtered ITO/Cu/ITO multilayer electrode for flexible, transparent thin film heaters and electrochromic applications.

    Science.gov (United States)

    Park, Sung-Hyun; Lee, Sang-Mok; Ko, Eun-Hye; Kim, Tae-Ho; Nah, Yoon-Chae; Lee, Sang-Jin; Lee, Jae Heung; Kim, Han-Ki

    2016-09-22

    We fabricate high-performance, flexible, transparent electrochromic (EC) films and thin film heaters (TFHs) on an ITO/Cu/ITO (ICI) multilayer electrode prepared by continuous roll-to-roll (RTR) sputtering of ITO and Cu targets. The RTR-sputtered ICI multilayer on a 700 mm wide PET substrate at room temperature exhibits a sheet resistance of 11.8 Ω/square and optical transmittance of 73.9%, which are acceptable for the fabrication of flexible and transparent EC films and TFHs. The effect of the Cu interlayer thickness on the electrical and optical properties of the ICI multilayer was investigated in detail. The bending and cycling fatigue tests demonstrate that the RTR-sputtered ICI multilayer was more flexible than a single ITO film because of high strain failure of the Cu interlayer. The flexible and transparent EC films and TFHs fabricated on the ICI electrode show better performances than reference EC films and TFHs with a single ITO electrode. Therefore, the RTR-sputtered ICI multilayer is the best substitute for the conventional ITO film electrode in order to realize flexible, transparent, cost-effective and large-area EC devices and TFHs that can be used as flexible and smart windows.

  8. Optimization of CVD parameters for long ZnO NWs grown on ITO

    Indian Academy of Sciences (India)

    The optimization of chemical vapour deposition (CVD) parameters for long and vertically aligned (VA) ZnO nanowires (NWs) were investigated. Typical ZnO NWs as a single crystal grown on indium tin oxide (ITO)-coated glass substrate were successfully synthesized. First, the conducted side of ITO–glass substrate was ...

  9. A novel ITO/AZO/SiO2/p-Si frame SIS heterojunction fabricated by magnetron sputtering

    International Nuclear Information System (INIS)

    He, Bo; Wang, HongZhi; Li, YaoGang; Ma, ZhongQuan; Xu, Jing; Zhang, QingHong; Wang, ChunRui; Xing, HuaiZhong; Zhao, Lei; Rui, YiChuan

    2013-01-01

    Highlights: •Because the ITO/AZO double films lead to a great decrease of the lateral resistance. •The photon current can easily flow through top film entering the Cu front contact. •High photocurrent is obtained under a reverse bias. -- Abstract: The novel ITO/AZO/SiO 2 /p-Si SIS heterojunction has been fabricated by low temperature thermal oxidation an ultrathin silicon dioxide and RF sputtering deposition ITO/AZO double films on p-Si (1 0 0) polished substrate. The microstructural, optical and electrical properties of the ITO/AZO antireflection films were characterized by XRD, SEM, UV–VIS spectrophotometer, four point probe and Hall effect measurement, respectively. The results show that ITO/AZO films are of good quality. And XPS was carried out on the ultrathin SiO 2 film. The heterojunction shows strong rectifying behavior under a dark condition, which reveals that formation of a diode between AZO and p-Si. The ideality factor and the saturation current of this diode is 2.7 and 8.68 × 10 −5 A, respectively. High photocurrent is obtained under a reverse bias when the crystalline quality of ITO/AZO double films is good enough to transmit the light into p-Si. We can see that under reverse bias conditions the photocurrent of ITO/AZO/SiO 2 /p-Si SIS heterojunction is much higher than the photocurrent of AZO/SiO 2 /p-Si SIS heterojunction. Because the high quality crystallite and the good conductivity of ITO film which prepared by magnetron-sputtering on AZO film lead to a great decrease of the lateral resistance. The photon induced current can easily flow through ITO layer entering the Cu front contact. Thus, high photocurrent is obtained under a reverse bias

  10. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  11. Nanostructured Polyaniline Coating on ITO Glass Promotes the Neurite Outgrowth of PC 12 Cells by Electrical Stimulation.

    Science.gov (United States)

    Wang, Liping; Huang, Qianwei; Wang, Jin-Ye

    2015-11-10

    A conducting polymer polyaniline (PANI) with nanostructure was synthesized on indium tin oxide (ITO) glass. The effect of electrical stimulation on the proliferation and the length of neurites of PC 12 cells was investigated. The dynamic protein adsorption on PANI and ITO surfaces in a cell culture medium was also compared with and without electrical stimulation. The adsorbed proteins were characterized using SDS-PAGE. A PANI coating on ITO surface was shown with 30-50 nm spherical nanostructure. The number of PC 12 cells was significantly greater on the PANI/ITO surface than on ITO and plate surfaces after cell seeding for 24 and 36 h. This result confirmed that the PANI coating is nontoxic to PC 12 cells. The electrical stimulation for 1, 2, and 4 h significantly enhanced the cell numbers for both PANI and ITO conducting surfaces. Moreover, the application of electrical stimulation also improved the neurite outgrowth of PC 12 cells, and the number of PC 12 cells with longer neurite lengths increased obviously under electrical stimulation for the PANI surface. From the mechanism, the adsorption of DMEM proteins was found to be enhanced by electrical stimulation for both PANI/ITO and ITO surfaces. A new band 2 (around 37 kDa) was observed from the collected adsorbed proteins when PC 12 cells were cultured on these surfaces, and culturing PC 12 cells also seemed to increase the amount of band 1 (around 90 kDa). When immersing PANI/ITO and ITO surfaces in a DMEM medium without a cell culture, the number of band 3 (around 70 kDa) and band 4 (around 45 kDa) proteins decreased compared to that of PC 12 cell cultured surfaces. These results are valuable for the design and improvement of the material performance for neural regeneration.

  12. The effects of gate oxide thickness on radiation damage in MOS system

    International Nuclear Information System (INIS)

    Zhu Hui; Yan Rongliang; Wang Yu; He Jinming

    1988-01-01

    The dependences of the flatband voltage shift (ΔV FB ) and the threshold voltage shift (ΔV TH ) in MOS system on the oxide thickness (T ox ) and on total irradiated dose (D) of electron-beam and 60 Co γ-ray have been studied. It has been found that ΔV FB ∝ T ox 3 , with +10V of gate bias during irradiation for n-Si substrate MOS capacitors; ΔV TH ∝ T ox 3 D 2/3 , with 'on' gate bias during irradiation for n- and P-channel MOS transistors; ΔV TP ∝ T ox 2 D 2/3 , with 'off' gate bias during irradiation for P-channel MOS transistors. These results are explained by Viswanathan model. According to ∼T ox 3 dependence, the optimization of radiation hardening process for MOS system is also simply discussed

  13. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  14. Interfacial Energy Alignment at the ITO/Ultra-Thin Electron Selective Dielectric Layer Interface and Its Effect on the Efficiency of Bulk-Heterojunction Organic Solar Cells.

    Science.gov (United States)

    Itoh, Eiji; Goto, Yoshinori; Saka, Yusuke; Fukuda, Katsutoshi

    2016-04-01

    We have investigated the photovoltaic properties of an inverted bulk heterojunction (BHJ) cell in a device with an indium-tin-oxide (ITO)/electron selective layer (ESL)/P3HT:PCBM active layer/MoOx/Ag multilayered structure. The insertion of only single layer of poly(diallyl-dimethyl-ammonium chloride) (PDDA) cationic polymer film (or poly(ethyleneimine) (PEI) polymeric interfacial dipole layer) and titanium oxide nanosheet (TN) films as an ESL effectively improved cell performance. Abnormal S-shaped curves were observed in the inverted BHJ cells owing to the contact resistance across the ITO/active layer interface and the ITO/PDDA/TN/active layer interface. The series resistance across the ITO/ESL interface in the inverted BHJ cell was successfully reduced using an interfacial layer with a positively charged surface potential with respect to ITO base electrode. The positive dipole in PEI and the electronic charge phenomena at the electrophoretic deposited TN (ED-TN) films on ITO contributed to the reduction of the contact resistance at the electrode interface. The surface potential measurement revealed that the energy alignment by the transfer of electronic charges from the ED-TN to the base electrodes. The insertion of the ESL with a large positive surface potential reduced the potential barrier for the electron injection at ITO/TN interface and it improved the photovoltaic properties of the inverted cell with an ITO/TN/active layer/MoOx/Ag structure.

  15. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  16. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  17. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  18. Plasma treatment of ITO films for the formation of nanoparticles toward scalable production of novel nanostructure-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cigang; Bailey, Louise R.; Proudfoot, Gary; Cooke, Mike [Oxford Instruments Plasma Technology, Bristol (United Kingdom); Eisenhawer, Bjoern; Jia, Guobin; Bergmann, Joachim; Falk, Fritz [Leibniz Institute of Photonic Technology, Jena (Germany); Ulyashin, Alexander [Department of Industrial Processes, SINTEF, Oslo (Norway)

    2015-01-01

    Plasma treatment of indium tin oxide (ITO) has been studied to form metallic nanoparticles (NPs) for nanostructure-based solar cells. It is demonstrated that NPs can be formed at temperatures as low as 100 C, and the size of NPs increases with temperature. An ITO layer treated at 100 C has higher transmission than that treated at 200 C for the same time. It is suggested that such NPs can be used for the conversion efficiency enhancement of ITO/Si heterojunction solar cells. It is also shown that NPs can be produced on different substrates covered by an ITO layer, such as ITO/Al foil, ITO/glass, ITO/stainless steel, and ITO/Si, where the resulting NPs were used for catalytic growth of Si nanowires (NWs). The morphology and density of Si NWs depend on a substrate. It is established that p-doped Si NWs show larger diameters, and n-doped Si NWs do not show obvious change of diameters compared to undoped Si NWs. New types of solar cell structures with combined radial and axial junctions have been proposed. As an example, p-n junction-based 3D structures using the NPs obtained from treatment of ITO film are presented. Finally, a potentially scalable process flow for fabrication of nanostructure-based solar cells is discussed. Schematic illustration of fabrication steps to produce the proposed novel solar cell with combined radial and axial junctions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  20. Understanding the mechanisms that change the conductivity of damaged ITO-coated polymeric films: A micro-mechanical investigation

    KAUST Repository

    Nasr Saleh, Mohamed

    2014-11-01

    Degradation from mechanical loading of transparent electrodes made of indium tin oxide (ITO) endangers the integrity of any material based on these electrodes, including flexible organic solar cells. However, how different schemes of degradation change the conductivity of ITO devices remains unclear. We propose a systematic micro-mechanics-based approach to clarify the relationship between degradation and changes in electrical resistance. By comparing experimentally measured channel crack densities to changes in electrical resistance returned by the different micro-mechanical schemes, we highlight the key role played by the residual conductivity in the interface between the ITO electrode and its substrate after delamination. We demonstrate that channel cracking alone does not explain the experimental observations. Our results indicate that delamination has to take place between the ITO electrode and the substrate layers and that the residual conductivity of this delaminated interface plays a major role in changes in electrical resistance of the degraded device. © 2014 Elsevier B.V.

  1. ITO films deposited by rf-PERTE on unheated polymer substrates--properties dependence on In-Sn alloy composition

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Vilarinho, P.; Amaral, A.

    2004-01-01

    The study of the influence of different tin concentrations in the In-Sn alloy on the properties of indium tin oxide (ITO) thin films deposited by radio frequency (rf) plasma enhanced reactive thermal evaporation (rf-PERTE) onto flexible polymer and window glass substrates at room temperature is presented. The polymer substrate used is polyethylene terephthalate (PET). The tin concentration in the source alloy varied in the range 5-20 wt.%. The average thickness of the ITO films is of about 90 nm. Results show that ITO thin films deposited on PET from the evaporation of a 85%In:15%Sn alloy exhibit the following characteristics: an average visible transmittance of 80% and an electrical resistivity of 1.6x10 -3 Ω cm. On glass the value of the average visible transmittance increases (85%) and the resistivity decreases to 7.6x10 -4 Ω cm. The electrical properties of ITO films on PET are largely affected by the low carrier mobility

  2. Influence of Continuous and Discontinuous Depositions on Properties of Ito Films Prepared by DC Magnetron Sputtering

    Science.gov (United States)

    Aiempanakit, K.; Rakkwamsuk, P.; Dumrongrattana, S.

    Indium tin oxide (ITO) films were deposited on glass substrate without external heating by DC magnetron sputtering with continuous deposition of 800 s (S1) and discontinuous depositions of 400 s × 2 times (S2), 200 s × 4 times (S3) and 100 s × 8 times (S4). The structural, surface morphology, optical transmittance and electrical resistivity of ITO films were measured by X-ray diffraction, atomic force microscope, spectrophotometer and four-point probe, respectively. The deposition process of the S1 condition shows the highest target voltage due to more target poisoning occurrence. The substrate temperature of the S1 condition increases with the saturation curve of the RC charging circuit while other conditions increase and decrease due to deposition steps as DC power turns on and off. Target voltage and substrate temperature of ITO films decrease when changing the deposition conditions from S1 to S2, S3 and S4, respectively. The preferential orientation of ITO films were changed from dominate (222) plane to (400) plane with the increasing number of deposition steps. The ITO film for the S4 condition shows the lowest electrical resistivity of 1.44 × 10-3 Ω·cm with the highest energy gap of 4.09 eV and the highest surface roughness of 3.43 nm. These results were discussed from the point of different oxygen occurring on the surface ITO target between the sputtering processes which affected the properties of ITO films.

  3. Enhanced photocurrent in RuL2(NCS)2/di-(3-aminopropyl)-viologen/SnO2/ITO system

    International Nuclear Information System (INIS)

    Lee, Wonjoo; Kwak, Chang Gon; Mane, R.S.; Min, Sun Ki; Cai, Gangri; Ganesh, T.; Koo, Gumae; Chang, Jinho; Cho, Byung Won; Kim, Sei-Ki; Han, Sung-Hwan

    2008-01-01

    A Ru(2,2'-bipyridine-4,4'-dicarboxylic acid) 2 (NCS) 2 [RuL 2 (NCS) 2 ]/di-(3-aminopropyl)-viologen (DAPV)/tin oxide (SnO 2 ) system was prepared and applied to extensive photocurrent generation with its maximum surface area. The SnO 2 thin films on tin-doped indium oxide (ITO) were prepared using the chemical bath deposition method. Then, RuL 2 (NCS) 2 /DAPV on SnO 2 /ITO was easily prepared using self-assembled monolayers (SAMs). The photocurrent measurement of the system showed an excellent photocurrent of 20 nA cm -2 under the air mass 1.5 conditions (100 mW cm -2 ), which was increased by a factor of four compared to ones without SnO 2 layers

  4. Improved patterning of ITO coated with gold masking layer on glass substrate using nanosecond fiber laser and etching

    International Nuclear Information System (INIS)

    Tan, Nguyen Ngoc; Hung, Duong Thanh; Anh, Vo Tran; BongChul, Kang; HyunChul, Kim

    2015-01-01

    Highlights: • A new patterning method for ITO thin film is introduced. • Gold thin film is important in decrease spikes formed in ITO patterning process. • The laser pulse width occupies a significant effect the patterning surface quality. • Etching process is the effective method to remove the spikes at rims of pattern. • A considerable improvement over patterning quality is obtained by proposed method. - Abstract: In this paper, an indium–tin oxide (ITO) thin-film patterning method for higher pattern quality and productivity compared to the short-pulsed laser direct writing method is presented. We sputtered a thin ITO layer on a glass substrate, and then, plated a thin gold layer onto the ITO layer. The combined structure of the three layers (glass–ITO–gold) was patterned using laser-induced plasma generated by an ytterbium pulsed fiber laser (λ = 1064 nm). The results showed that the process parameters of 50 mm/s in scanning speed, 14 ns pulse duration, and a repetition rate of 7.5 kHz represented optimum conditions for the fabrication of ITO channels. Under these conditions, a channel 23.4 μm wide and 20 nm deep was obtained. However, built-up spikes (∼15 nm in height) resulted in a decrease in channel quality, and consequently, short circuit occurred at some patterned positions. These built-up spikes were completely removed by dipping the ITO layer into an etchant (18 wt.% HCl). A gold masking layer on the ITO surface was found to increase the channel surface quality without any decrease in ITO thickness. Moreover, the effects of repetition rate, scanning speed, and etching characteristics on surface quality were investigated

  5. Improved patterning of ITO coated with gold masking layer on glass substrate using nanosecond fiber laser and etching

    Energy Technology Data Exchange (ETDEWEB)

    Tan, Nguyen Ngoc; Hung, Duong Thanh; Anh, Vo Tran [High Safety Vehicle Core Technology Research Center, Department of Mechanical & Automotive Engineering, Inje University, Gimhae (Korea, Republic of); BongChul, Kang, E-mail: kbc@kumoh.ac.kr [Department of Inteligent Mechanical Engineering, Kumoh National Institute of Technology, Gumi (Korea, Republic of); HyunChul, Kim, E-mail: mechkhc@inje.ac.kr [High Safety Vehicle Core Technology Research Center, Department of Mechanical & Automotive Engineering, Inje University, Gimhae (Korea, Republic of)

    2015-05-01

    Highlights: • A new patterning method for ITO thin film is introduced. • Gold thin film is important in decrease spikes formed in ITO patterning process. • The laser pulse width occupies a significant effect the patterning surface quality. • Etching process is the effective method to remove the spikes at rims of pattern. • A considerable improvement over patterning quality is obtained by proposed method. - Abstract: In this paper, an indium–tin oxide (ITO) thin-film patterning method for higher pattern quality and productivity compared to the short-pulsed laser direct writing method is presented. We sputtered a thin ITO layer on a glass substrate, and then, plated a thin gold layer onto the ITO layer. The combined structure of the three layers (glass–ITO–gold) was patterned using laser-induced plasma generated by an ytterbium pulsed fiber laser (λ = 1064 nm). The results showed that the process parameters of 50 mm/s in scanning speed, 14 ns pulse duration, and a repetition rate of 7.5 kHz represented optimum conditions for the fabrication of ITO channels. Under these conditions, a channel 23.4 μm wide and 20 nm deep was obtained. However, built-up spikes (∼15 nm in height) resulted in a decrease in channel quality, and consequently, short circuit occurred at some patterned positions. These built-up spikes were completely removed by dipping the ITO layer into an etchant (18 wt.% HCl). A gold masking layer on the ITO surface was found to increase the channel surface quality without any decrease in ITO thickness. Moreover, the effects of repetition rate, scanning speed, and etching characteristics on surface quality were investigated.

  6. Broadband perfect infrared absorption by tuning epsilon-near-zero and epsilon-near-pole resonances of multilayer ITO nanowires.

    Science.gov (United States)

    Zhou, Kun; Cheng, Qiang; Song, Jinlin; Lu, Lu; Jia, Zhihao; Li, Junwei

    2018-01-01

    We numerically investigate the broadband perfect infrared absorption by tuning epsilon-near-zero (ENZ) and epsilon-near-pole (ENP) resonances of multilayer indium tin oxide nanowires (ITO NWs). The monolayer ITO NWs array shows intensive absorption at ENZ and ENP wavelengths for p polarization, while only at the ENP wavelength for s polarization. Moreover, the ENP resonances are almost omnidirectional and the ENZ resonances are angularly dependent. Therefore, the absorption bandwidth is broader for p polarization than that for s polarization when polarized waves are incident obliquely. The ENZ resonances can be tuned by altering the doping concentration and volume filling factor of ITO NWs. However, the ENP resonances only can be tuned by changing the doping concentration of ITO NWs, and volume filling factor impacts little on the ENP resonances. Based on the strong absorption properties of each layer at their own ENP and ENZ resonances, the tuned absorption of the bilayer ITO NWs with the different doping concentrations can be broader and stronger. Furthermore, multilayer ITO NWs can achieve broadband perfect absorption by controlling the doping concentration, volume filling factor, and length of the NWs in each layer. This study has the potential to apply to applications requiring efficient absorption and energy conversion.

  7. ZnS nanoparticles electrodeposited onto ITO electrode as a platform for fabrication of enzyme-based biosensors of glucose

    International Nuclear Information System (INIS)

    Du, Jian; Yu, Xiuping; Wu, Ying; Di, Junwei

    2013-01-01

    The electrochemical and photoelectrochemical biosensors based on glucose oxidase (GOD) and ZnS nanoparticles modified indium tin oxide (ITO) electrode were investigated. The ZnS nanoparticles were electrodeposited directly on the surface of ITO electrode. The enzyme was immobilized on ZnS/ITO electrode surface by sol–gel method to fabricate glucose biosensor. GOD could electrocatalyze the reduction of dissolved oxygen, which resulted in a great increase of the reduction peak current. The reduction peak current decreased linearly with the addition of glucose, which could be used for glucose detection. Moreover, ZnS nanoparticles deposited on ITO electrode surface showed good photocurrent response under illumination. A photoelectrochemical biosensor for the detection of glucose was also developed by monitoring the decreases in the cathodic peak photocurrent. The results indicated that ZnS nanoparticles deposited on ITO substrate were a good candidate material for the immobilization of enzyme in glucose biosensor construction. - Highlights: ► ZnS nanoparticles were electrodeposited directly on ITO surface. ► The direct electron transfer of GOD immobilized on ZnS surface was obtained. ► The enzyme electrode was used to the determination of glucose in the presence of oxygen. ► The response of photoelectrochemical biosensor towards glucose was more sensitive

  8. ZnS nanoparticles electrodeposited onto ITO electrode as a platform for fabrication of enzyme-based biosensors of glucose

    Energy Technology Data Exchange (ETDEWEB)

    Du, Jian; Yu, Xiuping; Wu, Ying; Di, Junwei, E-mail: djw@suda.edu.cn

    2013-05-01

    The electrochemical and photoelectrochemical biosensors based on glucose oxidase (GOD) and ZnS nanoparticles modified indium tin oxide (ITO) electrode were investigated. The ZnS nanoparticles were electrodeposited directly on the surface of ITO electrode. The enzyme was immobilized on ZnS/ITO electrode surface by sol–gel method to fabricate glucose biosensor. GOD could electrocatalyze the reduction of dissolved oxygen, which resulted in a great increase of the reduction peak current. The reduction peak current decreased linearly with the addition of glucose, which could be used for glucose detection. Moreover, ZnS nanoparticles deposited on ITO electrode surface showed good photocurrent response under illumination. A photoelectrochemical biosensor for the detection of glucose was also developed by monitoring the decreases in the cathodic peak photocurrent. The results indicated that ZnS nanoparticles deposited on ITO substrate were a good candidate material for the immobilization of enzyme in glucose biosensor construction. - Highlights: ► ZnS nanoparticles were electrodeposited directly on ITO surface. ► The direct electron transfer of GOD immobilized on ZnS surface was obtained. ► The enzyme electrode was used to the determination of glucose in the presence of oxygen. ► The response of photoelectrochemical biosensor towards glucose was more sensitive.

  9. Evaporation-Driven Deposition of ITO Thin Films from Aqueous Solutions with Low-Speed Dip-Coating Technique.

    Science.gov (United States)

    Ito, Takashi; Uchiyama, Hiroaki; Kozuka, Hiromitsu

    2017-05-30

    We suggest a novel wet coating process for preparing indium tin oxide (ITO) films from simple solutions containing only metal salts and water via evaporation-driven film deposition during low-speed dip coating. Homogeneous ITO precursor films were deposited on silica glass substrates from the aqueous solutions containing In(NO 3 ) 3 ·3H 2 O and SnCl 4 ·5H 2 O by dip coating at substrate withdrawal speeds of 0.20-0.50 cm min -1 and then crystallized by the heat treatment at 500-800 °C for 10-60 min under N 2 gas flow of 0.5 L min -1 . The ITO films heated at 600 °C for 30 min had a high optical transparency in the visible range and a good electrical conductivity. Multiple-coating ITO films obtained with five-times dip coating exhibited the lowest sheet (ρ S ) and volume (ρ V ) resistivities of 188 Ω sq -1 and 4.23 × 10 -3 Ω cm, respectively.

  10. Highly transparent conductive ITO/Ag/ITO trilayer films deposited by RF sputtering at room temperature

    Directory of Open Access Journals (Sweden)

    Ningyu Ren

    2017-05-01

    Full Text Available ITO/Ag/ITO (IAI trilayer films were deposited on glass substrate by radio frequency magnetron sputtering at room temperature. A high optical transmittance over 94.25% at the wavelength of 550 nm and an average transmittance over the visual region of 88.04% were achieved. The calculated value of figure of merit (FOM reaches 80.9 10-3 Ω-1 for IAI films with 15-nm-thick Ag interlayer. From the morphology and structural characterization, IAI films could show an excellent correlated electric and optical performance if Ag grains interconnect with each other on the bottom ITO layer. These results indicate that IAI trilayer films, which also exhibit low surface roughness, will be well used in optoelectronic devices.

  11. Research on total-dose hardening for H-gate PD NMOSFET/SIMOX by ion implanting into buried oxide

    International Nuclear Information System (INIS)

    Qian Cong; Zhang Zhengxuan; Zhang Feng; Lin Chenglu

    2008-01-01

    In this work, we investigate the back-gate I-V characteristics for two kinds of NMOSFET/SIMOX transistors with H gate structure fabricated on two different SOI wafers. A transistors are made on the wafer implanted with Si + and then annealed in N 2 , and B transistors are made on the wafer without implantation and annealing. It is demonstrated experimentally that A transistors have much less back-gate threshold voltage shift ΔV th than B transistors under X-ray total close irradiation. Subthreshold charge separation technique is employed to estimate the build-up of oxide charge and interface traps during irradiation, showing that the reduced ΔV th for A transistors is mainly due to its less build-up of oxide charge than B transistors. Photo-luminescence (PL) research indicates that Si implantation results in the formation of silicon nanocrystalline (nanocluster) whose size increases with the implant dose. This structure can trap electrons to compensate the positive charge build-up in the buried oxide during irradiation, and thus reduce the threshold voltage negative shift. (authors)

  12. Ridge Minimization of Ablated Morphologies on ITO Thin Films Using Squared Quasi-Flat Top Beam

    Directory of Open Access Journals (Sweden)

    Hoon-Young Kim

    2018-03-01

    Full Text Available In this study, we explore the improvements in pattern quality that was obtained with a femtosecond laser with quasi-flat top beam profiles at the ablated edge of indium tin oxide (ITO thin films for the patterning of optoelectronic devices. To ablate the ITO thin films, a femtosecond laser is used that has a wavelength and pulse duration of 1030 nm and 190 fs, respectively. The squared quasi-flat top beam is obtained from a circular Gaussian beam using slits with varying x-y axes. Then, the patterned ITO thin films are measured using both scanning electron and atomic force microscopes. In the case of the Gaussian beam, the ridge height and width are approximately 39 nm and 1.1 μm, respectively, whereas, when the quasi-flat top beam is used, the ridge height and width are approximately 7 nm and 0.25 μm, respectively.

  13. Magnetic properties and microstructure investigation of electrodeposited FeNi/ITO films with different thickness

    International Nuclear Information System (INIS)

    Cao, Derang; Wang, Zhenkun; Feng, Erxi; Wei, Jinwu; Wang, Jianbo; Liu, Qingfang

    2013-01-01

    Highlights: •FeNi alloy thin films with different thickness deposited on Indium Tin Oxides (ITOs) conductive glass substrates by electrodeposition method. •A columnar crystalline microstructure and domain structure were obtained in FeNi thin films. •Particular FMR spectra of FeNi alloy with different thickness were studied. -- Abstract: FeNi alloy thin films with different thickness deposited on Indium Tin Oxides (ITOs) conductive glass substrates from the electrolytes by electrodeposition method have been studied by magnetic force microscopy (MFM), scanning electron microscopy (SEM) and ferromagnetic resonance (FMR) technique. For these films possessing an in-plane isotropy, the remanence decreases with the increasing of film thickness and the critical thickness that a stripe domain structure emerges is about 116 nm. Characteristic differences of the FMR spectra of different thickness are also observed. The results show that the resonance field at high measured angle increases firstly then decreases with increasing thickness, which may be related to the striped domain structure

  14. Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure

    Science.gov (United States)

    Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He

    2017-12-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.

  15. 7 CFR 254.4 - Application by an ITO.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 4 2010-01-01 2010-01-01 false Application by an ITO. 254.4 Section 254.4 Agriculture... INDIAN HOUSEHOLDS IN OKLAHOMA § 254.4 Application by an ITO. (a) Application to FNS Regional Office. An ITO which desires to participate in the Food Distribution Program shall file an application with the...

  16. Reversible degradation in ITO-containing organic photovoltaics under concentrated sunlight

    NARCIS (Netherlands)

    Galagan, Y.O.; Mescheloff, A.; Veenstra, S.C.; Andriessen, H.A.J.M.; Katz, E.A.

    2015-01-01

    Stabilities of ITO-containing and ITO-free organic solar cells were investigated under simulated AM 1.5G illumination and under concentrated natural sunlight. In both cases ITO-free devices exhibit high stability, while devices containing ITO show degradation of their photovoltaic performance. The

  17. Fabrication of nickel oxide and Ni-doped indium tin oxide thin films using pyrosol process

    International Nuclear Information System (INIS)

    Nakasa, Akihiko; Adachi, Mami; Usami, Hisanao; Suzuki, Eiji; Taniguchi, Yoshio

    2006-01-01

    Organic light emitting diodes (OLEDs) need indium tin oxide (ITO) anodes with highly smooth surface. The work function of ITO, about 4.8 eV, is generally rather lower than the optimum level for application to OLEDs. In this work, NiO was deposited by pyrosol process on pyrosol ITO film to increase the work function of the ITO for improving the performance of OLEDs. It was confirmed that NiO was successfully deposited on pyrosol ITO film and the NiO deposition increased the work function of pyrosol ITO, using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), atomic force microscopy (AFM) and atmospheric photoelectron spectroscopy. Furthermore, doping ITO with Ni succeeded in producing the Ni-doped ITO film with high work function and lower sheet resistance

  18. Ag-Pd-Cu alloy inserted transparent indium tin oxide electrodes for organic solar cells

    International Nuclear Information System (INIS)

    Kim, Hyo-Joong; Seo, Ki-Won; Kim, Han-Ki; Noh, Yong-Jin; Na, Seok-In

    2014-01-01

    The authors report on the characteristics of Ag-Pd-Cu (APC) alloy-inserted indium tin oxide (ITO) films sputtered on a glass substrate at room temperature for application as transparent anodes in organic solar cells (OSCs). The effect of the APC interlayer thickness on the electrical, optical, structural, and morphological properties of the ITO/APC/ITO multilayer were investigated and compared to those of ITO/Ag/ITO multilayer electrodes. At the optimized APC thickness of 8 nm, the ITO/APC/ITO multilayer exhibited a resistivity of 8.55 × 10 −5 Ω cm, an optical transmittance of 82.63%, and a figure-of-merit value of 13.54 × 10 −3 Ω −1 , comparable to those of the ITO/Ag/ITO multilayer. Unlike the ITO/Ag/ITO multilayer, agglomeration of the metal interlayer was effectively relieved with APC interlayer due to existence of Pd and Cu elements in the thin region of the APC interlayer. The OSCs fabricated on the ITO/APC/ITO multilayer showed higher power conversion efficiency than that of OSCs prepared on the ITO/Ag/ITO multilayer below 10 nm due to the flatness of the APC layer. The improved performance of the OSCs with ITO/APC/ITO multilayer electrodes indicates that the APC alloy interlayer prevents the agglomeration of the Ag-based metal interlayer and can decrease the thickness of the metal interlayer in the oxide-metal-oxide multilayer of high-performance OSCs

  19. Analysis of chemical bond states and electrical properties of stacked AlON/HfO{sub 2} gate oxides formed by using a layer-by-layer technique

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Wonjoon; Lee, Jonghyun; Yang, Jungyup; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh; Byun, Byungsub; Kim, Moseok [Hanyang University, Seoul (Korea, Republic of)

    2006-06-15

    Stacked AlON/HfO{sub 2} thin films for gate oxides in metal-oxide-semiconductor devices are successfully prepared on Si substrates by utilizing a layer-by-layer technique integrated with an off-axis RF remote plasma sputtering process at room temperature. This off-axis structure is designed to improve the uniformity and the quality of gate oxide films. Also, a layer-by-layer technique is used to control the interface layer between the gate oxide and the Si substrate. The electrical properties of our stacked films are characterized by using capacitance versus voltage and leakage current versus voltage measurements. The stacked AlON/HfO{sub 2} gate oxide exhibits a low leakage current of about 10{sup -6} A/cm{sup 2} and a high dielectric constant value of 14.26 by effectively suppressing the interface layer between gate oxide and Si substrate. In addition, the chemical bond states and the optimum thickness of each AlON and HfO{sub 2} thin film are analyzed using X-ray photoemission spectroscopy and transmission electron microscopy measurement.

  20. IR spectroscopy at the ITO-organic interface

    Energy Technology Data Exchange (ETDEWEB)

    Alt, Milan [Karlsruher Institut fuer Technologie, Karlsruhe (Germany); Shazada, Ahmad [Max-Planck Institut fuer Polymerforschung, Mainz (Germany); Tamanai, Akemi; Trollmann, Jens; Glaser, Tobias; Beck, Sebastian; Tengeler, Sven; Pucci, Annemarie [Kirchhoff-Institut fuer Physik, Heidelberg (Germany)

    2012-07-01

    Thin films of P3HT have been prepared by spin coating and electrooxidative polymerization on platinum- and ITO-coated substrates. Additionally, P3HT-films on silicon substrates have been prepared by spin coating only. The measured IR spectra of the spin coated films allowed for an elaboration of a detailed optical model for P3HT, which has been used to simulate IR reflection-absorption spectra on ITO and Pt substrates. Comparison of simulated spectra with measurements revealed no substrate influence on the IR spectra for the spincoated films. In case of spincoated P3HT-films on ITO-substrate, the obtained IR spectra correspond to simulation data very well up to 6000 wavenumbers. In the electropolymerized P3HT films we have identified residuals of the electrolyte ionic liquid, acting as dopand for P3HT. While IR spectra of the electropolymerized P3HT films on Pt substrate could be explained reasonably well as a superposition of chemically doped P3HT and the ionic electrolyte, the IR spectra of electropolymerized P3HT films on ITO substrates showed strongly deposition-time dependent deviations. These were most likely related to varying properties of the ITO surface between reference and sample measurement due to an interaction of ITO and the electrolyte at the film-substrate interface.

  1. Preparation of ITO/SiO{sub x}/n-Si solar cells with non-decline potential field and hole tunneling by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Du, H. W.; Yang, J.; Li, Y. H.; Xu, F. [SHU-SolarE R and D Lab, Department of Physics, Shanghai University, Shanghai 200444 (China); Xu, J. [Instrumental Analysis and Research Center, Shanghai University, Shanghai 200444 (China); Ma, Z. Q., E-mail: zqma@shu.edu.cn [SHU-SolarE R and D Lab, Department of Physics, Shanghai University, Shanghai 200444 (China); Instrumental Analysis and Research Center, Shanghai University, Shanghai 200444 (China)

    2015-03-02

    Complete photo-generated minority carrier's quantum tunneling device under AM1.5 illumination is fabricated by depositing tin-doped indium oxide (ITO) on n-type silicon to form a structure of ITO/SiO{sub x}/n-Si heterojunction. The work function difference between ITO and n-Si materials essentially acts as the origin of built-in-field. Basing on the measured value of internal potential (V{sub bi} = 0.61 V) and high conversion efficiency (9.27%), we infer that this larger photo-generated holes tunneling occurs when a strong inversion layer at the c-Si surface appears. Also, the mixed electronic states in the ultra-thin intermediate region between ITO and n-Si play a defect-assisted tunneling.

  2. Understanding the mechanisms that change the conductivity of damaged ITO-coated polymeric films: A micro-mechanical investigation

    KAUST Repository

    Nasr Saleh, Mohamed; Lubineau, Gilles

    2014-01-01

    Degradation from mechanical loading of transparent electrodes made of indium tin oxide (ITO) endangers the integrity of any material based on these electrodes, including flexible organic solar cells. However, how different schemes of degradation

  3. Interfacial durability and electrical properties of CNT or ITO/PVDF nanocomposites for self-sensor and micro actuator applications

    International Nuclear Information System (INIS)

    Park, Joung-Man; Gu, Ga-Young; Wang, Zuo-Jia; Kwon, Dong-Jun; DeVries, K. Lawrence

    2013-01-01

    Interfacial durability and electrical properties of CNT (carbon nanotube) or ITO (indium tin oxide) coated PVDF (poly(vinylidene fluoride)) nanocomposites were investigated for self-sensor and micro-actuator applications. The electrical resistivity of nanocomposites and the durability of interfacial adhesion were measured using a four points method during cyclic fatigue loading. Although the CNT/PVDF nanocomposites exhibited lower electrical resistivity due to the inherently low resistivity of CNT, both composite types showed good self-sensing performance. The durability of the adhesion at the interface was also good for both CNT and ITO/PVDF nanocomposites. Static contact angle, surface energy, work of adhesion, and spreading coefficient between either CNT or ITO and PVDF were determined as checks to verify the durability of the interfacial adhesion. The actuation performance of CNT or ITO coated PVDF specimens was determined through measurements of the induced displacement using a laser displacement sensor, while both the frequency and voltage were changed. The displacement of these actuated nanocomposites increased with increasing voltage and decreased with increasing frequency. CNT/PVDF nanocomposites exhibited better performance as self-sensors and micro-actuators than did ITO/PVDF nanocomposites.

  4. Off-line wafer level reliability control: unique measurement method to monitor the lifetime indicator of gate oxide validated within bipolar/CMOS/DMOS technology

    Science.gov (United States)

    Gagnard, Xavier; Bonnaud, Olivier

    2000-08-01

    We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.

  5. Deposition and characterization of ITO films produced by laser ablation at 355 nm

    DEFF Research Database (Denmark)

    Holmelund, E.; Thestrup Nielsen, Birgitte; Schou, Jørgen

    2002-01-01

    Indium tin oxide (ITO) films have been deposited by pulsed laser deposition (PLD) at 355 nm. Even though the absorption of laser light at the wavelength 355 nm is much smaller than that of the standard excimer lasers for PLD at 248 nm and 193 nm, high-quality films can be produced. At high fluence...

  6. Indium tin oxide-rod/single walled carbon nanotube based transparent electrodes for ultraviolet light-emitting diodes

    International Nuclear Information System (INIS)

    Yun, Min Ju; Kim, Hee-Dong; Kim, Kyeong Heon; Sung, Hwan Jun; Park, Sang Young; An, Ho-Myoung; Kim, Tae Geun

    2013-01-01

    In this paper, we report a transparent conductive oxide electrode scheme working for ultraviolet light-emitting diodes based on indium tin oxide (ITO)-rod and a single walled carbon nanotube (SWCNT) layer. We prepared four samples with ITO-rod, SWCNT/ITO-rod, ITO-rod/SWCNT, and SWCNT/ITO-rod/SWCNT structures for comparison. As a result, the sample with SWCNT/ITO-rod/SWCNT structures showed the highest transmittance over 90% at 280 nm and the highest Ohmic behavior (with sheet resistance of 5.33 kΩ/□) in the current–voltage characteristic curves. - Highlights: • Transparent conductive oxide (TCO) electrodes are proposed for UV light-emitting diodes. • These TCO electrodes are based on evaporated indium tin oxide (ITO)-rods. • Single walled carbon nanotube (SWCNT) layers are used as a current spreading layer. • The proposed TCO electrode structures show more than 90% transmittance at 280 nm

  7. Enhancing light reflective properties on ITO glass by plasmonic effect of silver nanoparticles

    Directory of Open Access Journals (Sweden)

    Dezhong Zhang

    Full Text Available The preparation of well-defined silver (Ag nanoparticle arrays is reported in this paper. Ag nanoparticles are electrodeposited on Indium tin oxide (ITO coated glass substrates at 30 °C. The size, shape and periodicity of the Ag nanoparticle arrays are well-controlled. We study the effect of particle size and interparticle distance on reflection enhancement. The sample at the deposition potential of −0.2 V for an electrodeposition time of 3600 s exhibits an enhancement of 28% in weighted reflection in contrast with bare ITO glass. This study reports the high reflection of Ag nanoparticle arrays by electrodeposition method might be application to large-scale photovoltaic devices.

  8. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks

    Science.gov (United States)

    Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.

    2013-06-01

    In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.

  9. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    Science.gov (United States)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  10. Effect of content silver and heat treatment temperature on morphological, optical, and electrical properties of ITO films by sol-gel technique

    Science.gov (United States)

    Mirzaee, Majid; Dolati, Abolghasem

    2014-09-01

    Silver-doped indium tin oxide thin films were synthesized using sol-gel dip-coating technique. The influence of different silver-dopant contents and annealing temperature on the electrical, optical, structural, and morphological properties of the films were characterized by means of four-point probe, UV-Vis spectroscopy, X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), and X-ray photoelectron spectroscope (XPS). XRD analysis confirmed the formation of cubic bixbyte structure of In2O3 with silver nanoparticles annealed at 350 °C. XPS analysis showed that divalent tin transformed to tetravalent tin through oxidization, and silver nanoparticles embedded into ITO matrix covered with silver oxide shell, resulting in high quality nanocomposite thin films. The embedment of polyvinylpyrrolidone inhibited the growth of silver nanoparticles and ITO annealed at 350 °C. Delafossite structure of tin-doped AgInO2 was found at higher annealing temperatures. XRD analysis and FESEM micrographs showed that the optimum temperature to prevent the formation of AgInO2 is 350 °C. The embedment of silver particles (5-10 nm) from reduction of silver ion in ITO thin films improved the electrical conductivity and optical transmittance of ITO nanolayers. The lowest stable sheet resistance of 1,952 Ω/Sq for a 321 nm thick and an average optical transmittance of 91.8 % in the visible region with a band gap of 3.43 eV were achieved for silver-doping content of 0.04 M.

  11. The effect of antimony-tin and indium-tin oxide supports on the catalytic activity of Pt nanoparticles for ammonia electro-oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Silva, Júlio César M. [Department of Chemical & Biological Engineering, Centre for Catalysis Research and Innovation (CCRI), University of Ottawa, 161 Louis-Pasteur, Ottawa, ON K1N 6N5 (Canada); Instituto de Pesquisas Energéticas e Nucleares, IPEN/CNEN-SP, Av. Prof. Lineu Prestes, 2242 Cidade Universitária, CEP 05508-900, São Paulo, SP (Brazil); Piasentin, Ricardo M.; Spinacé, Estevam V.; Neto, Almir O. [Instituto de Pesquisas Energéticas e Nucleares, IPEN/CNEN-SP, Av. Prof. Lineu Prestes, 2242 Cidade Universitária, CEP 05508-900, São Paulo, SP (Brazil); Baranova, Elena A., E-mail: elena.baranova@uottawa.ca [Department of Chemical & Biological Engineering, Centre for Catalysis Research and Innovation (CCRI), University of Ottawa, 161 Louis-Pasteur, Ottawa, ON K1N 6N5 (Canada)

    2016-09-01

    Platinum nanoparticles supported on carbon (Pt/C) and carbon with addition of ITO (Pt/C-ITO (In{sub 2}O{sub 3}){sub 9}·(SnO{sub 2}){sub 1}) and ATO (Pt/C-ATO (SnO{sub 2}){sub 9}·(Sb{sub 2}O{sub 5}){sub 1}) oxides were prepared by sodium borohydride reduction method and used for ammonia electro-oxidation reaction (AmER) in alkaline media. The effect of the supports on the catalytic activity of Pt for AmER was investigated using electrochemical (cyclic voltammetry and chronoamperometry) and direct ammonia fuel cell (DAFC) experiments. X-ray diffraction (XRD) showed Pt peaks attributed to the face-centered cubic (fcc) structure, as well as peaks characteristic of In{sub 2}O{sub 3} in ITO support and cassiterite SnO{sub 2} phase of ATO support. According to transmission electron micrographs the mean particles sizes of Pt over carbon were 5.4, 4.9 and 4.7 nm for Pt/C, Pt/C-ATO and Pt/C-ITO, respectively. Pt/C-ITO catalysts showed the highest catalytic activity for ammonia electrooxidation in both electrochemical and fuel cell experiments. We attributed this to the presence of In{sub 2}O{sub 3} phase in ITO, which provides oxygenated or hydroxide species at lower potentials resulting in the removal of poisonous intermediate, i.e., atomic nitrogen (N{sub ads}) and promotion of ammonia electro-oxidation. - Highlights: • Oxide support effect on the catalytic activity of Pt towards ammonia electro-oxidation. • Direct ammonia fuel cell (DAFC) performance using Pt over different supports as anode. • Pt/C-ITO shows better catalytic activity for ammonia oxidation than Pt/C and Pt/C-ATO.

  12. The Effect of Deposition Rate on Electrical, Optical and Structural Properties of ITO Thin Films

    Directory of Open Access Journals (Sweden)

    P. S. Raghupathi

    2005-01-01

    Full Text Available Indium tin oxide (ITO thin films have been prepared using the reactive evaporation technique on glass substrates in an oxygen atmosphere. It is found that the deposition rate plays prominent role in controlling the electrical and optical properties of the ITO thin films. Resistivity, electrical conductivity, activation energy, optical transmission and band gap energy were investigated. A transmittance value of more than 90% in the visible region of the spectrum and an electrical conductivity of 3x10–6 Ωm has been obtained with a deposition rate of 2 nm/min. XRD studies showed that the films are polycrystalline.

  13. Fabrication of ITO-rGO/Ag NPs nanocomposite by two-step chronoamperometry electrodeposition and its characterization as SERS substrate

    International Nuclear Information System (INIS)

    Wang, Rong; Xu, Yi; Wang, Chunyan; Zhao, Huazhou; Wang, Renjie; Liao, Xin; Chen, Li; Chen, Gang

    2015-01-01

    Highlights: • A novel structure of ITO-rGO/Ag NPs substrate was developed for SERS application. • Two-step chronoamperometry deposition method was used to prepare SERS substrate. • The SERS substrate had high SERS activity, good uniformity and reproducibility. - Abstract: A novel composite structure of reduced graphene oxide (rGO)–Ag nanoparticles (Ag NPs) nanocomposite, which was integrated on the indium tin oxide (ITO) glass by a facile and rapid two-step chronoamperometry electrodeposition route, was proposed and developed in this paper. SERS-activity of the rGO/Ag NPs nanocomposite was mainly affected by the structure and size of the fabricated rGO/Ag NPs nanocomposite. In the experiments, the operational conditions of electrodeposition process were studied in details. The electrodeposited time was the important controllable factor, which decided the particle size and surface coverage of the deposited Ag NPs on ITO glass. Under the optimized conditions, the detection limit for rhodamine6G (R6G) was as low as 10 −11 M and the Raman enhancement factor was as large as 5.9 × 10 8 , which was 24 times higher than that for the ITO–Ag NPs substrate. Apart from this higher enhancement effect, it was also illustrated that extremely good uniformity and reproducibility with low standard deviation could be obtained by the prepared ITO-rGO/Ag NPs nanocomposite for SRES detection

  14. Mechanical Properties of ZTO, ITO, and a-Si:H Multilayer Films for Flexible Thin Film Solar Cells.

    Science.gov (United States)

    Hengst, Claudia; Menzel, Siegfried B; Rane, Gayatri K; Smirnov, Vladimir; Wilken, Karen; Leszczynska, Barbara; Fischer, Dustin; Prager, Nicole

    2017-03-01

    The behavior of bi- and trilayer coating systems for flexible a-Si:H based solar cells consisting of a barrier, an electrode, and an absorption layer is studied under mechanical load. First, the film morphology, stress, Young's modulus, and crack onset strain (COS) were analyzed for single film coatings of various thickness on polyethylene terephthalate (PET) substrates. In order to demonstrate the role of the microstructure of a single film on the mechanical behavior of the whole multilayer coating, two sets of InSnOx (indium tin oxide, ITO) conductive coatings were prepared. Whereas a characteristic grain-subgrain structure was observed in ITO-1 films, grain growth was suppressed in ITO-2 films. ITO-1 bilayer coatings showed two-step failure under tensile load with cracks propagating along the ITO-1/a-Si:H-interface, whereas channeling cracks in comparable bi- and trilayers based on amorphous ITO-2 run through all constituent layers. A two-step failure is preferable from an application point of view, as it may lead to only a degradation of the performance instead of the ultimate failure of the device. Hence, the results demonstrate the importance of a fine-tuning of film microstructure not only for excellent electrical properties, but also for a high mechanical performance of flexible devices (e.g., a-Si:H based solar cells) during fabrication in a roll-to-roll process or under service.

  15. Mechanical Properties of ZTO, ITO, and a-Si:H Multilayer Films for Flexible Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Claudia Hengst

    2017-03-01

    Full Text Available The behavior of bi- and trilayer coating systems for flexible a-Si:H based solar cells consisting of a barrier, an electrode, and an absorption layer is studied under mechanical load. First, the film morphology, stress, Young’s modulus, and crack onset strain (COS were analyzed for single film coatings of various thickness on polyethylene terephthalate (PET substrates. In order to demonstrate the role of the microstructure of a single film on the mechanical behavior of the whole multilayer coating, two sets of InSnOx (indium tin oxide, ITO conductive coatings were prepared. Whereas a characteristic grain–subgrain structure was observed in ITO-1 films, grain growth was suppressed in ITO-2 films. ITO-1 bilayer coatings showed two-step failure under tensile load with cracks propagating along the ITO-1/a-Si:H-interface, whereas channeling cracks in comparable bi- and trilayers based on amorphous ITO-2 run through all constituent layers. A two-step failure is preferable from an application point of view, as it may lead to only a degradation of the performance instead of the ultimate failure of the device. Hence, the results demonstrate the importance of a fine-tuning of film microstructure not only for excellent electrical properties, but also for a high mechanical performance of flexible devices (e.g., a-Si:H based solar cells during fabrication in a roll-to-roll process or under service.

  16. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors

    Science.gov (United States)

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-01

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  17. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors.

    Science.gov (United States)

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-17

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  18. Influence of indium tin oxide electrodes deposited at room temperature on the properties of organic light-emitting devices

    International Nuclear Information System (INIS)

    Satoh, Toshikazu; Fujikawa, Hisayoshi; Taga, Yasunori

    2005-01-01

    The influence of indium tin oxide (ITO) electrodes deposited at room temperature (ITO-RT) on the properties of organic light-emitting devices (OLEDs) has been studied. The OLED on the ITO-RT showed an obvious shorter lifetime and higher operating voltage than that on the conventional ITO electrode deposited at 573 K. The result of an in situ x-ray photoelectron spectroscopy analysis of the ITO electrode and the organic layer suggested that many of the hydroxyl groups that originate in the amorphous structure of the ITO-RT electrode oxidize the organic layer. The performance of the OLED on the ITO-RT is able to be explained by the oxidation of the organic layer

  19. Microstructure and opto-electric properties of Cu/ITO thin films

    International Nuclear Information System (INIS)

    Wang Xian; Li Junlei; Shi Shiwei; Song Xueping; Cui Jingbiao; Sun Zhaoqi

    2012-01-01

    Highlights: ► We prepared Cu/ITO films with different Cu layer thickness. ► We analyzed the relation between opto-electric properties and roughness of the films. ► The Cu-16.1 nm/ITO film shows excellent optical and electric properties. ► Cu/ITO films have great application prospects in new-type transflective displays. - Abstract: Cu/ITO thin films were deposited on glass and silicon substrates by DC and RF magnetron sputtering at room temperature. X-ray diffraction results showed that the films were amorphous. Both of SEM images and 3D Profilometer images indicated that the surface morphology of the ITO films had been affected by the Cu layer. The optical and electric properties of the Cu/ITO films changed significantly with the variation of Cu layer thickness. Cu-5.4 nm/ITO film exhibited the highest optical transmittance of 62.9% at 550 nm and the lowest sheet resistance of 96 Ω/□, whereas Cu-16.1 nm/ITO film showed the highest average reflectance of 24.0% and the lowest resistance of 27.4 Ω/□. Based on our analysis, it was evaluated that Cu layer had an important effect on the electrical and optical properties of ITO thin films.

  20. Electronic structure of ClAlPc/pentacene/ITO interfaces studied by using soft X-ray spectroscopy

    International Nuclear Information System (INIS)

    Cho, Sangwan; Lee, Sangho; Kim, Minsoo; Heo, Nari; Lee, Geunjeong; Smith, Kevin E.

    2014-01-01

    The interfacial electronic structure of a bilayer of chloroaluminum phthalocyanine (ClAlPc) and pentacene grown on indium tin oxide (ITO) has been studied using synchrotron-radiation-excited photoelectron spectroscopy. The energy difference between the highest occupied molecular orbital (HOMO) level of the pentacene layer and the lowest unoccupied molecular orbital (LUMO) level of the ClAlPc layer (E D HOMO - E A LUMO ) was determined and compared with that of C 60 / pentacene bilayers. The E D HOMO - E A LUMO of a heterojunction with ClAlPc was found to be 1.3 eV while that with C 60 was 0.9 eV. This difference is discussed in terms of the difference in the ionization energy of each acceptor materials. We also obtained the complete energy level diagrams of both ClAlPc/pentacene/ITO and C 60 /pentacene/ITO.

  1. Enhanced Performance of Photoelectrochemical Water Splitting with ITO@α-Fe2O3 Core-Shell Nanowire Array as Photoanode.

    Science.gov (United States)

    Yang, Jie; Bao, Chunxiong; Yu, Tao; Hu, Yingfei; Luo, Wenjun; Zhu, Weidong; Fu, Gao; Li, Zhaosheng; Gao, Hao; Li, Faming; Zou, Zhigang

    2015-12-09

    Hematite (α-Fe2O3) is one of the most promising candidates for photoelectrodes in photoelectrochemical water splitting system. However, the low visible light absorption coefficient and short hole diffusion length of pure α-Fe2O3 limits the performance of α-Fe2O3 photoelectrodes in water splitting. Herein, to overcome these drawbacks, single-crystalline tin-doped indium oxide (ITO) nanowire core and α-Fe2O3 nanocrystal shell (ITO@α-Fe2O3) electrodes were fabricated by covering the chemical vapor deposited ITO nanowire array with compact thin α-Fe2O3 nanocrystal film using chemical bath deposition (CBD) method. The J-V curves and IPCE of ITO@α-Fe2O3 core-shell nanowire array electrode showed nearly twice as high performance as those of the α-Fe2O3 on planar Pt-coated silicon wafers (Pt/Si) and on planar ITO substrates, which was considered to be attributed to more efficient hole collection and more loading of α-Fe2O3 nanocrystals in the core-shell structure than planar structure. Electrochemical impedance spectra (EIS) characterization demonstrated a low interface resistance between α-Fe2O3 and ITO nanowire arrays, which benefits from the well contact between the core and shell. The stability test indicated that the prepared ITO@α-Fe2O3 core-shell nanowire array electrode was stable under AM1.5 illumination during the test period of 40,000 s.

  2. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  3. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  4. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  5. Characteristics of ITO electrode grown by linear facing target sputtering with ladder type magnetic arrangement for organic light emitting diodes

    International Nuclear Information System (INIS)

    Jeong, Jin-A; Kim, Han-Ki; Lee, Jae-Young; Lee, Jung-Hwan; Bae, Hyo-Dae; Tak, Yoon-Heung

    2009-01-01

    The preparation and characteristics of indium tin oxide (ITO) electrodes grown using a specially designed linear facing target sputtering (LFTS) system with a ladder type magnet arrangement for organic light emitting diodes (OLED) are described. It was found that the electrical and optical properties of the ITO electrode were critically dependent on the Ar/O 2 flow ratio, while its structural and surface properties remained fairly constant regardless of the Ar/O 2 flow ratio, due to the low substrate temperature during the plasma damage-free sputtering. Under the optimized conditions, we obtained an ITO electrode with the lowest sheet resistance of 39.4 Ω/sq and high transmittance of 90.1% (550 nm wavelength) at room temperature. This suggests that LFTS is a promising low temperature and plasma damage free sputtering technology for preparing high-quality ITO electrodes for OLEDs and flexible OLEDs at room temperature.

  6. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  7. Influence of Substrate Temperature on Structural, Electrical and Optical Properties of Ito Thin Films Prepared by RF Magnetron Sputtering

    Science.gov (United States)

    He, Bo; Zhao, Lei; Xu, Jing; Xing, Huaizhong; Xue, Shaolin; Jiang, Meng

    2013-10-01

    In this paper, we investigated indium-tin-oxide (ITO) thin films on glass substrates deposited by RF magnetron sputtering using ceramic target to find the optimal condition for fabricating optoelectronic devices. The structural, electrical and optical properties of the ITO films prepared at various substrate temperatures were investigated. The results indicate the grain size increases with substrate temperature increases. As the substrate temperature grew up, the resistivity of ITO films greatly decreased. The ITO film possesses high quality in terms of electrode functions, when substrate temperature is 480°C. The resistivity is as low as 9.42 × 10-5 Ω•cm, while the carrier concentration and mobility are as high as 3.461 × 1021 atom/cm3 and 19.1 cm2/Vṡs, respectively. The average transmittance of the film is about 95% in the visible region. The novel ITO/np-Silicon frame, which prepared by RF magnetron sputtering at 480°C substrate temperature, can be used not only for low-cost solar cell, but also for high quantum efficiency of UV and visible lights enhanced photodetector for various applications.

  8. Study of low resistivity and high work function ITO films prepared by oxygen flow rates and N2O plasma treatment for amorphous/crystalline silicon heterojunction solar cells.

    Science.gov (United States)

    Hussain, Shahzada Qamar; Oh, Woong-Kyo; Kim, Sunbo; Ahn, Shihyun; Le, Anh Huy Tuan; Park, Hyeongsik; Lee, Youngseok; Dao, Vinh Ai; Velumani, S; Yi, Junsin

    2014-12-01

    Pulsed DC magnetron sputtered indium tin oxide (ITO) films deposited on glass substrates with lowest resistivity of 2.62 x 10(-4) Ω x cm and high transmittance of about 89% in the visible wavelength region. We report the enhancement of ITO work function (Φ(ITO)) by the variation of oxygen (O2) flow rate and N2O surface plasma treatment. The Φ(ITO) increased from 4.43 to 4.56 eV with the increase in O2 flow rate from 0 to 4 sccm while surface treatment of N2O plasma further enhanced the ITO work function to 4.65 eV. The crystallinity of the ITO films improved with increasing O2 flow rate, as revealed by XRD analysis. The ITO work function was increased by the interfacial dipole resulting from the surface rich in O- ions and by the dipole moment formed at the ITO surface during N2O plasma treatment. The ITO films with high work functions can be used to modify the front barrier height in heterojunction with intrinsic thin layer (HIT) solar cells.

  9. Optimization of the parameters of ITO-CdTe photovoltaic cells

    Science.gov (United States)

    Adib, N.; Simashkevich, A. V.; Sherban, D. A.

    The effect of the surface state density at the interface and of the static charge in the intermediate oxide layer on the photoelectric parameters of solar cells based on ITO-nCdTe semiconductor-insulator-semiconductor structures is calculated theoretically. It is shown that,under AMI conditions, the conversion efficiency of such cells can be as high as 12 percent (short-circuit current, 23 mA/sq cm; open-circuit voltage, 0.65 V; fill factor, 0.8), provided that the surface states are acceptors and the oxide is negatively charged. It is concluded that surface states and the dielectric layer charge have a positive effect on the efficiency of solar cells of this type.

  10. On-chip nanostructuring and impedance trimming of transparent and flexible ITO electrodes by laser induced coherent sub-20 nm cuts

    Energy Technology Data Exchange (ETDEWEB)

    Afshar, Maziar, E-mail: m.afshar@lmm.uni-saarland.de [Lab for Micromechanics, Microfluidics, and Microactuators, Saarland University, Saarbrücken D-66123 (Germany); Leber, Moritz [Lab for Micromechanics, Microfluidics, and Microactuators, Saarland University, Saarbrücken D-66123 (Germany); Poppendieck, Wigand [Department of Medical Engineering & Neuroprosthetics, Fraunhofer Institute for Biomedical Engineering, St. Ingbert D-66386 (Germany); König, Karsten [Lab for Biophotonics and Laser Technology, Saarland University, Saarbrücken D-66123 (Germany); Seidel, Helmut; Feili, Dara [Lab for Micromechanics, Microfluidics, and Microactuators, Saarland University, Saarbrücken D-66123 (Germany)

    2016-01-01

    Graphical abstract: - Highlights: • A novel method to make sub-20 nm nanopatterning in ITO thin films by laser writing. • A novel way to functionalize ITO bio-electrodes to yield near-field polarizing feature. • A basic characterization of ITO electrodes was performed by impedance spectroscopy. • Presentation of simulations and possible theoretical approaches to explain the results. - Abstract: In this work, the effect of laser-induced nanostructuring of transparent indium tin oxide (ITO) electrodes on flexible glass is investigated. Multi-electrode arrays (MEA) for electrical and optical characterization of biological cells were fabricated using standard MEMS technologies. Optimal sputter parameters concerning oxygen flow, sputter power and ambient pressure for ITO layers with both good optical and electrical properties were determined. Afterwards, coherent sub-20 nm wide and 150 nm deep nanocuts of many micrometers in length were generated within the ITO electrodes by a sub-15 femtosecond (fs) pulsed laser. The influence of laser processing on the electrical and optical properties of electrodes was investigated. The electrochemical impedance of the manufactured electrodes was measured before and after laser modification using electrochemical impedance spectroscopy. A small reduction in electrode impedance was observed. These nanostructured electrodes show also polarizing effects by the visible spectrum.

  11. Thermal transport properties of polycrystalline tin-doped indium oxide films

    International Nuclear Information System (INIS)

    Ashida, Toru; Miyamura, Amica; Oka, Nobuto; Sato, Yasushi; Shigesato, Yuzo; Yagi, Takashi; Taketoshi, Naoyuki; Baba, Tetsuya

    2009-01-01

    Thermal diffusivity of polycrystalline tin-doped indium oxide (ITO) films with a thickness of 200 nm has been characterized quantitatively by subnanosecond laser pulse irradiation and thermoreflectance measurement. ITO films sandwiched by molybdenum (Mo) films were prepared on a fused silica substrate by dc magnetron sputtering using an oxide ceramic ITO target (90 wt %In 2 O 3 and 10 wt %SnO 2 ). The resistivity and carrier density of the ITO films ranged from 2.9x10 -4 to 3.2x10 -3 Ω cm and from 1.9x10 20 to 1.2x10 21 cm -3 , respectively. The thermal diffusivity of the ITO films was (1.5-2.2)x10 -6 m 2 /s, depending on the electrical conductivity. The thermal conductivity carried by free electrons was estimated using the Wiedemann-Franz law. The phonon contribution to the heat transfer in ITO films with various resistivities was found to be almost constant (λ ph =3.95 W/m K), which was about twice that for amorphous indium zinc oxide films

  12. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Rao, K. Narasimha; Rajanna, K.; Phani, A.R.

    2014-01-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400 °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices

  13. Short-Term Synaptic Plasticity Regulation in Solution-Gated Indium-Gallium-Zinc-Oxide Electric-Double-Layer Transistors.

    Science.gov (United States)

    Wan, Chang Jin; Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2016-04-20

    In the biological nervous system, synaptic plasticity regulation is based on the modulation of ionic fluxes, and such regulation was regarded as the fundamental mechanism underlying memory and learning. Inspired by such biological strategies, indium-gallium-zinc-oxide (IGZO) electric-double-layer (EDL) transistors gated by aqueous solutions were proposed for synaptic behavior emulations. Short-term synaptic plasticity, such as paired-pulse facilitation, high-pass filtering, and orientation tuning, was experimentally emulated in these EDL transistors. Most importantly, we found that such short-term synaptic plasticity can be effectively regulated by alcohol (ethyl alcohol) and salt (potassium chloride) additives. Our results suggest that solution gated oxide-based EDL transistors could act as the platforms for short-term synaptic plasticity emulation.

  14. 100-nm gate lithography for double-gate transistors

    Science.gov (United States)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  15. ITO films realized at room-temperature by ion beam sputtering for high-performance flexible organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Lucas, B.; Rammal, W.; Moliton, A. [Limoges Univ., Faculte des Sciences et Techniques, CNRS, UMR 6172, Institut de Recherche XLIM, Dept. MINACOM, 87 - Limoges (France)

    2006-06-15

    Indium-tin oxide (ITO) thin layers are obtained by an IBS (Ion Beam Sputtering) deposition process. We elaborated ITO films on flexible substrates of polyethylene terephthalate (PET), under soft conditions of low temperatures and fulfilling the requirements of fabrication processes of the organic optoelectronic components. With a non thermally activated (20 Celsius degrees) ITO deposition assisted by an oxygen flow (1 cm{sup 3}/min), we got an optical transmittance of 90% in the visible range, a resistivity around 10{sup -3} {omega}.cm and a surface roughness lower than 1.5 mm. Thus we realized flexible organic light-emitting diodes (FOLEDs) with good performances: a maximum luminance of 12000 cd/m{sup 2} at a voltage of 19 V and a maximum luminous power efficiency around 1 lm/W at a voltage of 10 V (or a maximum current efficiency of 4 cd/A at 14 V) for the (PET(50 {mu}m) / ITO(200 nm) / TPD(40 nm) / Alq3(60 nm) / Ca / Al) structure. (authors)

  16. Transparent conducting thin films by co-sputtering of ZnO-ITO targets

    Energy Technology Data Exchange (ETDEWEB)

    Carreras, Paz; Antony, Aldrin; Roldan, Ruben; Nos, Oriol; Frigeri, Paolo Antonio; Asensi, Jose Miguel; Bertomeu, Joan [Grup d' Energia Solar, Universitat de Barcelona (Spain)

    2010-04-15

    Transparent and conductive Zn-In-Sn-O (ZITO) amorphous thin films have been deposited at room temperature by the rf magnetron co-sputtering of ITO and ZnO targets. Co-sputtering gives the possibility to deposit multicomponent oxide thin films with different compositions by varying the power to one of the targets. In order to make ZITO films with different Zn content, a constant rf power of 50 W was used for the ITO target, where as the rf power to ZnO target was varied from 25 W to 150 W. The as deposited films showed an increase in Zn content ratio from 17 to 67% as the power to ZnO target was increased from 25 to 150 W. The structural, electrical and optical properties of the as deposited films are reported. The films showed an average transmittance over 80% in the visible wavelength range. The electrical resistivity and optical band gap of the ZITO films were found to depend on the Zn content in the film. The ZITO films deposited at room temperature with lower Zn content ratios showed better optical transmission and electrical properties compared to ITO film. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. On the processing-structure-property relationship of ITO layers deposited on crystalline and amorphous Si

    International Nuclear Information System (INIS)

    Diplas, S.; Ulyashin, A.; Maknys, K.; Gunnaes, A.E.; Jorgensen, S.; Wright, D.; Watts, J.F.; Olsen, A.; Finstad, T.G.

    2007-01-01

    Indium-tin-oxide (ITO) antireflection coatings were deposited on crystalline Si (c-Si), amorphous hydrogenated Si (a-Si:H) and glass substrates at room temperature (RT), 160 deg. C and 230 deg. C by magnetron sputtering. The films were characterised using atomic force microscopy, transmission electron microscopy, angle resolved X-ray photoelectron spectroscopy, combined with resistance and transmittance measurements. The conductivity and refractive index as well as the morphology of the ITO films showed a significant dependence on the processing conditions. The films deposited on the two different Si substrates at higher temperatures have rougher surfaces compared to the RT ones due to the development of crystallinity and growth of columnar grains

  18. Effective Passivation and Tunneling Hybrid a-SiOx(In) Layer in ITO/n-Si Heterojunction Photovoltaic Device.

    Science.gov (United States)

    Gao, Ming; Wan, Yazhou; Li, Yong; Han, Baichao; Song, Wenlei; Xu, Fei; Zhao, Lei; Ma, Zhongquan

    2017-05-24

    In this article, using controllable magnetron sputtering of indium tin oxide (ITO) materials on single crystal silicon at 100 °C, the optoelectronic heterojunction frame of ITO/a-SiO x (In)/n-Si is simply fabricated for the purpose of realizing passivation contact and hole tunneling. It is found that the gradation profile of indium (In) element together with silicon oxide (SiO x /In) within the ultrathin boundary zone between ITO and n-Si occurs and is characterized by X-ray photoelectron spectroscopy with the ion milling technique. The atomistic morphology and physical phase of the interfacial layer has been observed with a high-resolution transmission electron microscope. X-ray diffraction, Hall effect measurement, and optical transmittance with Tauc plot have been applied to the microstructure and property analyses of ITO thin films, respectively. The polycrystalline and amorphous phases have been verified for ITO films and SiO x (In) hybrid layer, respectively. For the quantum transport, both direct and defect-assisted tunneling of photogenerated holes through the a-SiO x (In) layer is confirmed. Besides, there is a gap state correlative to the indium composition and located at E v + 4.60 eV in the ternary hybrid a-SiO x (In) layer that is predicted by density functional theory of first-principles calculation, which acts as an "extended delocalized state" for direct tunneling of the photogenerated holes. The reasonable built-in potential (V bi = 0.66 V) and optimally controlled ternary hybrid a-SiO x (In) layer (about 1.4 nm) result in that the device exhibits excellent PV performance, with an open-circuit voltage of 0.540 V, a short-circuit current density of 30.5 mA/cm 2 , a high fill factor of 74.2%, and a conversion efficiency of 12.2%, under the AM 1.5 illumination. The work function difference between ITO (5.06 eV) and n-Si (4.31 eV) is determined by ultraviolet photoemission spectroscopy and ascribed to the essence of the built-in-field of the PV device

  19. Electronic Structure of ClAlPc/pentacene/ITO Interfaces Studied by Using Soft X-ray Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sang Wan [Yonsei Univ., Wonju (Korea); Lee, Sangho [Yonsei Univ., Wonju (Korea); Kim, Minsoo [Yonsei Univ., Wonju (Korea); Heo, Nari [Yonsei Univ., Wonju (Korea); Lee, Geunjeong [Yonsei Univ., Wonju (Korea); Smith, Kevin E. [Boston Univ., MA (United States)

    2014-12-06

    The interfacial electronic structure of a bilayer of chloroaluminum phthalocyanine (ClAlPc) and pentacene grown on indium tin oxide (ITO) has been studied using synchrotron-radiation-excited photoelectron spectroscopy. The energy difference between the highest occupied molecular orbital (HOMO) level of the pentacene layer and the lowest unoccupied molecular orbital (LUMO) level of the ClAlPc layer (E HOMO D - E LUMO A ) was determined and compared with that of C60/pentacene bilayers. The E HOMO D - E LUMO A of a heterojunction with ClAlPc was found to be 1.3 eV while that with C60 was 0.9 eV. This difference is discussed in terms of the difference in the ionization energy of each acceptor materials. We also obtained the complete energy level diagrams of both ClAlPc/pentacene/ITO and C60/pentacene/ITO.

  20. Electronic structure of ClAlPc/pentacene/ITO interfaces studied by using soft X-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sangwan; Lee, Sangho; Kim, Minsoo; Heo, Nari; Lee, Geunjeong [Yonsei University, Wonju (Korea, Republic of); Smith, Kevin E. [Boston University, Boston, MA (United States)

    2014-11-15

    The interfacial electronic structure of a bilayer of chloroaluminum phthalocyanine (ClAlPc) and pentacene grown on indium tin oxide (ITO) has been studied using synchrotron-radiation-excited photoelectron spectroscopy. The energy difference between the highest occupied molecular orbital (HOMO) level of the pentacene layer and the lowest unoccupied molecular orbital (LUMO) level of the ClAlPc layer (E{sup D}{sub HOMO} - E{sup A}{sub LUMO}) was determined and compared with that of C{sub 60}/ pentacene bilayers. The E{sup D}{sub HOMO} - E{sup A}{sub LUMO} of a heterojunction with ClAlPc was found to be 1.3 eV while that with C{sub 60} was 0.9 eV. This difference is discussed in terms of the difference in the ionization energy of each acceptor materials. We also obtained the complete energy level diagrams of both ClAlPc/pentacene/ITO and C{sub 60}/pentacene/ITO.

  1. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  2. Spontaneous cholangiohepatitis in broiler chickens: immunohistochemical study of Ito cells

    Directory of Open Access Journals (Sweden)

    E Handharyani

    2001-12-01

    Full Text Available The function of Ito cells is expanding from a fat-storing site to a center of extracellular matrix metabolism and mediator production in the liver. Immunohistochemical reactivities of Ito cells were examined in eight livers of broiler chickens affected with spontaneous cholangiohepatitis and six chicken livers with malformation of extrahepatic biliary tracts. The livers in both groups revealed severe diffuse fibrosis. Ito cells expressing HHF35 muscle actin and desmin actively proliferated in the fibrotic foci of the all livers. The immunoreactivities of Ito cells to antibodies were enhanced compared with those in normal livers. There were no immunohistochemical differences between the Ito cells of two groups. From these findings, it was suggested that Ito cells actively proliferate and show enhanced immunoreactivities in the livers affected with cholangiohepatitis andmalformation of extrahepatic biliary tracts.

  3. Light-extraction enhancement of GaN-based 395  nm flip-chip light-emitting diodes by an Al-doped ITO transparent conductive electrode.

    Science.gov (United States)

    Xu, Jin; Zhang, Wei; Peng, Meng; Dai, Jiangnan; Chen, Changqing

    2018-06-01

    The distinct ultraviolet (UV) light absorption of indium tin oxide (ITO) limits the performance of GaN-based near-UV light-emitting diodes (LEDs). Herein, we report an Al-doped ITO with enhanced UV transmittance and low sheet resistance as the transparent conductive electrode for GaN-based 395 nm flip-chip near-UV LEDs. The thickness dependence of optical and electrical properties of Al-doped ITO films is investigated. The optimal Al-doped ITO film exhibited a transmittance of 93.2% at 395 nm and an average sheet resistance of 30.1  Ω/sq. Meanwhile, at an injection current of 300 mA, the forward voltage decreased from 3.14 to 3.11 V, and the light output power increased by 13% for the 395 nm near-UV flip-chip LEDs with the optimal Al-doped ITO over those with pure ITO. This Letter provides a simple and repeatable approach to further improve the light extraction efficiency of GaN-based near-UV LEDs.

  4. Fabrication of ITO-rGO/Ag NPs nanocomposite by two-step chronoamperometry electrodeposition and its characterization as SERS substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Rong [Chemistry and Chemical Engineering College, Chongqing University, Shapingba, Chongqing 400044 (China); Key Disciplines Lab of Novel Micro-Nano Devices and System Technology, and School of Optoelectronics Engineering, Chongqing University, Shapingba, Chongqing 400044 (China); Analytical and Testing Center, Sichuan University of Science & Engineering, Zigong, Sichuan 643000 (China); Xu, Yi [Chemistry and Chemical Engineering College, Chongqing University, Shapingba, Chongqing 400044 (China); Key Disciplines Lab of Novel Micro-Nano Devices and System Technology, and School of Optoelectronics Engineering, Chongqing University, Shapingba, Chongqing 400044 (China); Wang, Chunyan [Key Disciplines Lab of Novel Micro-Nano Devices and System Technology, and School of Optoelectronics Engineering, Chongqing University, Shapingba, Chongqing 400044 (China); School of Optoelectronic Engineering, Chongqing University, Shapingba, Chongqing 400044 (China); Zhao, Huazhou; Wang, Renjie; Liao, Xin [Chemistry and Chemical Engineering College, Chongqing University, Shapingba, Chongqing 400044 (China); Key Disciplines Lab of Novel Micro-Nano Devices and System Technology, and School of Optoelectronics Engineering, Chongqing University, Shapingba, Chongqing 400044 (China); Chen, Li; Chen, Gang [Key Disciplines Lab of Novel Micro-Nano Devices and System Technology, and School of Optoelectronics Engineering, Chongqing University, Shapingba, Chongqing 400044 (China); School of Optoelectronic Engineering, Chongqing University, Shapingba, Chongqing 400044 (China)

    2015-09-15

    Highlights: • A novel structure of ITO-rGO/Ag NPs substrate was developed for SERS application. • Two-step chronoamperometry deposition method was used to prepare SERS substrate. • The SERS substrate had high SERS activity, good uniformity and reproducibility. - Abstract: A novel composite structure of reduced graphene oxide (rGO)–Ag nanoparticles (Ag NPs) nanocomposite, which was integrated on the indium tin oxide (ITO) glass by a facile and rapid two-step chronoamperometry electrodeposition route, was proposed and developed in this paper. SERS-activity of the rGO/Ag NPs nanocomposite was mainly affected by the structure and size of the fabricated rGO/Ag NPs nanocomposite. In the experiments, the operational conditions of electrodeposition process were studied in details. The electrodeposited time was the important controllable factor, which decided the particle size and surface coverage of the deposited Ag NPs on ITO glass. Under the optimized conditions, the detection limit for rhodamine6G (R6G) was as low as 10{sup −11} M and the Raman enhancement factor was as large as 5.9 × 10{sup 8}, which was 24 times higher than that for the ITO–Ag NPs substrate. Apart from this higher enhancement effect, it was also illustrated that extremely good uniformity and reproducibility with low standard deviation could be obtained by the prepared ITO-rGO/Ag NPs nanocomposite for SRES detection.

  5. Pentacene ohmic contact on the transparent conductive oxide films

    International Nuclear Information System (INIS)

    Chu, Jian-An; Zeng, Jian-Jhou; Wu, Kuo-Chen; Lin, Yow-Jon

    2010-01-01

    Low-resistance ohmic contacts are essential to improve the performance of pentacene-based electronic and optoelectronic devices. In this study, we reported ohmic contact formation at the indium tin oxide (ITO)/pentacene and indium cerium oxide (ICO)/pentacene interfaces. According to the observed results from current-voltage and Kelvin probe measurements, we found that the lower contact resistivity of the ICO/pentacene sample than the ITO/pentacene sample may be attributed to the higher surface work function of ICO than ITO.

  6. Fabricate heterojunction diode by using the modified spray pyrolysis method to deposit nickel-lithium oxide on indium tin oxide substrate.

    Science.gov (United States)

    Wu, Chia-Ching; Yang, Cheng-Fu

    2013-06-12

    P-type lithium-doped nickel oxide (p-LNiO) thin films were deposited on an n-type indium tin oxide (ITO) glass substrate using the modified spray pyrolysis method (SPM), to fabricate a transparent p-n heterojunction diode. The structural, optical, and electrical properties of the p-LNiO and ITO thin films and the p-LNiO/n-ITO heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy, Hall effect measurement, and current-voltage (I-V) measurements. The nonlinear and rectifying I-V properties confirmed that a heterojunction diode characteristic was successfully formed in the p-LNiO/n-ITO (p-n) structure. The I-V characteristic was dominated by space-charge-limited current (SCLC), and the Anderson model demonstrated that band alignment existed in the p-LNiO/n-ITO heterojunction diode.

  7. A transparent conductive oxide electrode with highly enhanced flexibility achieved by controlled crystallinity by incorporating Ag nanoparticles on substrates

    Energy Technology Data Exchange (ETDEWEB)

    Triambulo, Ross E.; Cheong, Hahn-Gil [Department of Materials Science and Engineering, Yonsei University, Seoul (Korea, Republic of); Lee, Gun-Hwan [Advanced Thin Film Research Group, Korea Institute of Materials Science (KIMS), Changwon (Korea, Republic of); Yi, In-Sook [R and D Center, InkTec Co., Ltd., Ansan (Korea, Republic of); Park, Jin-Woo, E-mail: jwpark09@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, Seoul (Korea, Republic of)

    2015-01-25

    Highlights: • We developed a composite transparent electrode with Ag nanoparticles and indium-tin-oxide. • Transmittance of AgNPs was improved by formation of oxide layers by O{sub 2} plasma treatment. • Ag nanoparticles became crystalline seeds to grow strong ITO with a uniform growth orientation. • The hybrid electrode is highly more conductive and stable under bending than ITO. - Abstract: We report the synthesis of highly flexible indium tin oxide (ITO) on a polymer substrate whose surface was engineered by oxide-coated Ag nanoparticles (AgNPs) smaller than 20 nm in diameter. Polyimide (PI) substrates were spin coated with Ag ion ink and were subsequently heat treated to form AgNP coatings. The Ag oxide was formed by O{sub 2} plasma treatment to reduce the light absorbance by AgNPs. ITO was dc magnetron sputter-deposited atop the AgNPs. The ITO on the AgNPs was crystalline grown primarily with (2 2 2) growth orientation. This contrasts to the typical microstructure of ITO grown on the polymer, which is that growing c-ITO nucleates are embedded in an amorphous ITO (a-ITO) matrix like a particulate composite. The surface roughness of ITO on AgNPs was as small as the ITO on PI without AgNPs. The crystalline nature of the ITO on the AgNP-coated polymer resulted in the decrease of electric resistivity (ρ) by 65% compared to that of ITO on the bare PI. Furthermore, an electric resistivity change (Δρ) of the ITO on the AgNPs was only 8% at a bending radius (r{sub b}) down to 4 mm, whereas the ITO on the non-coated polymer became almost insulating at an r{sub b} of 10 mm, owing to a drastic increase in the number of cracks. To validate the potential application in the displays, flexible organic light emitting diodes (f-OLEDs) were fabricated on the ITO on AgNPs and the performances was compared with the f-OLED on ITO on the bare PI.

  8. A transparent conductive oxide electrode with highly enhanced flexibility achieved by controlled crystallinity by incorporating Ag nanoparticles on substrates

    International Nuclear Information System (INIS)

    Triambulo, Ross E.; Cheong, Hahn-Gil; Lee, Gun-Hwan; Yi, In-Sook; Park, Jin-Woo

    2015-01-01

    Highlights: • We developed a composite transparent electrode with Ag nanoparticles and indium-tin-oxide. • Transmittance of AgNPs was improved by formation of oxide layers by O 2 plasma treatment. • Ag nanoparticles became crystalline seeds to grow strong ITO with a uniform growth orientation. • The hybrid electrode is highly more conductive and stable under bending than ITO. - Abstract: We report the synthesis of highly flexible indium tin oxide (ITO) on a polymer substrate whose surface was engineered by oxide-coated Ag nanoparticles (AgNPs) smaller than 20 nm in diameter. Polyimide (PI) substrates were spin coated with Ag ion ink and were subsequently heat treated to form AgNP coatings. The Ag oxide was formed by O 2 plasma treatment to reduce the light absorbance by AgNPs. ITO was dc magnetron sputter-deposited atop the AgNPs. The ITO on the AgNPs was crystalline grown primarily with (2 2 2) growth orientation. This contrasts to the typical microstructure of ITO grown on the polymer, which is that growing c-ITO nucleates are embedded in an amorphous ITO (a-ITO) matrix like a particulate composite. The surface roughness of ITO on AgNPs was as small as the ITO on PI without AgNPs. The crystalline nature of the ITO on the AgNP-coated polymer resulted in the decrease of electric resistivity (ρ) by 65% compared to that of ITO on the bare PI. Furthermore, an electric resistivity change (Δρ) of the ITO on the AgNPs was only 8% at a bending radius (r b ) down to 4 mm, whereas the ITO on the non-coated polymer became almost insulating at an r b of 10 mm, owing to a drastic increase in the number of cracks. To validate the potential application in the displays, flexible organic light emitting diodes (f-OLEDs) were fabricated on the ITO on AgNPs and the performances was compared with the f-OLED on ITO on the bare PI

  9. All-Solution-Processed, Ambient Method for ITO-Free, Roll-Coated Tandem Polymer Solar Cells using Solution- Processed Metal Films

    DEFF Research Database (Denmark)

    Angmo, Dechan; Dam, Henrik Friis; Andersen, Thomas Rieks

    2014-01-01

    A solution-processed silver film is employed in the processing of top-illuminated indium-tin-oxide (ITO)-free polymer solar cells in single- and double-junction (tandem) structures. The nontransparent silver film fully covers the substrate and serves as the bottom electrode whereas a PEDOT...... in terms of surface morphological and topographical properties and to ITO in terms of flexibility. The slot–die coated Ag film demonstrates extremely low roughness (a root-meansquare roughness of 3 nm was measured over 240_320 mm2 area), is highly conductive (

  10. Impedance spectroscopy of heterojunction solar cell a-SiC/c-Si with ITO antireflection film investigated at different temperatures

    Science.gov (United States)

    Šály, V.; Perný, M.; Janíček, F.; Huran, J.; Mikolášek, M.; Packa, J.

    2017-04-01

    Progressive smart photovoltaic technologies including heterostructures a-SiC/c-Si with ITO antireflection film are one of the prospective replacements of conventional photovoltaic silicon technology. Our paper is focused on the investigation of heterostructures a-SiC/c-Si provided with a layer of ITO (indium oxide/tin oxide 90/10 wt.%) which acts as a passivating and antireflection coating. Prepared photovoltaic cell structure was investigated at various temperatures and the influence of temperature on its operation was searched. The investigation of the dynamic properties of heterojunction PV cells was carried out using impedance spectroscopy. The equivalent AC circuit which approximates the measured impedance data was proposed. Assessment of the influence of the temperature on the operation of prepared heterostructure was carried out by analysis of the temperature dependence of AC equivalent circuit elements.

  11. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Ultra-low specific on-resistance SOI double-gate trench-type MOSFET

    International Nuclear Information System (INIS)

    Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji

    2011-01-01

    An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)

  13. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    Science.gov (United States)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  14. Effect of oxygen flow rate on ITO thin films deposited by facing targets sputtering

    International Nuclear Information System (INIS)

    Kim, Youn J.; Jin, Su B.; Kim, Sung I.; Choi, Yoon S.; Choi, In S.; Han, Jeon G.

    2010-01-01

    Tin-doped indium oxide (ITO) thin films were deposited on glass substrates at various oxygen flow rates using a planar magnetron sputtering system with facing targets. In this system, the strong internal magnets inside the target holders confine the plasma between the targets. High resolution transmission electron microscopy revealed a combination of amorphous and crystalline phases on the glass substrate. X-ray photoelectron spectroscopy suggested that the decrease in carrier concentration and increase in mobility were caused by a decrease in the concentration of Sn 4+ states. The electrical and optical properties of the ITO films were examined by Hall measurements and UV-visible spectroscopy, which showed a film resistivity and transmittance of 4.26 x l0 -4 Ω cm, and > 80% in the visible region, respectively.

  15. Roll-coating fabrication of ITO-free flexible solar cells based on a non-fullerene small molecule acceptor

    DEFF Research Database (Denmark)

    Liu, Wenqing; Shi, Hangqi; Andersen, Thomas Rieks

    2015-01-01

    We report organic solar cells (OSCs) with non-fullerene small molecule acceptors (SMAs) prepared in large area via a roll coating process. We employ all solution-processed indium tin oxide (ITO)-free flexible substrates for inverted solar cells with a new SMA of F(DPP)(2)B-2. By utilizing poly(3......-hexylthiophene) as donor blended with F(DPP)(2)B-2 as acceptor, ITO-free large-area flexible SMA based OSCs were produced under ambient conditions with the use of slot-die coating and flexographic printing methods on a lab-scale compact roll-coater that is readily transferrable to roll-to-roll processing...

  16. Environmentally Friendly Plasma-Treated PEDOT:PSS as Electrodes for ITO-Free Perovskite Solar Cells.

    Science.gov (United States)

    Vaagensmith, Bjorn; Reza, Khan Mamun; Hasan, Md Nazmul; Elbohy, Hytham; Adhikari, Nirmal; Dubey, Ashish; Kantack, Nick; Gaml, Eman; Qiao, Qiquan

    2017-10-18

    Solution processed poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) transparent electrodes (TEs) offer great potential as a low cost alternative to expensive indium tin oxide (ITO). However, strong acids are typically used for enhancing the conductivity of PEDOT:PSS TEs, which produce processing complexity and environmental issues. This work presents an environmentally friendly acid free approach to enhance the conductivity of PEDOT:PSS using a light oxygen plasma treatment, in addition to solvent blend additives and post treatments. The plasma treatment was found to significantly reduce the sheet resistance of PEDOT:PSS TEs from 85 to as low as 15 Ω sq -1 , which translates to the highest reported conductivity of 5012 S/cm for PEDOT:PSS TEs. The plasma treated PEDOT:PSS TE resulted in an ITO-free perovskite solar cell efficiency of 10.5%, which is the highest reported efficiency for ITO-free perovskite solar cells with a PEDOT:PSS electrode that excludes the use of acid treatments. This research presents the first demonstration of this technology. Moreover, the PEDOT:PSS TEs enabled better charge extraction from the perovskite solar cells and reduced hysteresis in the current density-voltage (J-V) curves.

  17. Optical properties of ITO nanocoatings for photovoltaic and energy building applications

    Science.gov (United States)

    Kaplani, E.; Kaplanis, S.; Panagiotaras, D.; Stathatos, E.

    2014-10-01

    Targeting energy savings in buildings, photovoltaics and other sectors, significant research activity is nowadays focused on the production of spectral selective nanocoatings. In the present study an ITO coating on glass substrate is prepared from ITO powder, characterized and analysed. The spectral transmittance and reflectance of the ITO coated glass and of two other commercially developed ITO coatings on glass substrate were measured and compared. Furthermore, a simulation algorithm was developed to determine the optical properties of the ITO coatings in the visible, solar and near infrared regions in order to assess the impact of the ITO coatings in the energy performance of buildings, and particularly the application in smart windows. In addition, the current density produced by a PV assuming each of the ITO coated glass served as a cover was computed, in order to assess their effect in PV performance. The preliminary ITO coating prepared and the two other coatings exhibit different optical properties and, thus, have different impact on energy performance. The analysis assists in a better understanding of the desired optical properties of nanocoatings for improved energy performance in PV and buildings.

  18. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    Science.gov (United States)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  19. Radiation resistance and comparative performance of ITO/InP and n/p InP homojunction solar cells

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.; Coutts, T.J.

    1988-09-01

    The radiation resistance of ITO/InP cells processed by DC magnetron sputtering is compared to that of standard n/p InP and GaAs homojunction cells. After 20 MeV proton irradiations, it is found that the radiation resistance of the present ITO/InP cell is comparable to that of the n/p homojunction InP cell and that both InP cell types have radiation resistance significantly greater than GaAs. The relatively lower radiation resistance, observed at higher fluence, for the InP cell with the deepest junction depth, is attributed to losses in the cells emitter region. Diode parameters obtained from I sub sc - V sub oc plots, data from surface Raman spectroscopy, and determinations of surface conductivity types are used to investigate the configuration of the ITO/InP cells. It is concluded that thesee latter cells are n/p homojunctions, the n-region consisting of a disordered layer at the oxide semiconductor

  20. Dependence of plasma treatment of ITO electrode films on electrical and optical properties of polymer light-emitting diodes

    International Nuclear Information System (INIS)

    Kim, Seung Ho; Baek, Seung Jun; Chang, Ho Jung; Chang, Young Chul

    2012-01-01

    Polymer light-emitting diodes (PLEDs) having indium tin oxide (ITO)/PEDOT:PSS [poly(3,4-ethylenedioxythiophene)-polystyrene sulfonate]/PVK [poly-vinylcarbazole]:PFO-poss [poly(9,9-dioctylfluorene) end capped by polyhedral oligomeric silsesquioxane]/TPBI [2,2',2''-(1,3,5-benzinetriyl)-tris(1-phenyl-1-H-benzimidazole)]/LiF/Al structures were prepared on plasma-treated ITO/glass substrates using spin-coating and thermal evaporation methods. The effects of the plasma treatment on the ITO films to the optical and electrical properties of the PLEDs were examined. The sheet resistance of the ITO films decreased with an increasing radio frequency (RF) plasma intensity from 20 to 200 W under a 20 mTorr Ar + O 2 gas (50:50 vol.%) pressure. The work function of the ITO films without plasma treatment was 4.97 eV, and increased to about 5.16-5.23 eV after the plasma treatment of the films. The surface roughness improved with increasing plasma intensities. The luminance and current efficiency of the PLEDs were improved when the devices were prepared on the plasma-treated ITO/glass substrates. The maximum current density and luminance for the PLEDs was obtained at a 150-W RF plasma intensity; they were 310 mA cm -2 and 2535 cd m -2 at 9 V, respectively. The Commission Internationale d'Eclairage (CIE) color coordinates were found to be x, y = 0.17, 0.06-0.07, showing a good blue color. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  2. Low-temperature formation of high-quality gate oxide by ultraviolet irradiation on spin-on-glass

    International Nuclear Information System (INIS)

    Usuda, R.; Uchida, K.; Nozaki, S.

    2015-01-01

    Although a UV cure was found to effectively convert a perhydropolysilazane (PHPS) spin-on-glass film into a dense SiO x film at low temperature, the electrical characteristics were never reported in order to recommend the use of PHPS as a gate-oxide material that can be formed at low temperature. We have formed a high-quality gate oxide by UV irradiation on the PHPS film, and obtained an interface midgap trap density of 3.4 × 10 11  cm −2 eV −1 by the UV wet oxidation and UV post-metallization annealing (PMA), at a temperature as low as 160 °C. In contrast to the UV irradiation using short-wavelength UV light, which is well known to enhance oxidation by the production of the excited states of oxygen, the UV irradiation was carried out using longer-wavelength UV light from a metal halide lamp. The UV irradiation during the wet oxidation of the PHPS film generates electron-hole pairs. The electrons ionize the H 2 O molecules and facilitate dissociation of the molecules into H and OH − . The OH − ions are highly reactive with Si and improve the stoichiometry of the oxide. The UV irradiation during the PMA excites the electrons from the accumulation layer, and the built-in electric field makes the electron injection into the oxide much easier. The electrons injected into the oxide recombine with the trapped holes, which have caused a large negative flat band voltage shift after the UV wet oxidation, and also ionize the H 2 O molecules. The ionization results in the electron stimulated dissociation of H 2 O molecules and the decreased interface trap density

  3. Low-temperature formation of high-quality gate oxide by ultraviolet irradiation on spin-on-glass

    Energy Technology Data Exchange (ETDEWEB)

    Usuda, R.; Uchida, K.; Nozaki, S., E-mail: nozaki@ee.uec.ac.jp [Graduate School of Informatics and Engineering, The University of Electro-Communications, 1-5-1 Chofugaoka, Chofu-shi, Tokyo 182-1515 (Japan)

    2015-11-02

    Although a UV cure was found to effectively convert a perhydropolysilazane (PHPS) spin-on-glass film into a dense SiO{sub x} film at low temperature, the electrical characteristics were never reported in order to recommend the use of PHPS as a gate-oxide material that can be formed at low temperature. We have formed a high-quality gate oxide by UV irradiation on the PHPS film, and obtained an interface midgap trap density of 3.4 × 10{sup 11 }cm{sup −2} eV{sup −1} by the UV wet oxidation and UV post-metallization annealing (PMA), at a temperature as low as 160 °C. In contrast to the UV irradiation using short-wavelength UV light, which is well known to enhance oxidation by the production of the excited states of oxygen, the UV irradiation was carried out using longer-wavelength UV light from a metal halide lamp. The UV irradiation during the wet oxidation of the PHPS film generates electron-hole pairs. The electrons ionize the H{sub 2}O molecules and facilitate dissociation of the molecules into H and OH{sup −}. The OH{sup −} ions are highly reactive with Si and improve the stoichiometry of the oxide. The UV irradiation during the PMA excites the electrons from the accumulation layer, and the built-in electric field makes the electron injection into the oxide much easier. The electrons injected into the oxide recombine with the trapped holes, which have caused a large negative flat band voltage shift after the UV wet oxidation, and also ionize the H{sub 2}O molecules. The ionization results in the electron stimulated dissociation of H{sub 2}O molecules and the decreased interface trap density.

  4. Effect of deposition parameters on properties of ITO films prepared by reactive middle frequency pulsed dual magnetron sputtering

    International Nuclear Information System (INIS)

    Rogozin, A.I.; Vinnichenko, M.V.; Kolitsch, A.; Moeller, W.

    2004-01-01

    ITO layers with low resistivity and high visible transmittance were produced by means of middle frequency reactive dual magnetron sputtering. The influence of base pressure, Ar/O 2 ratio and magnetron pulse duration on the film composition, structure, electrical, and optical properties has been investigated. The deposition rate is proportional to the magnetron operation power at changing pulse duration and constant Ar and O 2 flows. At enhanced O 2 flows an onset of the magnetron target oxidation is discussed as a reason for the decrease of the deposition rate. The presence of water vapor in the residual gas is determined to be a reason for deterioration of resistivity and optical transmittance observed for ITO films produced at a base pressures higher than 5·10 -4 Pa. It is demonstrated that spectroscopic ellipsometry can be used as a noncontact tool to monitor the resistivity of ITO films

  5. Synergetic effect of LaB6 and ITO nanoparticles on optical properties and thermal stability of poly(vinylbutyral) nanocomposite films

    International Nuclear Information System (INIS)

    Tang, Hongbo; Su, Yuchang; Hu, Te; Liu, Shidong; Mu, Shijia; Xiao, Lihua

    2014-01-01

    In this work, different compositions of lanthanum hexaboride (LaB 6 ) and tin-doped indium oxide (ITO) nanoparticles were doped into poly(vinylbutyral) (PVB) matrix to prepare PVB/LaB 6 -ITO nanocomposite (PLINC) films by a solution casting method. X-ray diffraction, Fourier transform infrared spectroscopy, field emission scanning electron microscopy, thermogravimetric analysis (TGA) and ultraviolet-visible-near infrared spectroscopy (UV-vis-NIR) were employed to characterize the PLINCs. The TGA and UV-vis-NIR results reveal that the nanocomposite films possessed outstanding thermal stability. The temperature where 5 % weight loss of the PVB matrix was improved after the addition of LaB 6 and ITO particles and the property for blocking near infrared light was also enhanced as compared with the case of pure PVB film. (orig.)

  6. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    Science.gov (United States)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  7. Physiological roles of the transient outward current Ito in normal and diseased hearts

    DEFF Research Database (Denmark)

    Cordeiro, Jonathan M.; Callø, Kirstine; Aschar-Sobbi, Roozbeh

    2016-01-01

    The Ca2+-independent transient outward K+ current (Ito) plays a critical role in underlying phase 1 of repolarization of the cardiac action potential and, as a result, is central to modulating excitation-contraction coupling and propensity for arrhythmia. Additionally, Ito and its molecular...... potential and the mechanisms by which Ito modulates excitation-contraction coupling. We also describe the effects of mutations in the subunits constituting the Ito channel as well as the role of Ito in the failing myocardium. Finally, we review pharmacological modulation of Ito and discuss the evidence...... constituents are consistently reduced in cardiac hypertrophy and heart failure. In this review, we discuss the physiological role of Ito as well as the molecular basis of this current in human and canine hearts, in which Ito has been thoroughly studied. In particular, we discuss the role of Ito in the action...

  8. In situ diazonium-modified flexible ITO-coated PEN substrates for the deposition of adherent silver-polypyrrole nanocomposite films.

    Science.gov (United States)

    Samanta, Soumen; Bakas, Idriss; Singh, Ajay; Aswal, Dinesh K; Chehimi, Mohamed M

    2014-08-12

    In this paper, we report a simple and versatile process of electrografting the aryl multilayers onto indium tin oxide (ITO)-coated flexible poly(ethylene naphthalate) (PEN) substrates using a diazonium salt (4-pyrrolylphenyldiazonium) solution, which was generated in situ from a reaction between the 4-(1H-pyrrol-1-yl)aniline precursor and sodium nitrite in an acidic medium. The first aryl layer bonds with the ITO surface through In-O-C and Sn-O-C bonds which facilitate the formation of a uniform aryl multilayer that is ∼8 nm thick. The presence of the aryl multilayer has been confirmed by impedance spectroscopy as well as by electron-transfer blocking measurements. These in situ diazonium-modified ITO-coated PEN substrates may find applications in flexible organic electronics and sensor industries. Here we demonstrate the application of diazonium-modified flexible substrates for the growth of adherent silver/polpyrrole nanocomposite films using surface-confined UV photopolymerization. These nanocomposite films have platelet morphology owing to the template effect of the pyrrole-terminated aryl multilayers. In addition, the films are highly doped (32%). This work opens new areas in the design of flexible ITO-conductive polymer hybrids.

  9. Gate Engineering in SOI LDMOS for Device Reliability

    Directory of Open Access Journals (Sweden)

    Aanand

    2016-01-01

    Full Text Available A linearly graded doping drift region with step gate structure, used for improvement of reduced surface field (RESURF SOI LDMOS transistor performance has been simulated with 0.35µm technology in this paper. The proposed device has one poly gate and double metal gate arranged in a stepped manner, from channel to drift region. The first gate uses n+ poly (near source where as other two gates of aluminium. The first gate with thin gate oxide has good control over the channel charge. The third gate with thick gate oxide at drift region reduce gate to drain capacitance. The arrangement of second and third gates in a stepped manner in drift region spreads the electric field uniformly. Using two dimensional device simulations, the proposed SOI LDMOS is compared with conventional structure and the extended metal structure. We demonstrate that the proposed device exhibits significant enhancement in linearity, breakdown voltage, on-resistance and HCI. Double metal gate reduces the impact ionization area which helps to improve the Hot Carrier Injection effect..

  10. ITO-free inverted polymer/fullerene solar cells: Interface effects and comparison of different semi-transparent front contacts

    NARCIS (Netherlands)

    Wilken, Sebastian; Hoffmann, Thomas; von Hauff, Elizabeth; Borchert, Holger; Parisi, Juergen

    Polymer/fullerene solar cells with an inverted layer sequence and free from indium tin oxide (ITO) are presented in this study. We concentrate on critical interface effects in inverted devices and compare different semi-transparent front contacts, such as ultra-thin Au films and Au grid structures.

  11. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    Science.gov (United States)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  12. Impedance spectroscopy of heterojunction solar cell a-SiC/c-Si with ITO antireflection film investigated at different temperatures

    International Nuclear Information System (INIS)

    Šály, V; Pern, M; Janíček, F; Mikolášek, M; Packa, J; Huran, J

    2017-01-01

    Progressive smart photovoltaic technologies including heterostructures a-SiC/c-Si with ITO antireflection film are one of the prospective replacements of conventional photovoltaic silicon technology. Our paper is focused on the investigation of heterostructures a-SiC/c-Si provided with a layer of ITO (indium oxide/tin oxide 90/10 wt.%) which acts as a passivating and antireflection coating. Prepared photovoltaic cell structure was investigated at various temperatures and the influence of temperature on its operation was searched. The investigation of the dynamic properties of heterojunction PV cells was carried out using impedance spectroscopy. The equivalent AC circuit which approximates the measured impedance data was proposed. Assessment of the influence of the temperature on the operation of prepared heterostructure was carried out by analysis of the temperature dependence of AC equivalent circuit elements. (paper)

  13. Immunohistochemical study of Ito cells of spontaneous cholangiohepatitis in broiler chickens

    Directory of Open Access Journals (Sweden)

    E Handharyani

    2001-12-01

    Full Text Available The function of Ito cells is expanding from a fat-storing site to a center of extracellular matrix metabolism and mediator production in the liver. Immunohistochemical reactivities of Ito cells were examined in eight livers of broiler chickens affected with spontaneous cholangiohepatitis and six chicken livers with malformation of extrahepatic biliary tracts. The livers in both groups revealed severe diffuse fibrosis. Ito cells expressing HHF35 muscle actin and desmin actively proliferated in the fibrotic foci of the all livers. The immunoreactivities of Ito cells to antibodies were enhanced compared with those in normal livers. There were no immunohistochemical differences between the Ito cells of two groups. From these findings, it was suggested that Ito cells actively proliferate and show enhanced immunoreactivities in the livers affected with cholangiohepatitis and malformation of extrahepatic biliary tracts.

  14. Electro-Mechanical Coupling of Indium Tin Oxide Coated Polyethylene Terephthalate ITO/PET for Flexible Solar Cells

    KAUST Repository

    Saleh, Mohamed A.

    2013-01-01

    the homogenization concept as in laminated composites for transverse cracking. The homogenization technique is done twice on COMSOL to determine the mechanical and electrical degradation of ITO due to applied loading. Finally, this damage evolution is used for a

  15. A high performance gate drive for large gate turn off thyristors

    Energy Technology Data Exchange (ETDEWEB)

    Szilagyi, C.P.

    1993-01-01

    Past approaches to gate turn-off (GTO) gating are application oriented, inefficient and dissipate power even when inactive. They allow the gate to avalanch, and do not reduce GTO turn-on and turn-off losses. A new approach is proposed which will allow modular construction and adaptability to large GTOs in the 50 amp to 2000 amp range. The proposed gate driver can be used in large voltage source and current source inverters and other power converters. The approach consists of a power metal-oxide-silicon field effect transistor (MOSFET) technology gating unit, with associated logic and supervisory circuits and an isolated flyback converter as the dc power source for the gating unit. The gate driver formed by the gating unit and the flyback converter is designed for 4000 V isolation. Control and supervisory signals are exchanged between the gate driver and the remote control system via fiber optics. The gating unit has programmable front-porch current amplitude and pulse-width, programmable closed-loop controlled back-porch current, and a turn-off switch capable of supplying negative gate current at demand as a function of peak controllable forward anode current. The GTO turn-on, turn-off and gate avalanch losses are reduced to a minimum. The gate driver itself has minimum operating losses. Analysis, design and practical realization are reported. 19 refs., 54 figs., 1 tab.

  16. Effect of light illumination and temperature on P3HT films, n-type Si, and ITO

    Energy Technology Data Exchange (ETDEWEB)

    Scudiero, Louis, E-mail: scudiero@wsu.edu [Chemistry Department and Material Science and Engineering Program, Washington State University, Pullman, Washington 99164 (United States); Shen, Yang [Department of Electrical and Computer Engineering, University of Virginia, 351 McCormick Road, Charlottesville, Virginia 22904 (United States); Gupta, Mool C., E-mail: mgupta@virginia.edu [Department of Electrical and Computer Engineering, University of Virginia, 351 McCormick Road, Charlottesville, Virginia 22904 (United States)

    2014-02-15

    The secondary electron (SE) cutoff energy region spectra are recorded before (dark), during (light) and after laser exposure (dark) for P3HT, Si, and ITO. An SE cutoff energy shift is observed when the bare n-type doped Si substrate is exposed to 532 nm light. This is attributed to the presence of a thin native oxide layer (∼1.5 nm) on Si. No energy shift is detected on the Ar sputtered clean Si. Also, no shift was observed for ITO. When exposed to light, a net SE energy cutoff shift was measured for P3HT deposited on both Si and ITO substrates at room temperature. However, no significant valence band maximum (VBM) energy shifts were measured for P3HT that was spun cast on both substrates under dark and light illumination. Furthermore, light effect was investigated at three different temperatures; 25, 70, and 160{sup o}C and it is found that for P3HT, the magnitude of the SE cutoff energy change is not only substrate dependent but also depends on temperature.

  17. Round-Robin Studies on Roll-Processed ITO-free Organic Tandem Solar Cells Combined with Inter-Laboratory Stability Studies

    DEFF Research Database (Denmark)

    Livi, Francesco; Søndergaard, Roar R.; Andersen, Thomas Rieks

    2015-01-01

    Roll-processed, indium tin oxide (ITO)-free, flexible, organic tandem solar cells and modules have been realized and used in round-robin studies as well as in parallel inter-laboratory stability studies. The tandem cells/modules show no significant difference in comparison to their single...

  18. Synthesis of [Ru3(μ3-NPh)(Br)(CO)9]- on self-assembled monolayers of di(3-aminopropyl)viologen/ITO surfaces and its application to photoelectrochemical cells

    International Nuclear Information System (INIS)

    Lee, Deok Yeon; Lee, Mi-Sun; Lim, Iseul; Kang, Soon Hyung; Nah, Yoon-Chae; Lee, Wonjoo; Han, Sung-Hwan

    2011-01-01

    Triruthenium carbonyl clusters {[Ru 3 (Br)(CO) 11 ] - (denoted as Ru-1), [Ru 3 (μ 2 -Br)(CO) 10 ] - (denoted as Ru-2), and [Ru 3 (μ 3 -NPh)(Br)(CO) 9 ] - (denoted as Ru-3)} were synthesized on di(3-aminopropyl)viologen (DAPV)/indium tin oxide (ITO) using a surface reaction in a ruthenium (III) carbonyl [Ru 3 (CO) 12 ] solution, and were applied to photoelectrochemical cells (PECs) at the molecular level. The formation of DAPV on ITO was realized in the form of self-assembled monolayers. Ru 3 (CO) 12 then easily reacted with the Br - of DAPV, and a mixture of Ru-1 and Ru-2 was formed on DAPV/ITO. Furthermore, Ru-3 was successfully anchored on DAPV/ITO by adding nitrosobenzene in order to react with Ru-2 on DAPV/ITO. The photocurrents of (Ru-1 and Ru-2)/DAPV/ITO and Ru-3/DAPV/ITO in PECs at the molecular level were 6.3 nA cm -2 and 8.6 nA cm -2 , respectively. The quantum yield of Ru-3/DAPV/ITO was ∼0.8%. Time-resolved photoluminescence spectroscopy and emission spectroscopy were recorded to bring out the photoinduced charge transfer process from ruthenium clusters to DAPV.

  19. Design and development of plasmonic nanostructured electrodes for ITO-free organic photovoltaic cells on rigid and highly flexible substrates

    Science.gov (United States)

    Richardson, Beau J.; Zhu, Leize; Yu, Qiuming

    2017-04-01

    Indium tin oxide (ITO) is the most common transparent electrode used in organic photovoltaics (OPVs), yet limited indium reserves and poor mechanical properties make it non-ideal for large-scale OPV production. To replace ITO, we designed, fabricated, and deployed plasmonic nanostructured electrodes in inverted OPV devices. We found that active layer absorption is significantly impacted by ZnO thickness which affects the optical field distribution inside the resonant cavity formed between the plasmonic nanostructured electrode and top electrode. High quality Cr/Au nanostructured electrodes were fabricated by nanoimprint lithography and deployed in ITO-free inverted devices on glass. Devices with thinner ZnO showed a PCE as high as 5.70% and higher J SC’s than devices on thicker ZnO, in agreement with finite-difference time-domain simulations. In addition, as the active layer was made optically thin, ITO-based devices showed diminished J SC while the resonant cavity effect from plasmonic nanostructured electrodes retained J SC. Preliminary ITO-free, flexible devices on PET showed a PCE of 1.82% and those fabricated on ultrathin and conformable Parylene substrates yielded an initial PCE over 1%. The plasmonic electrodes and device designs in this work show promise for developing highly functioning conformable devices that can be applied to numerous needs for lightweight, ubiquitous power generation.

  20. Extrahepatic bile duct ligation in broiler chickens: ultrastructural study of Ito cell

    Directory of Open Access Journals (Sweden)

    Ekowati Handharyani

    2004-12-01

    Full Text Available The Ito cell (fat-storing cell is a cell lying in perisinusoidal space of liver. The function of Ito cell is expanding from a site of fat-storing site to a center of extracellular matrix metabolism and mediator production in the liver. This study was performed in order to evaluate the Ito cells in cholestatic condition. The artificial cholestatic was conducted by ligation of extrahepatic bile ducts (bile duct ligation = BDL in broilers. The results showed that BDL induced bile congestion, fibrosis, proliferation of Ito cells and intrahepatic bile ductules. Immunohistochemistry demonstrated that Ito cells were scattered throughout the fibrotic areas, and larger in size with more extensive immunoreactivity than those in normal livers. Ultrastructural study demonstrated that Ito cells were closely associated with the production of extracellular collagen fibers. Ito cells actively react against hepatocytic injuries, especially in fibrogenesis of cholestatic livers.

  1. An amperometric uric acid biosensor based on Bis[sulfosuccinimidyl] suberate crosslinker/3-aminopropyltriethoxysilane surface modified ITO glass electrode

    International Nuclear Information System (INIS)

    Ahuja, Tarushee; Rajesh; Kumar, Devendra; Tanwar, Vinod Kumar; Sharma, Vikash; Singh, Nahar; Biradar, Ashok M.

    2010-01-01

    A label free, amperometric uric acid biosensor is described by immobilizing enzyme uricase through a self assembled monolayer (SAM) of 3-aminopropyltriethoxysilane (APTES) using a crosslinker, Bis[sulfosuccinimidyl]suberate (BS 3 ) on an indium-tin-oxide (ITO) coated glass plate. The biosensor (uricase/BS 3 /APTES/ITO) was characterized by, scanning electron microscopy (SEM), atomic force microscopy (AFM) and electrochemical techniques. Chronoamperometric response was measured as a function of uric acid concentration in aqueous solution (pH 7.4). The biosensor shows a linear response over a concentration range of 0.05 to 0.58 mM with a sensitivity of 39.35 μA mM -1 . The response time is 50 s reaching to a 95% steady state current value and about 90% of enzyme activity is retained for about 7 weeks. These results indicate an efficient binding of enzyme with the crosslinker over the surface of APTES modified ITO glass plates, which leads to an improved sensitivity and shelf life of the biosensor.

  2. Effect of sputtering parameters on optical and electrical properties of ITO films on PET substrates

    International Nuclear Information System (INIS)

    Tseng, Kun-San; Lo, Yu-Lung

    2013-01-01

    The optical and electrical properties of indium tin oxide (ITO) thin films deposited on flexible polyethylene terephthalate (PET) substrates using a DC magnetron sputtering technique are investigated as a function of the deposition time, the argon flow rate and the target–substrate distance. It is found that all of the ITO films contain a high fraction of amorphous phase. The volume fraction of crystallite precipitates in the amorphous host increases with an increasing deposition time or a reducing argon flow rate. The deposition time and argon flow rate have higher effects on the optical transparency of the ITO films than the target–substrate distance has. Increasing film thickness is not the only reason for the transmittance reduced. It is found that an increase of the extinction coefficient by increasing deposition time or an increase of the refractive index by decreasing argon flow rate also reduces the transmittance of thin film. For a constant deposition time, the resistivity of the ITO films reduces with a reducing argon flow rate or a reducing target–substrate distance. For a constant argon flow rate, a critical value of the deposition time exists at which both the resistivity and the effect of the target–substrate distance are minimized. Finally, it is concluded that the film resistivity has low sensitivity to the target–substrate distance if the best deposition conditions which mostly attain the lowest resistivity are matched.

  3. Effect of sputtering parameters on optical and electrical properties of ITO films on PET substrates

    Science.gov (United States)

    Tseng, Kun-San; Lo, Yu-Lung

    2013-11-01

    The optical and electrical properties of indium tin oxide (ITO) thin films deposited on flexible polyethylene terephthalate (PET) substrates using a DC magnetron sputtering technique are investigated as a function of the deposition time, the argon flow rate and the target-substrate distance. It is found that all of the ITO films contain a high fraction of amorphous phase. The volume fraction of crystallite precipitates in the amorphous host increases with an increasing deposition time or a reducing argon flow rate. The deposition time and argon flow rate have higher effects on the optical transparency of the ITO films than the target-substrate distance has. Increasing film thickness is not the only reason for the transmittance reduced. It is found that an increase of the extinction coefficient by increasing deposition time or an increase of the refractive index by decreasing argon flow rate also reduces the transmittance of thin film. For a constant deposition time, the resistivity of the ITO films reduces with a reducing argon flow rate or a reducing target-substrate distance. For a constant argon flow rate, a critical value of the deposition time exists at which both the resistivity and the effect of the target-substrate distance are minimized. Finally, it is concluded that the film resistivity has low sensitivity to the target-substrate distance if the best deposition conditions which mostly attain the lowest resistivity are matched.

  4. Effect of sputtering parameters on optical and electrical properties of ITO films on PET substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, Kun-San [Department of Mechanical Engineering, National Cheng Kung University, Tainan, Taiwan (China); Lo, Yu-Lung, E-mail: loyl@mail.ncku.edu.tw [Department of Mechanical Engineering, National Cheng Kung University, Tainan, Taiwan (China); Advanced Optoelectronic Technology Center, National Cheng Kung University, Tainan, Taiwan (China)

    2013-11-15

    The optical and electrical properties of indium tin oxide (ITO) thin films deposited on flexible polyethylene terephthalate (PET) substrates using a DC magnetron sputtering technique are investigated as a function of the deposition time, the argon flow rate and the target–substrate distance. It is found that all of the ITO films contain a high fraction of amorphous phase. The volume fraction of crystallite precipitates in the amorphous host increases with an increasing deposition time or a reducing argon flow rate. The deposition time and argon flow rate have higher effects on the optical transparency of the ITO films than the target–substrate distance has. Increasing film thickness is not the only reason for the transmittance reduced. It is found that an increase of the extinction coefficient by increasing deposition time or an increase of the refractive index by decreasing argon flow rate also reduces the transmittance of thin film. For a constant deposition time, the resistivity of the ITO films reduces with a reducing argon flow rate or a reducing target–substrate distance. For a constant argon flow rate, a critical value of the deposition time exists at which both the resistivity and the effect of the target–substrate distance are minimized. Finally, it is concluded that the film resistivity has low sensitivity to the target–substrate distance if the best deposition conditions which mostly attain the lowest resistivity are matched.

  5. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    Science.gov (United States)

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  6. Roll-to-roll printed silver nanowires for increased stability of flexible ITO-free organic solar cell modules

    DEFF Research Database (Denmark)

    Benatto, Gisele Alves dos Reis; Roth, Bérenger; Corazza, Michael

    2016-01-01

    We report the use of roll-to-roll printed silver nanowire networks as front electrodes for fully roll-to-roll processed flexible indium-tin-oxide (ITO) free OPV modules. We prepared devices with two types of back electrodes, a simple PEDOT:PSS back electrode and a PEDOT:PSS back electrode...

  7. Deposition of indium tin oxide thin films by cathodic arc ion plating

    International Nuclear Information System (INIS)

    Yang, M.-H.; Wen, J.-C.; Chen, K.-L.; Chen, S.-Y.; Leu, M.-S.

    2005-01-01

    Indium tin oxide (ITO) thin films have been deposited by cathodic arc ion plating (CAIP) using sintered oxide target as the source material. In an oxygen atmosphere of 200 deg. C, ITO films with a lowest resistivity of 2.2x10 -4 Ω-cm were obtained at a deposition rate higher than 450 nm/min. The carrier mobility of ITO shows a maximum at some medium pressures. Although morphologically ITO films with a very fine nanometer-sized structure were observed to possess the lowest resistivity, more detailed analyses based on X-ray diffraction are attempted to gain more insight into the factors that govern electron mobility in this investigation

  8. Synchrotron X-ray irradiation effects on the device characteristics and the resistance to hot-carrier damage of MOSFETs with 4 nm thick gate oxides

    International Nuclear Information System (INIS)

    Tanaka, Yuusuke; Tanabe, Akira; Suzuki, Katsumi

    1998-01-01

    The effects of synchrotron x-ray irradiation on the device characteristics and hot-carrier resistance of n- and p-channel metal oxide semiconductor field effect transistors (MOSFETs) with 4 nm thick gate oxides are investigated. In p-channel MOSFETs, device characteristics were significantly affected by the x-ray irradiation but completely recovered after annealing, while the device characteristics in n-channel MOSFETs were not noticeably affected by the irradiation. This difference appears to be due to a difference in interface-state generation. In p-channel MOSFETs, defects caused by boron-ion penetration through the gate oxides may be sensitive to x-ray irradiation, causing the generation of many interface states. These interface states are completely eliminated after annealing in hydrogen gas. The effects of irradiation on the resistance to hot-carrier degradation in annealed 4 nm thick gate-oxide MOSFETs were negligible even at an x-ray dose of 6,000 mJ/cm 2

  9. Demonstration of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with silicon-oxy-nitride as the gate insulator

    International Nuclear Information System (INIS)

    Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.

    2005-01-01

    AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators

  10. Near interface traps in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors monitored by temperature dependent gate current transient measurements

    Energy Technology Data Exchange (ETDEWEB)

    Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena; Roccaforte, Fabrizio [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII 5, Zona Industriale 95121 Catania (Italy)

    2016-07-04

    This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{sup 11} cm{sup −2}).

  11. Heavy-ion-induced, gate-rupture in power MOSFETs

    International Nuclear Information System (INIS)

    Fischer, T.A.

    1987-01-01

    A new, heavy-ion-induced, burnout mechanism has been experimentally observed in power metal-oxide-semiconductor field-effect transistors (MOSFETs). This mechanism occurs when a heavy, charged particle passes through the gate oxide region of n- or p-channel devices having sufficient gate-to-source or gate-to-drain bias. The gate-rupture leads to significant permanent degradation of the device. A proposed failure mechanism is discussed and experimentally verified. In addition, the absolute immunity of p-channel devices to heavy-ion-induced, semiconductor burnout is demonstrated and discussed along with new, non-destructive, burnout testing methods

  12. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  13. Rat Aquaporin-5 Is pH-Gated Induced by Phosphorylation and Is Implicated in Oxidative Stress

    Directory of Open Access Journals (Sweden)

    Claudia Rodrigues

    2016-12-01

    Full Text Available Aquaporin-5 (AQP5 is a membrane water channel widely distributed in human tissues that was found up-regulated in different tumors and considered implicated in carcinogenesis in different organs and systems. Despite its wide distribution pattern and physiological importance, AQP5 short-term regulation was not reported and mechanisms underlying its involvement in cancer are not well defined. In this work, we expressed rat AQP5 in yeast and investigated mechanisms of gating, as well as AQP5’s ability to facilitate H2O2 plasma membrane diffusion. We found that AQP5 can be gated by extracellular pH in a phosphorylation-dependent manner, with higher activity at physiological pH 7.4. Moreover, similar to other mammalian AQPs, AQP5 is able to increase extracellular H2O2 influx and to affect oxidative cell response with dual effects: whereas in acute oxidative stress conditions AQP5 induces an initial higher sensitivity, in chronic stress AQP5 expressing cells show improved cell survival and resistance. Our findings support the involvement of AQP5 in oxidative stress and suggest AQP5 modulation by phosphorylation as a novel tool for therapeutics.

  14. Pulsed laser deposition of semiconductor-ITO composite films on electric-field-applied substrates

    International Nuclear Information System (INIS)

    Narazaki, Aiko; Sato, Tadatake; Kawaguchi, Yoshizo; Niino, Hiroyuki; Yabe, Akira; Sasaki, Takeshi; Koshizaki, Naoto

    2002-01-01

    The DC electric-field effect on the crystallinity of II-VI semiconductor in composite systems has been investigated for CdS-ITO films fabricated via alternative pulsed laser deposition (PLD) of CdS and indium tin oxide (ITO) on electric-field-applied substrates. The alternative laser ablation was performed under irradiation of ArF excimer laser in mixture gas of helium and oxygen. The application of electric-field facilitated the preferential crystal-growth of CdS in nanometer scale at low pressure, whereas all the films grown without the field were amorphous. There is a large difference in the crystallization between the films grown on field-applied and heated substrates; the latter showed the crystal-growth with random orientations. This difference indicates that the existence of electric-field has an influence on the transformation from amorphous to crystalline phase of CdS. The driving force for the field-induced crystallization is also discussed in the light of the Joule heat

  15. The ITO-capped WO3 nanowires biosensor based on field-effect transistor in label-free protein sensing

    International Nuclear Information System (INIS)

    Shariati, Mohsen

    2017-01-01

    The fabrication of ITO-capped WO 3 nanowires associated with their bio-sensing properties in field-effect transistor diagnostics basis as a biosensor has been reported. The bio-sensing property for manipulated nanowires elucidated that the grown nanostructures were very sensitive to protein. The ITO-capped WO 3 nanowires biosensor showed an intensive bio-sensing activity against reliable protein. Polylysine strongly charged bio-molecule was applied as model system to demonstrate the implementation of materialized biosensor. The employed sensing mechanism was 'label-free' and depended on bio-molecule's intrinsic charge. For nanowires synthesis, the vapor-liquid-solid mechanism was used. Nanowires were beyond a few hundred nanometers in lengths and around 15-20 nm in diameter, while the globe cap's size on the nanowires was around 15-25 nm. The indium tin oxide (ITO) played as catalyst in nanofabrication for WO 3 nanowires growth and had outstanding role in bio-sensing especially for bio-molecule adherence. In applied electric field presence, the fabricated device showed the great potential to enhance medical diagnostics. (orig.)

  16. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  17. All ITO-based transparent resistive switching random access memory using oxygen doping method

    International Nuclear Information System (INIS)

    Kim, Hee-Dong; Yun, Min Ju; Kim, Sungho

    2015-01-01

    Recently, transparent memory would be useful in invisible electronics. In this work, for the first time we present a feasibility of stable unipolar resistive switching (RS) characteristics with reset current of sub-micron ampere for the fully transparent ITO/oxygen-doped ITO/ITO memory capacitors, i.e., all ITO structures, produced by sputtering method, which shows a high optical transmittance of approximately 80% in the visible region as well as near ultra-violet region. In addition, in a RS test to evaluate a reliability for the proposed memory devices, we observed a stable endurance of >100 cycles and a retention time of >10 4  s at 85 °C, with a current ratio of ∼10 2 to ∼10 3 . This result indicates that this transparent memory by engineering the amount of oxygen ions within the ITO films could be a milestone for future see-through electronic devices. - Highlights: • The resistive switching characteristics of the transparent ITO/O-doped ITO/ITO RRAM cells have investigated. • All ITO-based RRAM cell is achieved using oxygen doping method. • Good endurance and long retention time were observed.

  18. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  19. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  20. In situ Observation of Direct Electron Transfer Reaction of Cytochrome c Immobilized on ITO Electrode Modified with 11-{2-[2-(2-Methoxyethoxy)ethoxy]ethoxy}undecylphosphonic Acid Self-assembled Monolayer Film by Electrochemical Slab Optical Waveguide Spectroscopy.

    Science.gov (United States)

    Matsuda, Naoki; Okabe, Hirotaka; Omura, Ayako; Nakano, Miki; Miyake, Koji

    2017-01-01

    To immobilize cytochrome c (cyt.c) on an ITO electrode while keeping its direct electron transfer (DET) functionality, the ITO electrode surface was modified with 11-{2-[2-(2-methoxyethoxy)ethoxy]ethoxy}undecylphosphonic acid (CH 3 O (CH 2 CH 2 O) 3 C 11 H 22 PO(OH) 2 , M-EG 3 -UPA) self-assembled monolayer (SAM) film. After a 100-times washing process to exchange a phosphate buffer saline solution surrounding cyt.c and ITO electrode to a fresh one, an in situ observation of visible absorption spectral change with slab optical waveguide (SOWG) spectroscopy showed that 87.7% of the cyt.c adsorbed on the M-EG 3 -UPA modified ITO electrode remained on the ITO electrode. The SOWG absorption spectra corresponding to oxidized and reduced cyt.c were observed with setting the ITO electrode potential at 0.3 and -0.3 V vs. Ag/AgCl, respectively, while probing the DET reaction between cyt.c and ITO electrode occurred. The amount of cyt.c was evaluated to be about 19.4% of a monolayer coverage based on the coulomb amount in oxidation and reduction peaks on cyclic voltammetry (CV) data. The CV peak current maintained to be 83.4% compared with the initial value for a M-EG 3 -UPA modified ITO electrode after 60 min continuous scan with 0.1 V/s between 0.3 and -0.3 V vs. Ag/AgCl.

  1. Influence of Binders and Solvents on Stability of Ru/RuOx Nanoparticles on ITO Nanocrystals as Li-O2 Battery Cathodes.

    Science.gov (United States)

    Vankova, Svetoslava; Francia, Carlotta; Amici, Julia; Zeng, Juqin; Bodoardo, Silvia; Penazzi, Nerino; Collins, Gillian; Geaney, Hugh; O'Dwyer, Colm

    2017-02-08

    Fundamental research on Li-O 2 batteries remains critical, and the nature of the reactions and stability are paramount for realising the promise of the Li-O 2 system. We report that indium tin oxide (ITO) nanocrystals with supported 1-2 nm oxygen evolution reaction (OER) catalyst Ru/RuO x nanoparticles (NPs) demonstrate efficient OER processes, reduce the recharge overpotential of the cell significantly and maintain catalytic activity to promote a consistent cycling discharge potential in Li-O 2 cells even when the ITO support nanocrystals deteriorate from the very first cycle. The Ru/RuO x nanoparticles lower the charge overpotential compared with those for ITO and carbon-only cathodes and have the greatest effect in DMSO electrolytes with a solution-processable F-free carboxymethyl cellulose (CMC) binder (ITO nanocrystalline materials in DMSO provide efficient Li 2 O 2 decomposition from within the cathode during cycling. We demonstrate that the ITO is actually unstable from the first cycle and is modified by chemical etching, but the Ru/RuO x NPs remain effective OER catalysts for Li 2 O 2 during cycling. The CMC binders avoid PVDF-based side-reactions and improve the cyclability. The deterioration of the ITO nanocrystals is mitigated significantly in cathodes with a CMC binder, and the cells show good cycle life. In mixed DMSO-EMITFSI [EMITFSI=1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide] ionic liquid electrolytes, the Ru/RuO x /ITO materials in Li-O 2 cells cycle very well and maintain a consistently very low charge overpotential of 0.5-0.8 V. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. ITO/Poly(Aniline/Sol-Gel Glass: An Optically Transparent, pH-Responsive Substrate for Supported Lipid Bilayers

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Obeidi

    2013-01-01

    Full Text Available Described here is fabrication of a pH-sensitive, optically transparent transducer composed of a planar indium-tin oxide (ITO electrode overcoated with a poly(aniline (PANI thin film and a porous sol-gel layer. Adsorption of the PANI film renders the ITO electrode sensitive to pH, whereas the sol-gel spin-coated layer makes the upper surface compatible with fusion of phospholipid vesicles to form a planar supported lipid bilayer (PSLB. The response to changes in the pH of the buffer contacting the sol-gel/PANI/ITO electrode is pseudo-Nernstian with a slope of 52 mV/pH over a pH range of 4–9. Vesicle fusion forms a laterally continuous PSLB on the upper sol-gel surface that is fluid with a lateral lipid diffusion coefficient of 2.2 μm2/s measured by fluorescence recovery after photobleaching. Due to its lateral continuity and lack of defects, the PSLB blocks the pH response of the underlying electrode to changes in the pH of the overlying buffer. This architecture is simpler to fabricate than previously reported ITO electrodes derivatized for PSLB formation and should be useful for optical monitoring of proton transport across supported membranes derivatized with ionophores and ion channels.

  3. Analysis of process parameters for a DCMS process of a rotating ceramic ITO target

    Energy Technology Data Exchange (ETDEWEB)

    Ries, Patrick; Wuttig, Matthias [Institute of Physics, RWTH Aachen University (Germany)

    2012-07-01

    ITO is the most commonly used but at the same time rather expensive Transparent Conducting Oxide. This fact is due to the high Indium to Tin ratio of 90:10 that is necessary to obtain the best electrical conductivity. If it is possible to find another ratio with similar electrical properties but higher Tin content, this would be of great industrial relevance. To accomplish this goal and to check the hypothesis an in-house developed serial co-sputtering system is employed. The tool consists of a rotating primary cathode and up to two secondary cathodes for co-sputtering processes. The process parameters of a DC-sputtered ceramic ITO target installed on the primary cathode are analyzed and correlations with the thin film properties, especially the resistance and the transmittance are shown. The resistance behavior upon changing the Tin content via a co-deposition process from a secondary cathode will be presented.

  4. Catalyst-free growth of ZnO nanowires on ITO seed/glass by thermal evaporation method: Effects of ITO seed layer thickness

    Energy Technology Data Exchange (ETDEWEB)

    Alsultany, Forat H., E-mail: foratusm@gmail.com; Ahmed, Naser M. [School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hassan, Z. [Institute of Nano-Optoelectronics Research and Technology Laboratory (INOR), Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2016-07-19

    A seed/catalyst-free growth of ZnO nanowires (ZnO-NWs) on a glass substrate were successfully fabricated using thermal evaporation technique. These nanowires were grown on ITO seed layers of different thicknesses of 25 and 75 nm, which were deposited on glass substrates by radio frequency (RF) magnetron sputtering. Prior to synthesized ITO nanowires, the sputtered ITO seeds were annealed using the continuous wave (CW) CO2 laser at 450 °C in air for 15 min. The effect of seed layer thickness on the morphological, structural, and optical properties of ZnO-NWs were systematically investigated by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), and UV-Vis spectrophotometer.

  5. Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment

    Science.gov (United States)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    The impacts of inserting ultrathin oxides into insulator/AlGaN interfaces on their electrical properties were investigated to develop advanced AlGaN/GaN metal–oxide–semiconductor (MOS) gate stacks. For this purpose, the initial thermal oxidation of AlGaN surfaces in oxygen ambient was systematically studied by synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) and atomic force microscopy (AFM). Our physical characterizations revealed that, when compared with GaN surfaces, aluminum addition promotes the initial oxidation of AlGaN surfaces at temperatures of around 400 °C, followed by smaller grain growth above 850 °C. Electrical measurements of AlGaN/GaN MOS capacitors also showed that, although excessive oxidation treatment of AlGaN surfaces over around 700 °C has an adverse effect, interface passivation with the initial oxidation of the AlGaN surfaces at temperatures ranging from 400 to 500 °C was proven to be beneficial for fabricating high-quality AlGaN/GaN MOS gate stacks.

  6. Conductive oxide cantilever for cryogenic nano-potentiometry

    International Nuclear Information System (INIS)

    Hiroya, Tsutomu; Inagaki, Katsuhiko; Tanda, Satoshi; Tsuneta, Taku; Yamaya, Kazuhiko

    2003-01-01

    Nanoscale electrical transport properties have attracted attentions because of new phenomena such as ballistic transport, quantized resistance, and Coulomb blockade. For measurement of nanoscale resistance, we have been developing a cryogenic atomic force microscope that can operate at 1.8 K. To use it as an electrode, we coated the cantilever with conductive oxides of TiO and indium tin oxide (ITO). We verified that TiO and ITO thin films remain conductive even at 4.2 K. Also we measured I-V characteristics of the tip-sample contact with a standard sample of NbSe 2 single crystal, and found that the conductive coats were not lost under large stresses due to the tip-sample contact. Moreover, we succeeded in obtaining a room temperature nano-potentiometry of a gold thin film with the ITO coated cantilever. In conclusion, the TiO and ITO coated cantilevers are applicable to cryogenic nano-potentiometry

  7. Analytical drain current formulation for gate dielectric engineered dual material gate-gate all around-tunneling field effect transistor

    Science.gov (United States)

    Madan, Jaya; Gupta, R. S.; Chaujar, Rishu

    2015-09-01

    In this work, an analytical drain current model for gate dielectric engineered (hetero dielectric)-dual material gate-gate all around tunnel field effect transistor (HD-DMG-GAA-TFET) has been developed. Parabolic approximation has been used to solve the two-dimensional (2D) Poisson equation with appropriate boundary conditions and continuity equations to evaluate analytical expressions for surface potential, electric field, tunneling barrier width and drain current. Further, the analog performance of the device is studied for three high-k dielectrics (Si3N4, HfO2, and ZrO2), and it has been investigated that the problem of lower ION, can be overcome by using the hetero-gate architecture. Moreover, the impact of scaling the gate oxide thickness and bias variations has also been studied. The HD-DMG-GAA-TFET shows an enhanced ION of the order of 10-4 A. The effectiveness of the proposed model is validated by comparing it with ATLAS device simulations.

  8. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    Directory of Open Access Journals (Sweden)

    Minkyu Chun

    2015-05-01

    Full Text Available We investigated the effects of top gate voltage (VTG and temperature (in the range of 25 to 70 oC on dual-gate (DG back-channel-etched (BCE amorphous-indium-gallium-zinc-oxide (a-IGZO thin film transistors (TFTs characteristics. The increment of VTG from -20V to +20V, decreases the threshold voltage (VTH from 19.6V to 3.8V and increases the electron density to 8.8 x 1018cm−3. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on VTG. At VTG of 20V, the mobility decreases from 19.1 to 15.4 cm2/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at VTG of - 20V, the mobility increases from 6.4 to 7.5cm2/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.

  9. Sputtered indium-tin oxide/cadmium telluride junctions and cadmium telluride surfaces

    International Nuclear Information System (INIS)

    Courreges, F.G.; Fahrenbruch, A.L.; Bube, R.H.

    1980-01-01

    The properties of indium-tin oxide (ITO)/CdTe junction solar cells prepared by rf sputtering of ITO on P-doped CdTe single-crystal substrates have been investigated through measurements of the electrical and photovoltaic properties of ITO/CdTe and In/CdTe junctions, and of electron beam induced currents (EBIC) in ITO/CdTe junctions. In addition, surface properties of CdTe related to the sputtering process were investigated as a function of sputter etching and thermal oxidation using the techniques of surface photovoltage and photoluminescence. ITO/CdTe cells prepared by this sputtering method consist of an n + -ITO/n-CdTe/p-CdTe buried homojunction with about a 1-μm-thick n-type CdTe layer formed by heating of the surface of the CdTe during sputtering. Solar efficiencies up to 8% have been observed with V/sub 0c/=0.82 V and J/sub s/c=14.5 mA/cm 2 . The chief degradation mechanism involves a decrease in V/sub 0c/ with a transformation of the buried homojunction structure to an actual ITO/CdTe heterojunction

  10. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    Science.gov (United States)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  11. Optically active polyurethane@indium tin oxide nanocomposite: Preparation, characterization and study of infrared emissivity

    International Nuclear Information System (INIS)

    Yang, Yong; Zhou, Yuming; Ge, Jianhua; Yang, Xiaoming

    2012-01-01

    Highlights: ► Silane coupling agent of KH550 was used to connect the ITO and polyurethanes. ► Infrared emissivity values of the hybrids were compared and analyzed. ► Interfacial synergistic action and orderly secondary structure were the key factors. -- Abstract: Optically active polyurethane@indium tin oxide and racemic polyurethane@indium tin oxide nanocomposites (LPU@ITO and RPU@ITO) were prepared by grafting the organics onto the surfaces of modified ITO nanoparticles. LPU@ITO and RPU@ITO composites based on the chiral and racemic tyrosine were characterized by FT-IR, UV–vis spectroscopy, X-ray diffraction (XRD), SEM, TEM, and thermogravimetric analysis (TGA), and the infrared emissivity values (8–14 μm) were investigated in addition. The results indicated that the polyurethanes had been successfully grafted onto the surfaces of ITO without destroying the crystalline structure. Both composites possessed the lower infrared emissivity values than the bare ITO nanoparticles, which indicated that the interfacial interaction had great effect on the infrared emissivity. Furthermore, LPU@ITO based on the optically active polyurethane had the virtue of regular secondary structure and more interfacial synergistic actions between organics and inorganics, thus it exhibited lower infrared emissivity value than RPU@ITO based on the racemic polyurethane.

  12. Optical, electrical, structural and microstructural characteristics of rf sputtered ITO films developed for art protection coatings

    International Nuclear Information System (INIS)

    Krasilnikova Sytchkova, A.; Grilli, M.L.; Piegari, A.; Boycheva, S.

    2007-01-01

    Transparent and conductive tin-doped indium oxide (ITO) films have been prepared by rf sputtering in an Ar and Ar+O 2 gas mixture, both with and without additional substrate heating. The influence of both deposition conditions and post-annealing treatment on optical, electrical, structural and microstructural properties of the ITO films has been investigated. The optical constants have been calculated in the range 320-2500 nm using a combination of several theoretical models. A schematic diagram for the film properties change versus composition has been proposed in terms of a generalized parameter characterising the energy efficiency of the film formation. The deposition conditions and the optical and electrical properties of the films have been optimized with respect to the requirements for their application in art protection coatings. (orig.)

  13. Transparent conductive oxides and alternative transparent electrodes for organic photovoltaics and OLEDs; Transparente leitfaehige Elektroden. Oxide und alternative Materialien fuer die organische Photovoltaik und OLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Mueller-Meskamp, Lars; Sachse, Christoph; Kim, Yong Hyun; Furno, Mauro [Technische Univ. Dresden (DE). Inst. fuer Angewandte Photophysik (IAPP); May, Christian [Fraunhofer Institut fuer Photonische Mikrosysteme (IPMS), Dresden (Germany); Leo, Karl [Technische Univ. Dresden (DE). Inst. fuer Angewandte Photophysik (IAPP); Fraunhofer Institut fuer Photonische Mikrosysteme (IPMS), Dresden (Germany)

    2012-08-15

    Organic, photoactive devices, such as OLEDs or organic solar cells, currently use indium tin oxide (ITO) as transparent electrode. Whereas ITO is industry-proven for many years and shows very good electrical and optical properties, its application for low-cost and flexible devices might not be optimal. For such applications innovative technologies such as network-based metal nanowire or carbon nanotube electrodes, graphene, conductive polymers, metal thin-films and alternative transparent conductive oxides emerge. Although some of these technologies are rather experimental and far from application, some of them have the potential to replace ITO in selected applications. (orig.)

  14. Chromosome mosaicism in hypomelanosis of Ito.

    Science.gov (United States)

    Ritter, C L; Steele, M W; Wenger, S L; Cohen, B A

    1990-01-01

    Our finding of chromosome mosaicism with a ring 22 in a retarded black boy with hypomelanosis of Ito prompted a review of this "syndrome." Most patients have a variety of non-dermal defects, particularly those affecting CNS function. Among karyotyped patients, most are chromosome mosaics of one sort or another. Hypomelanosis of Ito turns out to be a causable non-specific phenotype, i.e., a clinical marker for chromosome mosaicism of all different types in individuals with a dark enough skin to show lighter patches. Consequently, cytogenetic evaluation is indicated in all patients with this skin finding.

  15. Proton Conducting Graphene Oxide/Chitosan Composite Electrolytes as Gate Dielectrics for New-Concept Devices.

    Science.gov (United States)

    Feng, Ping; Du, Peifu; Wan, Changjin; Shi, Yi; Wan, Qing

    2016-09-30

    New-concept devices featuring the characteristics of ultralow operation voltages and low fabrication cost have received increasing attention recently because they can supplement traditional Si-based electronics. Also, organic/inorganic composite systems can offer an attractive strategy to combine the merits of organic and inorganic materials into promising electronic devices. In this report, solution-processed graphene oxide/chitosan composite film was found to be an excellent proton conducting electrolyte with a high specific capacitance of ~3.2 μF/cm 2 at 1.0 Hz, and it was used to fabricate multi-gate electric double layer transistors. Dual-gate AND logic operation and two-terminal diode operation were realized in a single device. A two-terminal synaptic device was proposed, and some important synaptic behaviors were emulated, which is interesting for neuromorphic systems.

  16. Nanostructured magnesium oxide biosensing platform for cholera detection

    Science.gov (United States)

    Patel, Manoj K.; Azahar Ali, Md.; Agrawal, Ved V.; Ansari, Z. A.; Ansari, S. G.; Malhotra, B. D.

    2013-04-01

    We report fabrication of highly crystalline nanostructured magnesium oxide (NanoMgO, size >30 nm) film electrophoretically deposited onto indium-tin-oxide (ITO) glass substrate for Vibrio cholerae detection. The single stranded deoxyribonucleic acid (ssDNA) probe, consisting of 23 bases (O1 gene sequence) immobilized onto NanoMgO/ITO electrode surface, has been characterized using electrochemical, Fourier Transform-Infra Red, and UltraViolet-visible spectroscopic techniques. The hybridization studies of ssDNA/NanoMgO/ITO bioelectrode with fragmented target DNA conducted using differential pulse voltammetry reveal sensitivity as 16.80 nA/ng/cm2, response time of 3 s, linearity as 100-500 ng/μL, and stability of about 120 days.

  17. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  18. An in situ XPS study of growth of ITO on amorphous hydrogenated Si: Initial stages of heterojunction formation upon processing of ITO/a-Si:H based solar cell structures

    Energy Technology Data Exchange (ETDEWEB)

    Diplas, Spyros; Thoegersen, Annett; Ulyashin, Alexander [SINTEF Materials and Chemistry, Oslo (Norway); Romanyuk, Andriy [University of Basel, Basel (Switzerland)

    2015-01-01

    In this work we studied the interface growth upon deposition of indium-tin oxide (ITO) on amorphous hydrogenated Si (a-Si:H)/crystalline Si (c-Si) structures. The analysis methods used were X-ray photoelectron spectroscopy (XPS) and ultraviolet photoelectron spectroscopy (UPS) in combination with in situ film growth with magnetron sputtering. The analysis was complemented with transmission electron microscopy (TEM) of the deposited films. The sputtering equipment was attached to the XPS spectrometer and hence early stage film growth was observed without breaking the vacuum. It was shown that during early deposition stages ITO is reduced by a-Si:H. The reduction is accompanied with formation of metallic In and Sn at the interface. Formation of Sn is more enhanced on a-Si substrates whilst formation of In is more dominant on c-Si substrates. The reduction effect is less intense for amorphous hydrogenated Si as compared to crystalline Si and this is attributed to stronger presence of dangling bonds in the latter than the former. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Surface modification of indium tin oxide for direct writing of silver nanoparticulate ink micropatterns

    International Nuclear Information System (INIS)

    Vunnam, Swathi; Ankireddy, Krishnamraju; Kellar, Jon; Cross, William

    2013-01-01

    Surface treatment techniques were deployed to alter the surface of indium tin oxide (ITO) samples to attain a favorable interface between printed nano-inks and ITO surface. Surface free energy components of treated ITO substrates were calculated for each treatment using the van Oss–Chaudhury–Good method. The surface treatments of ITO changed the Lifshitz–van der Waals and Lewis acid–base components, and contact angle hysteresis significantly. Among all the surface treatments, air plasma treated samples showed high polar in nature, whereas dodecyltrichlorosilane self-assembled monolayer treated sample showed the lowest. In addition to the polarity and homogeneity, the surface roughness of the ITO was studied with respect to the surface treatment. Silver nanoparticulate ink was printed on treated ITO surfaces using aerosol jet printing system. Printed silver nano-ink line width and morphology strongly depended on the surface treatment of the ITO, ink properties and printing parameters. - Highlights: ► Surface treatments on indium tin oxide (ITO) altered its surface free energy. ► Surface free energies were studied in terms of acid–base components. ► ITO surface morphology and roughness were changed with the surface treatment. ► Silver ink was printed on treated ITO samples using aerosol jet printing system. ► Line widths of printed patterns clearly depended on the surface free energy of ITO

  20. Surface modification of indium tin oxide for direct writing of silver nanoparticulate ink micropatterns

    Energy Technology Data Exchange (ETDEWEB)

    Vunnam, Swathi, E-mail: swathi.vunnam@mines.sdsmt.edu [Nanoscience and Nanoengineering Department, South Dakota School of Mines and Technology, Rapid City, SD-57701 (United States); Ankireddy, Krishnamraju; Kellar, Jon; Cross, William [Department of Materials and Metallurgical Engineering, South Dakota School of Mines and Technology, Rapid City, SD-57701 (United States)

    2013-03-01

    Surface treatment techniques were deployed to alter the surface of indium tin oxide (ITO) samples to attain a favorable interface between printed nano-inks and ITO surface. Surface free energy components of treated ITO substrates were calculated for each treatment using the van Oss–Chaudhury–Good method. The surface treatments of ITO changed the Lifshitz–van der Waals and Lewis acid–base components, and contact angle hysteresis significantly. Among all the surface treatments, air plasma treated samples showed high polar in nature, whereas dodecyltrichlorosilane self-assembled monolayer treated sample showed the lowest. In addition to the polarity and homogeneity, the surface roughness of the ITO was studied with respect to the surface treatment. Silver nanoparticulate ink was printed on treated ITO surfaces using aerosol jet printing system. Printed silver nano-ink line width and morphology strongly depended on the surface treatment of the ITO, ink properties and printing parameters. - Highlights: ► Surface treatments on indium tin oxide (ITO) altered its surface free energy. ► Surface free energies were studied in terms of acid–base components. ► ITO surface morphology and roughness were changed with the surface treatment. ► Silver ink was printed on treated ITO samples using aerosol jet printing system. ► Line widths of printed patterns clearly depended on the surface free energy of ITO.

  1. Electrocolorimetry of electrochromic materials on flexible ITO electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Pinheiro, Carlos [Requimte, Dep. Quimica, FCT, Universidade Nova de Lisboa, 2829-516 Caparica (Portugal); YDreams, Madan Parque, Quinta da Torre, 2829-516 Caparica (Portugal); Parola, A.J.; Pina, F. [Requimte, Dep. Quimica, FCT, Universidade Nova de Lisboa, 2829-516 Caparica (Portugal); Fonseca, J.; Freire, C. [Requimte, Dep. Quimica, Faculdade de Ciencias, Universidade do Porto, Rua do Campo Alegre, 4169-007 Porto (Portugal)

    2008-08-15

    Electrochromic materials are characterized by their colour changes upon applied voltage. Colour can mean many things: a certain kind of light, its effect on the human eye, or the result of this effect in the mind of the viewer. Since the electrochromic materials are developed towards real life applications it is relevant to characterize them with the usual commercial colour standards. A colorimetric study of electrogenerated Prussian blue and electrogenerated polymers based on salen-type complexes of Cu(II), Ni(II) and Pd(II) deposited over transparent flexible electrodes of polyethylene terephthalate coated with indium tin oxide (PET/ITO electrodes) was carried out using the CIELAB coordinates. A cuvette with a designed adapter to allow potentiostatic control was placed on an integrating sphere installed in the sample compartment of a spectrophotometer to run the colorimetric measurements. The colour evolution in situ was measured through the transmittance of the films by potentiostatic control. Chronocoulometry/chronoabsorptometry was used to evaluate maximum coloration efficiencies for the coloration step: 184 (Pd), 161 (Cu) and 83 cm{sup 2}/C (Ni) and for bleaching: 199 (Pd), 212 (Cu) and 173 cm{sup 2}/C (Ni) of the Pd, Cu and Ni polymer films, respectively. The Prussian Blue/Prussian White states over the PET/ITO films were relatively reversible while the reversibility and stability of the polymers based on the metals salen-type complexes depends on the metal, Pd being the most stable. (author)

  2. Study of Ag/RGO/ITO sandwich structure for resistive switching behavior deposited on plastic substrate

    Science.gov (United States)

    Vartak, Rajdeep; Rag, Adarsh; De, Shounak; Bhat, Somashekhara

    2018-05-01

    We report here the use of facile and environmentally benign way synthesized reduced graphene oxide (RGO) for low-voltage non-volatile memory device as charge storing element. The RGO solutions have been synthesized using electrochemical exfoliation of battery electrode. The solution processed based RGO solution is suitable for large area and low-cost processing on plastic substrate. Room-temperature current-voltage characterisation has been carried out in Ag/RGO/ITO PET sandwich configuration to study the type of trap distribution. It is observed that in the low-voltage sweep, ohmic current is the main mechanism of current flow and trap filled/assisted conduction is observed at high-sweep voltage region. The Ag/RGO/ITO PET sandwich structure showed bipolar resistive switching behavior. These mechanisms can be analyzed based on oxygen availability and vacancies in the RGO giving rise to continuous least resistive path (conductive) and high resistance path along the structure. An Ag/RGO/ITO arrangement demonstrates long retention time with low operating voltage, low set/reset voltage, good ON/OFF ratio of 103 (switching transition between lower resistance state and higher resistance state and decent switching performance. The RGO memory showed decent results with an almost negligible degradation in switching properties which can be used for low-voltage and low-cost advanced flexible electronics.

  3. Synthesis and Analysis of MnTiO3 Thin Films on ITO Coated Glass Substrates

    Science.gov (United States)

    Martin, Emerick; Sahiner, Mehmet-Alper

    Perovskites like Manganese Titanium Oxide have interesting chemical properties that may be advantageous to the development of p-n junction photovoltaic cells. Due to the limited understanding behind the compound, it is essential to know the characteristics of it when it is deposited in thin film form. The cells were created using pulsed laser deposition method for two separate mediums (first layers after ITO). ZnO was deposited onto ITO glass for the first sample. For the second sample, a layer of pure Molybdenum was deposited onto the ITO glass. The MnTiO3 was then deposited onto both samples. There was a target thickness of 1000 Angstroms, but ellipsometry shows that, for the Mo based sample, that film thickness was around 1500 Angstroms. There were inconclusive results for the ZnO based sample. The concentration of active carriers was measured using a Hall Effect apparatus for the Mo based sample. The XRD analyses were used to confirm the perovskite structure of the films. Measurements for photoelectric conversion efficiency were taken using a Keathley 2602 ScourceMeter indicated low values for efficiency. The structural information that is correlated with the low electrical performance of this sample will be discussed. SHU-NJSGC Summer 2015 Fellowship.

  4. Crystallinity, etchability, electrical and mechanical properties of Ga doped amorphous indium tin oxide thin films deposited by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Hyun-Jun; Song, Pung-Keun

    2014-01-01

    Indium tin oxide (ITO) and Ga-doped ITO (ITO:Ga) films were deposited on glass and polyimide (PI) substrates by direct current (DC) magnetron sputtering using different ITO:Ga targets (doped-Ga: 0, 0.1 and 2.9 wt.%). The films were deposited with a thickness of 50 nm and then post-annealed at various temperatures (room temperature-250 °C) in a vacuum chamber for 30 min. The amorphous ITO:Ga (0.1 wt.% Ga) films post-annealed at 220 °C exhibited relatively low resistivity (4.622x10 −4 Ω cm), indicating that the crystallinity of the ITO:Ga films decreased with increasing Ga content. In addition, the amorphous ITO:Ga films showed a better surface morphology, etchability and mechanical properties than the ITO films. - Highlights: • The Ga doped indium tin oxide (ITO) films crystallized at higher temperatures than the ITO films. • The amorphisation of ITO films increases with increasing Ga content. • Similar resistivity was observed between crystalline ITO and amorphous Ga doped ITO films. • Etching property of ITO film was improved with increasing Ga content

  5. The ITO-capped WO{sub 3} nanowires biosensor based on field-effect transistor in label-free protein sensing

    Energy Technology Data Exchange (ETDEWEB)

    Shariati, Mohsen [Sharif University of Technology, Institute for Nanoscience and Nanotechnology, Tehran (Iran, Islamic Republic of)

    2017-05-15

    The fabrication of ITO-capped WO{sub 3} nanowires associated with their bio-sensing properties in field-effect transistor diagnostics basis as a biosensor has been reported. The bio-sensing property for manipulated nanowires elucidated that the grown nanostructures were very sensitive to protein. The ITO-capped WO{sub 3} nanowires biosensor showed an intensive bio-sensing activity against reliable protein. Polylysine strongly charged bio-molecule was applied as model system to demonstrate the implementation of materialized biosensor. The employed sensing mechanism was 'label-free' and depended on bio-molecule's intrinsic charge. For nanowires synthesis, the vapor-liquid-solid mechanism was used. Nanowires were beyond a few hundred nanometers in lengths and around 15-20 nm in diameter, while the globe cap's size on the nanowires was around 15-25 nm. The indium tin oxide (ITO) played as catalyst in nanofabrication for WO{sub 3} nanowires growth and had outstanding role in bio-sensing especially for bio-molecule adherence. In applied electric field presence, the fabricated device showed the great potential to enhance medical diagnostics. (orig.)

  6. Microprocessing of ITO and a-Si thin films using ns laser sources

    Science.gov (United States)

    Molpeceres, C.; Lauzurica, S.; Ocaña, J. L.; Gandía, J. J.; Urbina, L.; Cárabe, J.

    2005-06-01

    Selective ablation of thin films for the development of new photovoltaic panels and sensoring devices based on amorphous silicon (a-Si) is an emerging field, in which laser micromachining systems appear as appropriate tools for process development and device fabrication. In particular, a promising application is the development of purely photovoltaic position sensors. Standard p-i-n or Schottky configurations using transparent conductive oxides (TCO), a-Si and metals are especially well suited for these applications, appearing selective laser ablation as an ideal process for controlled material patterning and isolation. In this work a detailed study of laser ablation of a widely used TCO, indium-tin-oxide (ITO), and a-Si thin films of different thicknesses is presented, with special emphasis on the morphological analysis of the generated grooves. Excimer (KrF, λ = 248 nm) and DPSS lasers (λ = 355 and λ = 1064 nm) with nanosecond pulse duration have been used for material patterning. Confocal laser scanning microscopy (CLSM) and scanning electron microscopy (SEM) techniques have been applied for the characterization of the ablated grooves. Additionally, process parametric windows have been determined in order to assess this technology as potentially competitive to standard photolithographic processes. The encouraging results obtained, with well-defined ablation grooves having thicknesses in the order of 10 µm both in ITO and in a-Si, open up the possibility of developing a high-performance double Schottky photovoltaic matrix position sensor.

  7. Gas sensing at the nanoscale: engineering SWCNT-ITO nano-heterojunctions for the selective detection of NH3 and NO2 target molecules

    Science.gov (United States)

    Rigoni, F.; Drera, G.; Pagliara, S.; Perghem, E.; Pintossi, C.; Goldoni, A.; Sangaletti, L.

    2017-01-01

    The gas response of single-wall carbon nanotubes (SWCNT) functionalized with indium tin oxide (ITO) nanoparticles (NP) has been studied at room temperature and an enhanced sensitivity to ammonia and nitrogen dioxide is demonstrated. The higher sensitivity in the functionalized sample is related to the creation of nano-heterojunctions at the interface between SWCNT bundles and ITO NP. Furthermore, the different response of the two devices upon NO2 exposure provides a way to enhance also the selectivity. This behavior is rationalized by considering a gas sensing mechanism based on the build-up of space-charge layers at the junctions. Finally, full recovery of the signal after exposure to NO2 is achieved by UV irradiation for the functionalized sample, where the ITO NP can play a role to hinder the poisoning effects on SWCNT due to NO2 chemisorption.

  8. Rf reactive sputtering of indium-tin-oxide films

    International Nuclear Information System (INIS)

    Tvarozek, V.; Novotny, I.; Harman, R.; Kovac, J.

    1986-01-01

    Films of indium-tin-oxide (ITO) have been deposited by rf reactive diode sputtering of metallic InSn alloy targets, or ceramic ITO targets, in an Ar and Ar+0 2 atmosphere. Electrical as well as optical properties of ITO films were controlled by varying sputtering parameters and by post-deposition heat-treatment in Ar, H 2 , N 2 , H 2 +N 2 ambients. The ITO films exhibited low resistivity approx. 2 x 10 -4 Ω cm, high transmittance approx. 90% in the visible spectral region and high reflectance approx. 80% in the near infra-red region. (author)

  9. From Pauli Matrices to Quantum Ito Formula

    International Nuclear Information System (INIS)

    Pautrat, Yan

    2005-01-01

    This paper answers important questions raised by the recent description, by Attal, of a robust and explicit method to approximate basic objects of quantum stochastic calculus on bosonic Fock space by analogues on the state space of quantum spin chains. The existence of that method justifies a detailed investigation of discrete-time quantum stochastic calculus. Here we fully define and study that theory and obtain in particular a discrete-time quantum Ito formula, which one can see as summarizing the commutation relations of Pauli matrices.An apparent flaw in that approximation method is the difference in the quantum Ito formulas, discrete and continuous, which suggests that the discrete quantum stochastic calculus differs fundamentally from the continuous one and is therefore not a suitable object to approximate subtle phenomena. We show that flaw is only apparent by proving that the continuous-time quantum Ito formula is actually a consequence of its discrete-time counterpart

  10. Silver nanowires-templated metal oxide for broadband Schottky photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Malkeshkumar; Kim, Hong-Sik; Kim, Joondong, E-mail: joonkim@inu.ac.kr [Photoelectric and Energy Device Application Lab (PEDAL) and Department of Electrical Engineering, Incheon National University, 119 Academy Rd. Yeonsu, Incheon 406772 (Korea, Republic of); Park, Hyeong-Ho [Applied Device and Material Lab., Device Technology Division, Korea Advanced Nano Fab Center (KANC), Suwon 443270 (Korea, Republic of)

    2016-04-04

    Silver nanowires (AgNWs)-templated transparent metal oxide layer was applied for Si Schottky junction device, which remarked the record fastest photoresponse of 3.4 μs. Self-operating AgNWs-templated Schottky photodetector showed broad wavelength photodetection with high responsivity (42.4 A W{sup −1}) and detectivity (2.75 × 10{sup 15} Jones). AgNWs-templated indium-tin-oxide (ITO) showed band-to-band excitation due to the internal photoemission, resulting in significant carrier collection performances. Functional metal oxide layer was formed by AgNWs-templated from ITO structure. The grown ITO above AgNWs has a cylindrical shape and acts as a thermal protector of AgNWs for high temperature environment without any deformation. We developed thermal stable AgNWs-templated transparent oxide devices and demonstrated the working mechanism of AgNWs-templated Schottky devices. We may propose the high potential of hybrid transparent layer design for various photoelectric applications, including solar cells.

  11. Anomalous positive flatband voltage shifts in metal gate stacks containing rare-earth oxide capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-03-09

    It is shown that the well-known negative flatband voltage (VFB) shift, induced by rare-earth oxide capping in metal gate stacks, can be completely reversed in the absence of the silicon overlayer. Using TaN metal gates and Gd2O3-doped dielectric, we measure a ∼350 mV negative shift with the Si overlayer present and a ∼110 mV positive shift with the Si overlayer removed. This effect is correlated to a positive change in the average electrostatic potential at the TaN/dielectric interface which originates from an interfacial dipole. The dipole is created by the replacement of interfacial oxygen atoms in the HfO2 lattice with nitrogen atoms from TaN.

  12. Quantum Ito's formula and stochastic evolutions

    International Nuclear Information System (INIS)

    Hudson, R.L.; Parthasarathy, K.R.

    1984-01-01

    Using only the Boson canonical commutation relations and the Riemann-Lebesgue integral we construct a simple theory of stochastic integrals and differentials with respect to the basic field operator processes. This leads to a noncommutative Ito product formula, a realisation of the classical Poisson process in Fock space which gives a noncommutative central limit theorem, the construction of solutions of certain noncommutative stochastic differential equations, and finally to the integration of certain irreversible equations of motion governed by semigroups of completely positive maps. The classical Ito product formula for stochastic differentials with respect to Brownian motion and the Poisson process is a special case. (orig.)

  13. Negative charge induced degradation of PMOSFETs with BF2-implanted p+-poly gate

    International Nuclear Information System (INIS)

    Lu, C.Y.; Sung, J.M.

    1989-01-01

    A new degradation phenomenon on thin gate oxide PMOS-FETs with BF 2 implanted p + -poly gate has been demonstrated and investigated. The cause of this type of degradation is a combination of the boron penetration through the gate oxide and charge trap generation due to the presence of fluorine in the gate oxide and some other processing-induced effects. The negative charge-induced degradation other than enhanced boron diffusion has been studied in detail here. The impact of this process-sensitive p + -poly gate structure on deep submicron CMOS process integration has been discussed. (author)

  14. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    Energy Technology Data Exchange (ETDEWEB)

    Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 130-701 (Korea, Republic of)

    2015-05-15

    We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.

  15. Comparison of nonpolar III-nitride vertical-cavity surface-emitting lasers with tunnel junction and ITO intracavity contacts

    KAUST Repository

    Leonard, J. T.

    2016-03-01

    We report on the lasing of III-nitride nonpolar, violet, vertical-cavity surface-emitting lasers (VCSELs) with III-nitride tunnel-junction (TJ) intracavity contacts and ion implanted apertures (IIAs). The TJ VCSELs are compared to similar VCSELs with tin-doped indium oxide (ITO) intracavity contacts. Prior to analyzing device results, we consider the relative advantages of III-nitride TJs for blue and green emitting VCSELs. The TJs are shown to be most advantageous for violet and UV VCSELs, operating near or above the absorption edge for ITO, as they significantly reduce the total internal loss in the cavity. However, for longer wavelength III-nitride VCSELs, TJs primarily offer the advantage of improved cavity design flexibility, allowing one to make the p-side thicker using a thick n-type III-nitride TJ intracavity contact. This offers improved lateral current spreading and lower loss, compare to using ITO and p-GaN, respectively. These aspects are particularly important for achieving high-power CW VCSELs, making TJs the ideal intracavity contact for any III-nitride VCSEL. A brief overview of III-nitride TJ growth methods is also given, highlighting the molecular-beam epitaxy (MBE) technique used here. Following this overview, we compare 12 mu m aperture diameter, violet emitting, TJ and ITO VCSEL experimental results, which demonstrate the significant improvement in differential efficiency and peak power resulting from the reduced loss in the TJ design. Specifically, the TJ VCSEL shows a peak power of similar to 550 mu W with a threshold current density of similar to 3.5 kA/cm(2), while the ITO VCSELs show peak powers of similar to 80 mu W and threshold current densities of similar to 7 kA/cm

  16. Comparison of nonpolar III-nitride vertical-cavity surface-emitting lasers with tunnel junction and ITO intracavity contacts

    KAUST Repository

    Leonard, J. T.; Young, E. C.; Yonkee, B. P.; Cohen, D. A.; Shen, Chao; Margalith, T.; Ng, Tien Khee; Denbaars, S. P.; Ooi, Boon S.; Speck, J. S.; Nakamura, S.

    2016-01-01

    We report on the lasing of III-nitride nonpolar, violet, vertical-cavity surface-emitting lasers (VCSELs) with III-nitride tunnel-junction (TJ) intracavity contacts and ion implanted apertures (IIAs). The TJ VCSELs are compared to similar VCSELs with tin-doped indium oxide (ITO) intracavity contacts. Prior to analyzing device results, we consider the relative advantages of III-nitride TJs for blue and green emitting VCSELs. The TJs are shown to be most advantageous for violet and UV VCSELs, operating near or above the absorption edge for ITO, as they significantly reduce the total internal loss in the cavity. However, for longer wavelength III-nitride VCSELs, TJs primarily offer the advantage of improved cavity design flexibility, allowing one to make the p-side thicker using a thick n-type III-nitride TJ intracavity contact. This offers improved lateral current spreading and lower loss, compare to using ITO and p-GaN, respectively. These aspects are particularly important for achieving high-power CW VCSELs, making TJs the ideal intracavity contact for any III-nitride VCSEL. A brief overview of III-nitride TJ growth methods is also given, highlighting the molecular-beam epitaxy (MBE) technique used here. Following this overview, we compare 12 mu m aperture diameter, violet emitting, TJ and ITO VCSEL experimental results, which demonstrate the significant improvement in differential efficiency and peak power resulting from the reduced loss in the TJ design. Specifically, the TJ VCSEL shows a peak power of similar to 550 mu W with a threshold current density of similar to 3.5 kA/cm(2), while the ITO VCSELs show peak powers of similar to 80 mu W and threshold current densities of similar to 7 kA/cm

  17. Measurement of core level and band offsets at the interface of ITO/Hg_3In_2Te_6(1 1 0) heterojunction by synchrotron radiation photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Li, Yapeng; Fu, Li; Sun, Jie; Ibrahim, Kurash; Wang, Jia-ou

    2016-01-01

    Highlights: • The valence band maximum of ITO film and MIT were measured to be 1.6 eV and 0.6 eV, respectively. • The concentration of In element presented a trend of increasing first and then decreasing from MIT to ITO. • The valence band offsets of the ITO/MIT(1 1 0) heterojunction was confirmed to be a type-II band alignment phenomenon. - Abstract: The Indium Tin Oxide (ITO) film was deposited on the surface of Hg_3In_2Te_6 (short for MIT) (1 1 0) for the fabrication of ITO/MIT(1 1 0) heterojunction by using the pulsed laser deposition method. In situ X-ray photoelectron spectroscopy was utilized to examine the band offsets and core level of ITO/MIT(1 1 0) heterojunctions. The result showed that the valence band maximum of ITO films and MIT(1 1 0) were 1.6 eV and 0.6 eV, respectively. Meanwhile, it was found that the binding energy of Te 3d, Sn 3d and Hg 4f remained unchanged during the ITO deposition process. However, the binding energy of O 1s and In 3d_5_/_2 increased about 0.3 eV and 0.2 eV, respectively, with the thickness increasing of ITO film from 3.5 nm to 5 nm. This may due to the elements diffusion at the interface region during the film growing process. According to the core level spectrum, it can be speculated that no significant chemical reaction occurred at the interface of ITO/MIT(1 1 0). In addition, the valence band offset of the ITO/MIT(1 1 0) heterojunction can be calculated to be −1 ± 0.15 eV by the means of the photoelectron spectroscopy methods. The conduction band offset is deduced to be −3.96 ± 0.15 eV from the known valence band offset value, indicating that the band offsets of ITO/MIT(1 1 0) heterojunction is a type-II band alignment.

  18. Properties of Co-deposited indium tin oxide and zinc oxide films using a bipolar pulse power supply and a dual magnetron sputter source

    International Nuclear Information System (INIS)

    Hwang, Man-Soo; Seob Jeong, Heui; Kim, Won Mok; Seo, Yong Woon

    2003-01-01

    Multilayer coatings consisting of metal layers sandwiched between transparent conducting oxide layers are widely used for flat panel display electrodes and electromagnetic shield coatings for plasma displays, due to their high electrical conductivity and light transmittance. The electrical and optical properties of these multilayer films depend largely on the surface characteristics of the transparent conducting oxide thin films. A smoother surface on the transparent conducting oxide thin films makes it easier for the metal layer to form a continuous film, thus resulting in a higher conductivity and visible light transmittance. Indium tin oxide (ITO) and zinc oxide (ZnO) films were co-deposited using a dual magnetron sputter and a bipolar pulse power supply to decrease the surface roughness of the transparent conducting oxide films. The symmetric pulse mode of the power supply was used to simultaneously sputter an In 2 O 3 (90 wt %) : SnO 2 (10 wt %) target and a ZnO target. We varied the duty of the pulses to control the ratio of ITO : ZnO in the thin films. The electrical and optical properties of the films were studied, and special attention was paid to the surface roughness and the crystallinity of the films. By co-depositing ITO and ZnO at a pulse duty ratio of ITO:ZnO=45:45 using a dual magnetron sputter and a bipolar pulse power supply, we were able to obtain amorphous transparent conducting oxide films with a very smooth surface which had a Zn-rich buffer layer under a In-rich surface layer. All of the films exhibited typical electrical and optical properties of transparent conducting oxide films deposited at room temperature

  19. Heat treatable indium tin oxide films deposited with high power pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Horstmann, F.; Sittinger, V.; Szyszka, B.

    2009-01-01

    In this study, indium tin oxide (ITO) films were prepared by high power pulse magnetron sputtering [D. J. Christie, F. Tomasel, W. D. Sproul, D. C. Carter, J. Vac. Sci. Technol. A, 22 (2004) 1415. ] without substrate heating. The ITO films were deposited from a ceramic target at a deposition rate of approx. 5.5 nm*m/min kW. Afterwards, the ITO films were covered with a siliconoxynitride film sputtered from a silicon alloy target in order to prevent oxidation of the ITO film during annealing at 650 deg. C for 10 min in air. The optical and electrical properties as well as the texture and morphology of these films were investigated before and after annealing. Mechanical durability of the annealed films was evaluated at different test conditions. The results were compared with state-of-the art ITO films which were obtained at optimized direct current magnetron sputtering conditions

  20. Optical second harmonic generation phase measurement at interfaces of some organic layers with indium tin oxide

    International Nuclear Information System (INIS)

    Ngah Demon, Siti Zulaikha; Miyauchi, Yoshihiro; Mizutani, Goro; Matsushima, Toshinori; Murata, Hideyuki

    2014-01-01

    Highlights: • SHG phase from the interfaces of ITO/CuPc and ITO/pentacene was observed. • Optical dispersion of the organic thin film was taken into account. • Phase shift from bare ITO was 140° for ITO/CuPc and 160° for ITO/pentacene. - Abstract: We observed phase shift in optical second harmonic generation (SHG) from interfaces of indium tin oxide (ITO)/copper phthalocyanine (CuPc) and ITO/pentacene. Phase correction due to Fresnel factors of the sample was taken into account. The phase of SHG electric field at the ITO/pentacene interface, ϕ interface with respect to the phase of SHG of bare substrate ITO was 160°, while the interface of ITO/CuPc had a phase of 140°

  1. Optical second harmonic generation phase measurement at interfaces of some organic layers with indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Ngah Demon, Siti Zulaikha [School of Materials Science, Japan Advanced Institute of Science and Technology, 923-1292 Ishikawa (Japan); Department of Physics, Centre of Defence Foundation Studies, National Defence University of Malaysia, 53 000 Kuala Lumpur (Malaysia); Miyauchi, Yoshihiro [Department of Applied Physics, School of Applied Sciences, National Defense Academy of Japan, 239-8686 Kanagawa (Japan); Mizutani, Goro, E-mail: mizutani@jaist.ac.jp [School of Materials Science, Japan Advanced Institute of Science and Technology, 923-1292 Ishikawa (Japan); Matsushima, Toshinori; Murata, Hideyuki [School of Materials Science, Japan Advanced Institute of Science and Technology, 923-1292 Ishikawa (Japan)

    2014-08-30

    Highlights: • SHG phase from the interfaces of ITO/CuPc and ITO/pentacene was observed. • Optical dispersion of the organic thin film was taken into account. • Phase shift from bare ITO was 140° for ITO/CuPc and 160° for ITO/pentacene. - Abstract: We observed phase shift in optical second harmonic generation (SHG) from interfaces of indium tin oxide (ITO)/copper phthalocyanine (CuPc) and ITO/pentacene. Phase correction due to Fresnel factors of the sample was taken into account. The phase of SHG electric field at the ITO/pentacene interface, ϕ{sub interface} with respect to the phase of SHG of bare substrate ITO was 160°, while the interface of ITO/CuPc had a phase of 140°.

  2. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  3. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  4. Structure, stability and electrochromic properties of polyaniline film covalently bonded to indium tin oxide substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wenzhi, E-mail: zhangwz@xatu.edu.cn [Key Laboratory for Photoelectric Functional Materials and Devices of Shaanxi Province, School of Materials and Chemical Engineering, Xi’an Technological University, Xi’an 710021 (China); Ju, Wenxing; Wu, Xinming; Wang, Yan; Wang, Qiguan; Zhou, Hongwei; Wang, Sumin [Key Laboratory for Photoelectric Functional Materials and Devices of Shaanxi Province, School of Materials and Chemical Engineering, Xi’an Technological University, Xi’an 710021 (China); Hu, Chenglong [Key Laboratory of Optoelectronic Chemical Materials and Devices of Ministry of Education, School of Chemistry and Environmental Engineering, Jianghan University, Wuhan 430056 (China)

    2016-03-30

    Graphical abstract: A chemical bonding approach was proposed to prepare the PANI film covalently bonded to ITO substrate and the film exhibited high electrochemical activities and stability compared with that obtained by conventional film-forming approach. - Highlights: • The PANI film covalently bonded to ITO substrate was prepared using ABPA as modifier. • The oxidative potentials of the obtained PANI film were decreased. • The obtained PANI film exhibits high electrochemical activities and stability. - Abstract: Indium tin oxide (ITO) substrate was modified with 4-aminobenzylphosphonic acid (ABPA), and then the polyaniline (PANI) film covalently bonded to ITO substrate was prepared by the chemical oxidation polymerization. X-ray photoelectron spectroscopy (XPS), attenuated total reflection infrared (ATR-IR) spectroscopy, and atomic force microscopy (AFM) measurements demonstrated that chemical binding was formed between PANI and ABPA-modified ITO surface, and the maximum thickness of PANI layer is about 30 nm. The adhesive strength of PANI film on ITO substrate was tested by sonication. It was found that the film formed on the modified ITO exhibited a much better stability than that on bare one. Cyclic voltammetry (CV) and UV–vis spectroscopy measurements indicated that the oxidative potentials of PANI film on ABPA-modified ITO substrate were decreased and the film exhibited high electrochemical activities. Moreover, the optical contrast increased from 0.58 for PANI film (without ultrasound) to 1.06 for PANI film (after ultrasound for 60 min), which had an over 83% enhancement. The coloration time was 20.8 s, while the bleaching time was 19.5 s. The increase of electrochromic switching time was due to the lower ion diffusion coefficient of the large cation of (C{sub 4}H{sub 9}){sub 4}N{sup +} under the positive and negative potentials as comparison with the small Li{sup +} ion.

  5. Numerical and experimental investigation of GaN-based flip-chip light-emitting diodes with highly reflective Ag/TiW and ITO/DBR Ohmic contacts.

    Science.gov (United States)

    Zhou, Shengjun; Liu, Xingtong; Gao, Yilin; Liu, Yingce; Liu, Mengling; Liu, Zongyuan; Gui, Chengqun; Liu, Sheng

    2017-10-30

    We demonstrate two types of GaN-based flip-chip light-emitting diodes (FCLEDs) with highly reflective Ag/TiW and indium-tin oxide (ITO)/distributed Bragg reflector (DBR) p-type Ohmic contacts. We show that a direct Ohmic contact to p-GaN layer using pure Ag is obtained when annealed at 600°C in N 2 ambient. A TiW diffusion barrier layer covered onto Ag is used to suppress the agglomeration of Ag and thus maintain high reflectance of Ag during high temperature annealing process. We develop a strip-shaped SiO 2 current blocking layer beneath the ITO/DBR to alleviate current crowding occurring in FCLED with ITO/DBR. Owing to negligibly small spreading resistance of Ag, however, our combined numerical and experimental results show that the FCLED with Ag/TiW has a more favorable current spreading uniformity in comparison to the FCLED with ITO/DBR. As a result, the light output power of FCLED with Ag/TiW is 7.5% higher than that of FCLED with ITO/DBR at 350 mA. The maximum output power of the FCLED with Ag/TiW obtained at 305.6 A/cm 2 is 29.3% larger than that of the FCLED with ITO/DBR obtained at 278.9 A/cm 2 . The improvement appears to be due to the enhanced current spreading and higher optical reflectance provided by the Ag/TiW.

  6. Gas Sensing Properties of Indium Tin Oxide Nanofibers

    Directory of Open Access Journals (Sweden)

    Shiyou Xu

    2009-11-01

    Full Text Available Indium Tin Oxide (ITO nanofibers were fabricated by the electrospinning process. The morphology and crystal structure of ITO nanofibers were studied by SEM, XRD, and TEM respectively. The results showed that polycrystalline ITO nanofibers with an average diameter of 80 nm were obtained. Sensors based on these nanofibers were fabricated by collecting these nanofibers on the integrated sensor platforms. The ITO nanofiber-based sensors showed very fast and high sensor responses at both room and elevated temperatures for NO2. The ratios of resistance in NO2 over that in air were 5 at room temperature and 34 at the optimal working temperature, respectively. The ITO nanofiber-based sensor can be repeatedly used. The details for the fast, enhanced sensor responses and the optimal temperature were discussed.

  7. Optical second harmonic generation phase measurement at interfaces of some organic layers with indium tin oxide

    Science.gov (United States)

    Ngah Demon, Siti Zulaikha; Miyauchi, Yoshihiro; Mizutani, Goro; Matsushima, Toshinori; Murata, Hideyuki

    2014-08-01

    We observed phase shift in optical second harmonic generation (SHG) from interfaces of indium tin oxide (ITO)/copper phthalocyanine (CuPc) and ITO/pentacene. Phase correction due to Fresnel factors of the sample was taken into account. The phase of SHG electric field at the ITO/pentacene interface, ϕinterface with respect to the phase of SHG of bare substrate ITO was 160°, while the interface of ITO/CuPc had a phase of 140°.

  8. Optical second harmonic generation phase measurement at interfaces of some organic layers with indium tin oxide

    OpenAIRE

    Ngah Demon, Siti Zulaikha; Miyauchi, Yoshihiro; Mizutani, Goro; Matsushima, Toshinori; Murata, Hideyuki

    2014-01-01

    We observed phase shift in optical second harmonic generation (SHG) from interfaces of indium tin oxide (ITO)/copper phthalocyanine (CuPc) and ITO/pentacene. Phase correction due to Fresnel factors of the sample was taken into account. The phase of SHG electric field at the ITO/pentacene interface, ϕ_ with respect to the phase of SHG of bare substrate ITO was 160°, while the interface of ITO/CuPc had a phase of 140°.

  9. Effects of annealing temperature on mechanical durability of indium-tin oxide film on polyethylene terephthalate substrate

    International Nuclear Information System (INIS)

    Machinaga, Hironobu; Ueda, Eri; Mizuike, Atsuko; Takeda, Yuuki; Shimokita, Keisuke; Miyazaki, Tsukasa

    2014-01-01

    Effects of the annealing temperature on mechanical durability of indium-tin oxide (ITO) thin films deposited on polyethylene terephthalate (PET) substrates were investigated. The ITO films were annealed at the range from 150 °C to 195 °C after the DC sputtering deposition for the production of polycrystalline ITO layers on the substrates. The onset strains of cracking in the annealed ITO films were evaluated by the uniaxial stretching tests with electrical resistance measurements during film stretching. The results indicate that the onset strain of cracking in the ITO film is clearly increased by increasing the annealing temperature. The in-situ measurements of the inter-planer spacing of the (222) plane in the crystalline ITO films during film stretching by using synchrotron radiation strongly suggest that the large compressive stress in the ITO film increases the onset strain of cracking in the film. X-ray stress analyses of the annealed ITO films and thermal mechanical analyses of the PET substrates also clarifies that the residual compressive stress in the ITO film is enhanced with increasing the annealing temperature due to the considerably larger shrinkage of the PET substrate. - Highlights: • Indium-tin oxide (ITO) films were deposited on polyethylene terephthalate (PET). • Mechanical durability of the ITO is improved by high temperature post-annealing. • The shrinkage in the PET increases with rising the post-annealing temperature. • The shrinkage of the PET enhances the compressive stress in the ITO film. • Large compressive stress in the ITO film may improve its mechanical durability

  10. Electrochemical polymerization of an aniline-terminated self-assembled monolayer on indium tin oxide electrodes and its effect on polyaniline electrodeposition

    Energy Technology Data Exchange (ETDEWEB)

    Cruz-Silva, Rodolfo [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEM. Av. Universidad 1001Col. Chamilpa, CP 62210, Cuernavaca, Mor. (Mexico)], E-mail: rcruzsilva@uaem.mx; Nicho, Maria E.; Resendiz, Mary C.; Agarwal, Vivechana [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEM. Av. Universidad 1001Col. Chamilpa, CP 62210, Cuernavaca, Mor. (Mexico); Castillon, Felipe F.; Farias, Mario H. [Centro de Ciencias de la Materia Condensada de la UNAM, Apdo. Postal 2681 C.P. 22800 Ensenada, B.C. (Mexico)

    2008-06-02

    Indium tin oxide (ITO) transparent electrodes were surface modified by a self-assembled monolayer of N-phenyl-{gamma}-aminopropyl-trimethoxysilane (PAPTS). Cyclic voltammetry of the PAPTS monolayer in aniline-free aqueous electrolyte showed the typical shape of a surface-confined monomer, due to the oxidation of the aniline moieties. This process resulted in a two-dimensional polyaniline film with uniform thickness of 1.3 nm, as measured by atomic force microscopy. X-ray photoelectron and UV-visible spectroscopic techniques confirm the formation of a conjugated polymer film. The influence of the surface modification of ITO electrodes on polyaniline electrochemical deposition was also studied. The initial oxidation rate of aniline increased in the PAPTS-modified ITO electrodes, although the overall film formation rate was lower than that of unmodified ITO electrodes. The morphology of the electrodeposited polyaniline films on PAPTS-modified and unmodified ITO electrodes was studied by atomic force microscopy. Films of smaller grain were grown in the PAPTS-modified ITO as compared to films grown on unmodified ITO. A blocking effect due to the propyl spacer is proposed to explain the reduced electron transfer in PAPTS-modified electrodes.

  11. Optical analysis of Cr-doped ITO films deposited by double-target laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Cesaria, M., E-mail: maura.cesaria@le.infn.it [Departiment of Mathematics and Physics ”Ennio De Giorgi”, University of Salento, Via Arnesano, 73100 Lecce (Italy); Caricato, A.P. [Departiment of Mathematics and Physics ”Ennio De Giorgi”, University of Salento, Via Arnesano, 73100 Lecce (Italy); Maruccio, G. [Departiment of Mathematics and Physics ”Ennio De Giorgi”, University of Salento, Via Arnesano, 73100 Lecce (Italy); National Nanotechnology Laboratory (NNL) Istituto Nanoscienze-CNR, Via Arnesano, 73100 Lecce (Italy); Martino, M. [Departiment of Mathematics and Physics ”Ennio De Giorgi”, University of Salento, Via Arnesano, 73100 Lecce (Italy)

    2015-06-15

    We investigate the optical properties of ITO and Cr-doped ITO films deposited at room temperature by pulsed laser deposition onto amorphous SiO{sub 2} substrates. Our analysis approach is based on the Tauc's plot method applied to the absorption coefficient estimated by a route realistically describing the film structural features and including the contribution of the non-measurable film–substrate interface. Going beyond the conventional application of the Tauc's plot method, we quote two different transition energies for ITO and Cr-doped ITO and discuss their origin in the framework of a band-structure picture as a function of film thickness, Cr changes of the host ITO dispersion and Cr-doping content. In contrast to the conventional optical ITO description, we account for the existence of direct dipole forbidden transitions between the ITO fundamental band edges, involving different electronic and optical band gaps. Our results and discussion demonstrate that disregarding this theoretically established picture, as occurs in the experimental literature, would lead to conclusions inconsistent with the Cr-induced band occupation and effects on ITO dispersions. Preliminary optical (based on transmittance and reflectance spectra as well as band-tailing effects), electrical and structural inspection of the samples are also considered to check reliability and consistency of our discussion. - Highlights: • Realistic absorption coefficient of very thin films. • Electronic and optical band gap: ITO dipole forbidden optical transitions. • Interpretative model combining realistic band structure and Tauc's plot approach. • Inconsistencies stemming from conventional application of the Tauc's plot. • General model applicable to In{sub 2}O{sub 3}-like systems.

  12. Optical analysis of Cr-doped ITO films deposited by double-target laser ablation

    International Nuclear Information System (INIS)

    Cesaria, M.; Caricato, A.P.; Maruccio, G.; Martino, M.

    2015-01-01

    We investigate the optical properties of ITO and Cr-doped ITO films deposited at room temperature by pulsed laser deposition onto amorphous SiO 2 substrates. Our analysis approach is based on the Tauc's plot method applied to the absorption coefficient estimated by a route realistically describing the film structural features and including the contribution of the non-measurable film–substrate interface. Going beyond the conventional application of the Tauc's plot method, we quote two different transition energies for ITO and Cr-doped ITO and discuss their origin in the framework of a band-structure picture as a function of film thickness, Cr changes of the host ITO dispersion and Cr-doping content. In contrast to the conventional optical ITO description, we account for the existence of direct dipole forbidden transitions between the ITO fundamental band edges, involving different electronic and optical band gaps. Our results and discussion demonstrate that disregarding this theoretically established picture, as occurs in the experimental literature, would lead to conclusions inconsistent with the Cr-induced band occupation and effects on ITO dispersions. Preliminary optical (based on transmittance and reflectance spectra as well as band-tailing effects), electrical and structural inspection of the samples are also considered to check reliability and consistency of our discussion. - Highlights: • Realistic absorption coefficient of very thin films. • Electronic and optical band gap: ITO dipole forbidden optical transitions. • Interpretative model combining realistic band structure and Tauc's plot approach. • Inconsistencies stemming from conventional application of the Tauc's plot. • General model applicable to In 2 O 3 -like systems

  13. NonMarkov Ito Processes with 1- state memory

    Science.gov (United States)

    McCauley, Joseph L.

    2010-08-01

    A Markov process, by definition, cannot depend on any previous state other than the last observed state. An Ito process implies the Fokker-Planck and Kolmogorov backward time partial differential eqns. for transition densities, which in turn imply the Chapman-Kolmogorov eqn., but without requiring the Markov condition. We present a class of Ito process superficially resembling Markov processes, but with 1-state memory. In finance, such processes would obey the efficient market hypothesis up through the level of pair correlations. These stochastic processes have been mislabeled in recent literature as 'nonlinear Markov processes'. Inspired by Doob and Feller, who pointed out that the ChapmanKolmogorov eqn. is not restricted to Markov processes, we exhibit a Gaussian Ito transition density with 1-state memory in the drift coefficient that satisfies both of Kolmogorov's partial differential eqns. and also the Chapman-Kolmogorov eqn. In addition, we show that three of the examples from McKean's seminal 1966 paper are also nonMarkov Ito processes. Last, we show that the transition density of the generalized Black-Scholes type partial differential eqn. describes a martingale, and satisfies the ChapmanKolmogorov eqn. This leads to the shortest-known proof that the Green function of the Black-Scholes eqn. with variable diffusion coefficient provides the so-called martingale measure of option pricing.

  14. Immobilization of azurin with retention of its native electrochemical properties at alkylsilane self-assembled monolayer modified indium tin oxide

    International Nuclear Information System (INIS)

    Ashur, Idan; Jones, Anne K.

    2012-01-01

    Highlights: ► Immobilization of azurin at indium tin oxide causes modification of the native redox properties. ► Azurin was immobilized at alkylsilane self-assembled monolayer on indium tin oxide. ► Native, solution redox properties are retained for the immobilized protein on the SAM. ► Technique should be widely applicable to other redox proteins. - Abstract: Indium tin oxide (ITO) is a promising material for developing spectroelectrochemical methods due to its combination of excellent transparency in the visible region and high conductivity over a broad range of potential. However, relatively few examples of immobilization of redox proteins at ITO with retention of the ability to transfer electrons with the underlying material with native characteristics have been reported. In this work, we utilize an alkylsilane functionalized ITO surface as a biocompatible interface for immobilization of the blue copper protein azurin. Adsorption of azurin at ITO as well as ITO coated with self-assembled monolayers of (3-mercaptopropyl)trimethoxysilane (MPTMS) and n-decyltrimethoxysilane (DTMS) was achieved, and immobilized protein probed using protein film electrochemistry. The native redox properties of the protein were perturbed by adsorption directly to ITO or to the MPTMS layer on an ITO surface. However, azurin adsorbed at a DTMS covered ITO surface retained native electrochemical properties (E 1/2 = 122 ± 5 mV vs. Ag/AgCl) and could exchange electrons directly with the underlying ITO layer without need for an intervening chemical mediator. These results open new opportunities for immobilizing functional redox proteins at ITO and developing spectroelectrochemical methods for investigating them.

  15. ITO-Free Semitransparent Organic Solar Cells Based on Silver Thin Film Electrodes

    Directory of Open Access Journals (Sweden)

    Zhizhe Wang

    2014-01-01

    Full Text Available ITO-free semitransparent organic solar cells (OSCs based on MoO3/Ag anodes with poly(3-hexylthiophene and [6,6]-phenyl-C61-butyric acid methyl ester films as the active layer are investigated in this work. To obtain the optimal transparent (MoO3/Ag anode, ITO-free reference OSCs are firstly fabricated. The power conversion efficiency (PCE of 2.71% is obtained for OSCs based on the optimal MoO3 (2 nm/Ag (9 nm anode, comparable to that of ITO-based reference OSCs (PCE of 2.85%. Then based on MoO3 (2 nm/Ag (9 nm anode, ITO-free semitransparent OSCs with different thickness combination of Ca and Ag as the cathodes are investigated. It is observed from our results that OSCs with Ca (15 nm/Ag (15 nm cathode have the optimal transparency. Meanwhile, the PCE of 1.79% and 0.67% is obtained for illumination from the anode and cathode side, respectively, comparable to that of similar ITO-based semitransparent OSCs (PCE of 1.59% and 0.75% for illumination from the anode and cathode side, resp. (Sol. Energy Mater. Sol. Cells, 95, pp. 877–880, 2011. The transparency and PCE of ITO-free semitransparent OSCs can be further improved by introducing a light couple layer. The developed method is compatible with various substrates, which is instructive for further research of ITO-free semitransparent OSCs.

  16. A Review of Nanoscale Channel and Gate Engineered FINFETs for VLSI Mixed Signal Applications Using Zirconium-di-Oxide Dielectrics

    Directory of Open Access Journals (Sweden)

    D.Nirmal

    2014-07-01

    Full Text Available In the past, most of the research and development efforts in the area of CMOS and IC’s are oriented towards reducing the power and increasing the gain of the circuits. While focusing the attention on low power and high gain in the device, the materials of the device also been taken into consideration. In the present technology, Computationally intensive devices with low power dissipation and high gain are becoming a critical application domain. Several factors have contributed to this paradigm shift. The primary driving factor being the increase in scale of integration, the chip has to accommodate smaller and faster transistors than their predecessors. During the last decade semiconductor technology has been led by conventional scaling. Scaling, has been aimed towards higher speed, lower power and higher density of the semiconductor devices. However, as scaling approached its physical limits, it has become more difficult and challenging for fabrication industry. Therefore, tremendous research has been carried out to investigate the alternatives, and this led to the introduction of new Nano materials and concepts to overcome the difficulties in the device fabrications. In order to reduce the leakage current and parasitic capacitance in devices, gate oxide high-k dielectric materials are explored. Among the different high-k materials available the nano size Zirconium dioxide material is suggested as an alternate gate oxide material for devices due to its thermal stability and small grain size of material. To meet the requirements of ITRS roadmap 2012, the Multi gate devices are considered to be one of the most promising technologies for the future microelectronics industry due to its excellent immunity to short channel effects and high value of On current. The double gate or multi gate devices provide a better scalability option due to its excellent immunity to short-channel effects. Here the different high-k materials are replaced in different

  17. Deposition of low sheet resistance indium tin oxide directly onto functional small molecules

    KAUST Repository

    Franklin, Joseph B.; Fleet, Luke R.; Burgess, Claire H.; McLachlan, Martyn A.

    2014-01-01

    © 2014 Elsevier B.V. All rights reserved. We outline a methodology for depositing tin-doped indium oxide (ITO) directly onto semiconducting organic small molecule films for use as a transparent conducting oxide top-electrode. ITO films were grown using pulsed laser deposition onto copper(II)phthalocyanine (CuPc):buckminsterfullerene (C60) coated substrates. The ITO was deposited at a substrate temperature of 150 °C over a wide range of background oxygen pressures (Pd) (0.67-10 Pa). Deposition at 0.67 ≤ Pd ≤ 4.7 Pa led to delamination of the organic films owing to damage induced by the high energy ablated particles, at intermediate 4.7 ≤ Pd < 6.7 Pa pressures macroscopic cracking is observed in the ITO. Increasing Pd further, ≥ 6.7 Pa, supports the deposition of continuous, polycrystalline and highly transparent ITO films without damage to the CuPc:C60. The free carrier concentration of ITO is strongly influenced by Pd; hence growth at > 6.7 Pa induces a significant decrease in conductivity; with a minimum sheet resistance (Rs) of 145 /□ achieved for 300 nm thick ITO films. To reduce the Rs a multi-pressure deposition was implemented, resulting in the formation of polycrystalline, highly transparent ITO with an Rs of - 20/□ whilst maintaining the inherent functionality and integrity of the small molecule substrate.

  18. Deposition of low sheet resistance indium tin oxide directly onto functional small molecules

    KAUST Repository

    Franklin, Joseph B.

    2014-11-01

    © 2014 Elsevier B.V. All rights reserved. We outline a methodology for depositing tin-doped indium oxide (ITO) directly onto semiconducting organic small molecule films for use as a transparent conducting oxide top-electrode. ITO films were grown using pulsed laser deposition onto copper(II)phthalocyanine (CuPc):buckminsterfullerene (C60) coated substrates. The ITO was deposited at a substrate temperature of 150 °C over a wide range of background oxygen pressures (Pd) (0.67-10 Pa). Deposition at 0.67 ≤ Pd ≤ 4.7 Pa led to delamination of the organic films owing to damage induced by the high energy ablated particles, at intermediate 4.7 ≤ Pd < 6.7 Pa pressures macroscopic cracking is observed in the ITO. Increasing Pd further, ≥ 6.7 Pa, supports the deposition of continuous, polycrystalline and highly transparent ITO films without damage to the CuPc:C60. The free carrier concentration of ITO is strongly influenced by Pd; hence growth at > 6.7 Pa induces a significant decrease in conductivity; with a minimum sheet resistance (Rs) of 145 /□ achieved for 300 nm thick ITO films. To reduce the Rs a multi-pressure deposition was implemented, resulting in the formation of polycrystalline, highly transparent ITO with an Rs of - 20/□ whilst maintaining the inherent functionality and integrity of the small molecule substrate.

  19. Simple and robust near-infrared spectroscopic monitoring of indium-tin-oxide (ITO) etching solution using Teflon tubing

    International Nuclear Information System (INIS)

    Nah, Sanghee; Ryu, Kyungtag; Cho, Soohwa; Chung, Hoeil; Namkung, Hankyu

    2006-01-01

    The ability to monitor etching solutions using a spectroscopy directly through existing Teflon lines in electronic industries is highly beneficial and offers many advantages. A monitoring method was developed using near-infrared (NIR) measurements with Teflon tubing as a sample container for the quantification of components in the indium-tin-oxide (ITO) etching solution composed of hydrochloric acid (HCl), acetic acid (CH 3 COOH) and water. Measurements were reproducible and it was possible to use the same calibration model for different Teflon tubings. Even though partial least squares (PLS) calibration performance was slightly degraded for Teflon cells when compared to quartz cells of the similar pathlength, the calibration data correlated well with reference data. The robustness of Teflon-based NIR measurement was evaluated by predicting the spectra of 10 independent samples that were collected using five different Teflon tubes. Although, two Teflon tubes were visually less transparent than the other three, there was no significant variation in the standard error of predictions (SEPs) among the five Teflon tubes. Calibration accuracy was successfully maintained and highly repeatable prediction results were achieved. This study verifies that a Teflon-based NIR measurement is reliable for the monitoring of etching solutions and it can be successfully integrated into on-line process monitoring

  20. Protonic/electronic hybrid oxide transistor gated by chitosan and its full-swing low voltage inverter applications

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Jin Yu [Shanxi Province Key Laboratory High Gravity Chemical Engineering, North University of China, Taiyuan 030051 (China); Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Yuan, Zhi Guo, E-mail: ncityzg@163.com [Shanxi Province Key Laboratory High Gravity Chemical Engineering, North University of China, Taiyuan 030051 (China)

    2015-12-21

    Modulation of charge carrier density in condensed materials based on ionic/electronic interaction has attracted much attention. Here, protonic/electronic hybrid indium-zinc-oxide (IZO) transistors gated by chitosan based electrolyte were obtained. The chitosan-based electrolyte illustrates a high proton conductivity and an extremely strong proton gating behavior. The transistor illustrates good electrical performances at a low operating voltage of ∼1.0 V such as on/off ratio of ∼3 × 10{sup 7}, subthreshold swing of ∼65 mV/dec, threshold voltage of ∼0.3 V, and mobility of ∼7 cm{sup 2}/V s. Good positive gate bias stress stabilities are obtained. Furthermore, a low voltage driven resistor-loaded inverter was built by using an IZO transistor in series with a load resistor, exhibiting a linear relationship between the voltage gain and the supplied voltage. The inverter is also used for decreasing noises of input signals. The protonic/electronic hybrid IZO transistors have potential applications in biochemical sensors and portable electronics.

  1. Studies on the optoelectronic properties of the thermally evaporated tin-doped indium oxide nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pan, Ko-Ying [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan, ROC (China); Lin, Liang-Da [Institute of Materials Science and Nanotechnology, Chinese Culture University, Taipei 111, Taiwan, ROC (China); Chang, Li-Wei [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan, ROC (China); Shih, Han C., E-mail: hcshih@mx.nthu.edu.tw [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan, ROC (China); Institute of Materials Science and Nanotechnology, Chinese Culture University, Taipei 111, Taiwan, ROC (China)

    2013-05-15

    Indium oxide (In{sub 2}O{sub 3}) nanorods, nanotowers and tin-doped (Sn:In = 1:100) indium oxide (ITO) nanorods have been fabricated by thermal evaporation. The morphology, microstructure and chemical composition of these three nanoproducts are characterized by FE-SEM, HRTEM and XPS. To further investigate the optoelectronic properties, the I–V curves and cathodoluminescence (CL) spectra are measured. The electrical resistivity of In{sub 2}O{sub 3} nanorods, nanotowers and ITO nanorods are 1.32 kΩ, 0.65 kΩ and 0.063 kΩ, respectively. CL spectra of these three nanoproducts clearly indicate that tin-doped (Sn:In = 1:100) indium oxide (ITO) nanorods cause a blue shift. No doubt ITO nanorods obtain the highest performance among these three nanoproducts, and this also means that Sn-doped In{sub 2}O{sub 3} nanostructures would be the best way to enhance the optoelectronic properties. Additionally, the growing mechanism and the optoelectronic properties of these three nanostructures are discussed. This study is beneficial to the applications of In{sub 2}O{sub 3} nanorods, nanotowers and ITO nanorods in optoelectronic nanodevices.

  2. Effects of metal oxide as an anode interlayer for organic photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Yang-Yen, E-mail: yyyu@mail.mcut.edu.tw [Department of Materials Engineering, Ming Chi University of Technology, 84 Gunjuan Rd., Taishan Dist., New Taipei City 24301, Taiwan (China); Center for Thin Film Technologies and Applications, Ming Chi University of Technology, 84 Gunjuan Rd., Taishan Dist., New Taipei City 24301, Taiwan (China); Battery Research Center of Green Energy, Ming Chi University of Technology, 84 Gunjuan Rd., Taishan Dist., New Taipei City 24301, Taiwan (China); Chan, Si-Han [Department of Materials Engineering, Ming Chi University of Technology, 84 Gunjuan Rd., Taishan Dist., New Taipei City 24301, Taiwan (China)

    2013-11-01

    In this study, polymer:fullerene bulk-heterojunction hybrid solar cells with the structure indium tin oxide (ITO)/nickel oxide (NiO)/poly (3-hexylthiophene) (P3HT):[6, 6]-phenyl C61-butyric(PCBM):titania (TiO2):platinum (Pt) nanoparticles (NPs)/Ca/Al were fabricated. The effects of a p-type NiO thin layer deposited by thermal evaporation between the active layer P3HT:PCBM:TiO{sub 2}:Pt and ITO on cell performance were examined. The results show that the NiO interfacial layer between the ITO and active layer can increase the efficiency and stability of the prepared hybrid solar cells. The optimum cell performance by ITO/NiO(5 nm)/P3HT:PCBM:TiO{sub 2} (15 wt.%):Pt (0.03 wt.%)/Ca/Al (best cell structure) is an open-circuit voltage (Voc) = 0.61 V, short circuit current density (Jsc) = 6.22 mA/cm{sup 2}, fill factor (FF) = 54.8%, and η = 2.1%. - Highlights: • Hybrid solar cell with nickel oxide interlayer was fabricated. • Nickel oxide layer can improve the cell efficiency and stability. • The power conversion efficiency of cell under optimum structure is 2.1%.

  3. Effect of passive film on electrochemical surface treatment for indium tin oxide

    International Nuclear Information System (INIS)

    Wu, Yung-Fu; Chen, Chi-Hao

    2013-01-01

    Highlights: ► Oxalic, tartaric, and citric acid baths accompanying with applied voltages were used to treat the ITO surface. ► We investigated the changes in ITO surfaces by examining the potentiodynamic behavior of ITO films. ► AFM analysis showed the formation of a passive layer could assist to planarize surface. ► XPS analysis indicated this passive layer was mainly composed of SnO 2. ► A better planarization was obtained by treating in 3.0 wt.% tartaric acid at 0.5 V due to weak complexation strength. - Abstract: Changes in indium tin oxide (ITO) film surface during electrochemical treatment in oxalic acid, tartaric acid, and citric acid were investigated. Controlling the voltage applied on ITO film allows the formation of a passive layer, effectively protecting the film surface. X-ray photoelectron spectrometry showed that the passive layer composition was predominantly SnO 2 in tartaric acid, while a composite of tin oxide and tin carboxylate in citric or oxalic acid. Even though the passive films on ITO surface generated in these organic acids, the indium or tin could complex with the organic acid anions, enhancing the dissolution of ITO films. The experimental results show that the interaction between the dissolution and passivation could assist to planarize the ITO surface. We found that the optimal treatment at 0.5 V in 3 wt.% tartaric acid could provide the ITO surface with root-mean-squared roughness less than 1.0 nm, due to the weak complexing characteristics of tartaric acid.

  4. Patterning crystalline indium tin oxide by high repetition rate femtosecond laser-induced crystallization

    International Nuclear Information System (INIS)

    Cheng, Chung-Wei; Lin, Cen-Ying; Shen, Wei-Chih; Lee, Yi-Ju; Chen, Jenq-Shyong

    2010-01-01

    A method is proposed for patterning crystalline indium tin oxide (c-ITO) patterns on amorphous ITO (a-ITO) thin films by femtosecond laser irradiation at 80 MHz repetition rate followed by chemical etching. In the proposed approach, the a-ITO film is transformed into a c-ITO film over a predetermined area via the heat accumulation energy supplied by the high repetition rate laser beam, and the unirradiated a-ITO film is then removed using an acidic etchant solution. The fabricated c-ITO patterns are observed using scanning electron microscopy and cross-sectional transmission electron microscopy. The crystalline, optical, electrical properties were measured by X-ray diffraction, spectrophotometer, and four point probe station, respectively. The experimental results show that a high repetition rate reduces thermal shock and yields a corresponding improvement in the surface properties of the c-ITO patterns.

  5. Optical and structural properties of TiO2/Ti/Ag/TiO2 and TiO2/ITO/Ag/ITO/TiO2 metal-dielectric multilayers by RF magnetron sputtering for display application

    International Nuclear Information System (INIS)

    Lee, Jang-Hoon; Lee, Seung-Hyu; Hwangbo, Chang-Kwon; Lee, Kwang-Su

    2004-01-01

    Electromagnetic-interference (EMI) shielding and near-infrared (NIR) cutoff filters for plasma display panels, based on fundamental structures (ITO/Ag/ITO), (TiO 2 /Ti/Ag/TiO 2 ) and (TiO 2 /ITO/Ag/ITO/TiO 2 ), were designed and prepared by RF-magnetron sputtering. The optical, structural and electrical properties of the filters were investigated by using spectrophotometry, Auger electron spectroscopy, X-ray photoelectron spectroscopy, Rutherford backscattering spectroscopy, atomic force microscopy and four-point-probe measurements. The results show that ITO films as the barriers and base layers lead to higher transmittance in the visible spectrum and smoother surface roughness than Ti metal barriers, while maintaining high NIR cutoff characteristics and chemical stability, which may be attributed to the lower absorption in the interfacial layers and better protection of the Ag layers by the ITO layers.

  6. Charge transport in metal oxide nanocrystal-based materials

    Science.gov (United States)

    Runnerstrom, Evan Lars

    structure. Charge transport can obviously be taken to mean the conduction of electrons, but it also refers to the motion of ions, such as lithium ions and protons. In many cases, the transport of ions is married to the motion of electrons as well, either through an external electrical circuit, or within the same material in the case of mixed ionic electronic conductors. The collective motion of electrons over short length scales, that is, within single nanocrystals, is also a subject of study as it pertains to plasmonic nanocrystals. Finally, charge transport can also be coupled to or result from the formation of defects in metal oxides. All of these modes of charge transport in metal oxides gain further complexity when considered in nanocrystalline systems, where the introduction of numerous surfaces can change the character of charge transport relative to bulk systems, providing opportunities to exploit new physical phenomena. Part I of this dissertation explores the combination of electronic and ionic transport in electrochromic devices based on nanocrystals. Colloidal chemistry and solution processing are used to fabricate nanocomposites based on electrochromic tin-doped indium oxide (ITO) nanocrystals. The nanocomposites, which are completely synthesized using solution processing, consist of ITO nanocrystals and lithium bis(trifluoromethylsulfonyl)amide (LiTFSI) salt dispersed in a lithium ion-conducting polymer matrix of either poly(ethylene oxide) (PEO) or poly(methyl methacrylate) (PMMA). ITO nanocrystals are prepared by colloidal synthetic methods and the nanocrystal surface chemistry is modified to achieve favorable nanocrystal-polymer interactions. Homogeneous solutions containing polymer, ITO nanocrystals, and lithium salt are thus prepared and deposited by spin casting. Characterization by DC electronic measurements, microscopy, and x-ray scattering techniques show that the ITO nanocrystals form a complete, connected electrode within a polymer electrolyte

  7. Efficient indium-tin-oxide free inverted organic solar cells based on aluminum-doped zinc oxide cathode and low-temperature aqueous solution processed zinc oxide electron extraction layer

    International Nuclear Information System (INIS)

    Chen, Dazheng; Zhang, Chunfu; Wang, Zhizhe; Zhang, Jincheng; Tang, Shi; Wei, Wei; Sun, Li; Hao, Yue

    2014-01-01

    Indium-tin-oxide (ITO) free inverted organic solar cells (IOSCs) based on aluminum-doped zinc oxide (AZO) cathode, low-temperature aqueous solution processed zinc oxide (ZnO) electron extraction layer, and poly(3-hexylthiophene-2, 5-diyl):[6, 6]-phenyl C 61 butyric acid methyl ester blend were realized in this work. The resulted IOSC with ZnO annealed at 150 °C shows the superior power conversion efficiency (PCE) of 3.01%, if decreasing the ZnO annealing temperature to 100 °C, the obtained IOSC also shows a PCE of 2.76%, and no light soaking issue is observed. It is found that this ZnO film not only acts as an effective buffer layer but also slightly improves the optical transmittance of AZO substrates. Further, despite the relatively inferior air-stability, these un-encapsulated AZO/ZnO IOSCs show comparable PCEs to the referenced ITO/ZnO IOSCs, which demonstrates that the AZO cathode is a potential alternative to ITO in IOSCs. Meanwhile, this simple ZnO process is compatible with large area deposition and plastic substrates, and is promising to be widely used in IOSCs and other relative fields.

  8. The effects of sodium in ITO by pulsed laser deposition on organic light-emitting diodes

    International Nuclear Information System (INIS)

    Yong, Thian Khok; Kee, Yeh Yee; Tan, Sek Sean; Siew, Wee Ong; Tou, Teck Yong; Yap, Seong Shan

    2010-01-01

    The depth profile of ITO on glass was measured by the time-of-flight secondary ion mass spectroscopy (TOFSIMS) which revealed high sodium (Na) ion concentration at the ITO surface as well as at the ITO-glass interface as a result of out diffusion with substrate heating. Effects of Na ions on the performance of organic light-emitting diode (OLED) were studied by etching away a few tens of nanometers off the ITO surface with a dilute aquaregia solution of HNO 3 :HCl:H 2 O. A single-layer, molecularly doped ITO/(PVK+TPD+Alq 3 )/Al OLEDs were fabricated on bare and etched ITO samples. Although the removal of a 10-nm layer of ITO surface increased the voltage range, brightness, and lifetime, it was insufficient to correlate these improvements with solely to the Na ion reduction without considering the surface roughness. (orig.)

  9. The effects of sodium in ITO by pulsed laser deposition on organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Yong, Thian Khok [Multimedia University, Faculty of Engineering, Cyberjaya, Selangor (Malaysia); Universiti Tunku Abdul Rahman, Faculty of Engineering and Science, Kuala Lumpur (Malaysia); Kee, Yeh Yee; Tan, Sek Sean; Siew, Wee Ong; Tou, Teck Yong [Multimedia University, Faculty of Engineering, Cyberjaya, Selangor (Malaysia); Yap, Seong Shan [Multimedia University, Faculty of Engineering, Cyberjaya, Selangor (Malaysia); Norwegian University of Science and Technology, Department of Physics, Trondheim (Norway)

    2010-12-15

    The depth profile of ITO on glass was measured by the time-of-flight secondary ion mass spectroscopy (TOFSIMS) which revealed high sodium (Na) ion concentration at the ITO surface as well as at the ITO-glass interface as a result of out diffusion with substrate heating. Effects of Na ions on the performance of organic light-emitting diode (OLED) were studied by etching away a few tens of nanometers off the ITO surface with a dilute aquaregia solution of HNO{sub 3}:HCl:H{sub 2}O. A single-layer, molecularly doped ITO/(PVK+TPD+Alq{sub 3})/Al OLEDs were fabricated on bare and etched ITO samples. Although the removal of a 10-nm layer of ITO surface increased the voltage range, brightness, and lifetime, it was insufficient to correlate these improvements with solely to the Na ion reduction without considering the surface roughness. (orig.)

  10. Electrical and optical properties of indium tin oxide/epoxy composite film

    International Nuclear Information System (INIS)

    Guo Xia; Guo Chun-Wei; Chen Yu; Su Zhi-Ping

    2014-01-01

    The electrical and optical properties of the indium tin oxide (ITO)/epoxy composite exhibit dramatic variations as functions of the ITO composition and ITO particle size. Sharp increases in the conductivity in the vicinity of a critical volume fraction have been found within the framework of percolation theory. A conductive and insulating transition model is extracted by the ITO particle network in the SEM image, and verified by the resistivity dependence on the temperature. The dependence of the optical transmittance on the particle size was studied. Further decreasing the ITO particle size could further improve the percolation threshold and light transparency of the composite film. (condensed matter: structural, mechanical, and thermal properties)

  11. Light-emitting diodes based on solution-processed nontoxic quantum dots: oxides as carrier-transport layers and introducing molybdenum oxide nanoparticles as a hole-inject layer.

    Science.gov (United States)

    Bhaumik, Saikat; Pal, Amlan J

    2014-07-23

    We report fabrication and characterization of solution-processed quantum dot light-emitting diodes (QDLEDs) based on a layer of nontoxic and Earth-abundant zinc-diffused silver indium disulfide (AIZS) nanoparticles as an emitting material. In the QDLEDs fabricated on indium tin oxide (ITO)-coated glass substrates, we use layers of oxides, such as graphene oxide (GO) and zinc oxide (ZnO) nanoparticles as a hole- and electron-transport layer, respectively. In addition, we introduce a layer of MoO3 nanoparticles as a hole-inject one. We report a comparison of the characteristics of different device architectures. We show that an inverted device architecture, ITO/ZnO/AIZS/GO/MoO3/Al, yields a higher electroluminescence (EL) emission, compared to direct ones, for three reasons: (1) the GO/MoO3 layers introduce barriers for electrons to reach the Al electrode, and, similarly, the ZnO layers acts as a barrier for holes to travel to the ITO electrode; (2) the introduction of a layer of MoO3 nanoparticles as a hole-inject layer reduces the barrier height for holes and thereby balances charge injection in the inverted structure; and (3) the wide-bandgap zinc oxide next to the ITO electrode does not absorb the EL emission during its exit from the device. In the QDLEDs with oxides as carrier inject and transport layers, the EL spectrum resembles the photoluminescence emission of the emitting material (AIZS), implying that excitons are formed in the quaternary nanocrystals and decay radiatively.

  12. Effects of Y incorporation in TaON gate dielectric on electrical performance of GaAs metal-oxide-semiconductor capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Li Ning; Choi, Hoi Wai; Lai, Pui To [Department of Electrical and Electronic Engineering, The University of Hong Kong (China); Xu, Jing Ping [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan (China)

    2016-09-15

    In this study, GaAs metal-oxide-semiconductor (MOS) capacitors using Y-incorporated TaON as gate dielectric have been investigated. Experimental results show that the sample with a Y/(Y + Ta) atomic ratio of 27.6% exhibits the best device characteristics: high k value (22.9), low interfacestate density (9.0 x 10{sup 11} cm{sup -2} eV{sup -1}), small flatband voltage (1.05 V), small frequency dispersion and low gate leakage current (1.3 x 10{sup -5}A/cm{sup 2} at V{sub fb} + 1 V). These merits should be attributed to the complementary properties of Y{sub 2}O{sub 3} and Ta{sub 2}O{sub 5}:Y can effectively passivate the large amount of oxygen vacancies in Ta{sub 2}O{sub 5}, while the positively-charged oxygen vacancies in Ta{sub 2}O{sub 5} are capable of neutralizing the effects of the negative oxide charges in Y{sub 2}O{sub 3}. This work demonstrates that an appropriate doping of Y content in TaON gate dielectric can effectively improve the electrical performance for GaAs MOS devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Rapid thermal processing of nano-crystalline indium tin oxide transparent conductive oxide coatings on glass by flame impingement technology

    International Nuclear Information System (INIS)

    Schoemaker, S.; Willert-Porada, M.

    2009-01-01

    Indium tin oxide (ITO) is still the best suited material for transparent conductive oxides, when high transmission in the visible range, high infrared reflection or high electrical conductivity is needed. Current approaches on powder-based printable ITO coatings aim at minimum consumption of active coating and low processing costs. The paper describes how fast firing by flame impingement is used for effective sintering of ITO-coatings applied on glass. The present study correlates process parameters of fast firing by flame impingement with optoelectronic properties and changes in the microstructure of suspension derived nano-particulate films. With optimum process parameters the heat treated coatings had a sheet resistance below 0.5 kΩ/ □ combined with a transparency higher than 80%. To characterize the influence of the burner type on the process parameters and the coating functionality, two types of methane/oxygen burner were compared: a diffusion burner and a premixed burner

  14. Electrical and photocatalytic properties of boron-doped ZnO nanostructure grown on PET-ITO flexible substrates by hydrothermal method

    Science.gov (United States)

    Wang, Wei; Ai, Taotao; Yu, Qi

    2017-02-01

    Boron-doped zinc oxide sheet-spheres were synthesized on PET-ITO flexible substrates using a hydrothermal method at 90 °C for 5 h. The results of X-ray diffraction and X-ray photoelectron spectroscopy indicated that the B atoms were successfully doped into the ZnO lattice, the incorporation of B led to an increase in the lattice constant of ZnO and a change in its internal stress. The growth mechanism of pure ZnO nanorods and B-doped ZnO sheet-spheres was specifically investigated. The as-prepared BZO/PET-ITO heterojunction possessed obvious rectification properties and its positive turn-on voltage was 0.4 V. The carrier transport mechanisms involved three models such as hot carrier tunneling theory, tunneling recombination, and series-resistance effect were explored. The BZO/PET-ITO nanostructures were more effective than pure ZnO to degrade the RY 15, and the degradation rate reached 41.45%. The decomposition process with BZO nanostructure followed first-order reaction kinetics. The photocurrent and electrochemical impedance spectroscopy revealed that the B-doping could promote the separation of photo-generated electron-hole pairs, which was beneficial to enhance the photocatalytic activity. The photocurrent density of B-doped and pure ZnO/PET-ITO were 0.055 mA/cm2 and 0.016 mA/cm2, respectively. The photocatalytic mechanism of the sample was analyzed by the energy band theory.

  15. Electrochemical impedance spectroscopy investigation on indium tin oxide films under cathodic polarization in NaOH solution

    International Nuclear Information System (INIS)

    Gao, Wenjiao; Cao, Si; Yang, Yanze; Wang, Hao; Li, Jin; Jiang, Yiming

    2012-01-01

    The electrochemical corrosion behaviors of indium tin oxide (ITO) films under the cathodic polarization in 0.1 M NaOH solution were investigated by electrochemical impedance spectroscopy. The as-received and the cathodically polarized ITO films were characterized by scanning electron microscopy, energy dispersive X-ray spectroscopy and X-ray diffraction for morphological, compositional and structural studies. The results showed that ITO films underwent a corrosion process during the cathodic polarization and the main component of the corrosion products was body-centered cubic indium. The electrochemical impedance parameters were related to the effect of the cathodic polarization on the ITO specimens. The capacitance of ITO specimens increased, while the charge transfer resistance and the inductance decreased with the increase of the polarization time. The proposed mechanism indicated that the corrosion products (metallic indium) were firstly formed during the cathodic polarization and then absorbed on the surface of the ITO film. As the surface was gradually covered by indium particles, the corrosion process was suppressed. - Highlights: ► Cathodic polarization of indium tin oxide (ITO) in 0.1 M NaOH. ► Cathodic polarization studied with electrochemical impedance spectroscopy. ► ITO underwent a corrosion attack during cathodic polarization, indium was observed. ► Electrochemical parameters of ITO were obtained using equivalent electrical circuit. ► A corrosion mechanism is proposed.

  16. Improvement of the effective work function and transmittance of thick indium tin oxide/ultrathin ruthenium doped indium oxide bilayers as transparent conductive oxide

    International Nuclear Information System (INIS)

    Taweesup, Kattareeya; Yamamoto, Ippei; Chikyow, Toyohiro; Lothongkum, Gobboon; Tsukagoshi, Kazutoshi; Ohishi, Tomoji; Tungasmita, Sukkaneste; Visuttipitukul, Patama; Ito, Kazuhiro; Takahashi, Makoto; Nabatame, Toshihide

    2016-01-01

    Ruthenium doped indium oxide (In_1_−_xRu_xO_y) films fabricated using DC magnetron co-sputtering with In_2O_3 and Ru targets were investigated for use as transparent conductive oxides. The In_1_−_xRu_xO_y films had an amorphous structure in the wide compositional range of x = 0.3–0.8 and had an extremely smooth surface. The transmittance and resistivity of the In_1_−_xRu_xO_y films increased as the Ru content increased. The transmittance of the In_0_._3_8Ru_0_._6_2O_y film improved to over 80% when the film thickness was less than 5 nm, while the specific resistivity (ρ) was kept to a low value of 1.6 × 10"−"4 Ω cm. Based on these experimental data, we demonstrated that thick indium tin oxide (In_0_._9Sn_0_._1O_y, ITO) (150 nm)/ultrathin In_0_._3_8Ru_0_._6_2O_y (3 nm) bilayers have a high effective work function of 5.3 eV, transmittance of 86%, and low ρ of 9.2 × 10"−"5 Ω cm. This ITO/In_0_._3_8Ru_0_._6_2O_y bilayer is a candidate for use as an anode for organic electroluminescent devices. - Highlights: • We investigated characteristics of thick ITO/ultrathin Ru doped In_2O_3 bilayers. • Effect of Ru addition in In_2O_3 results in smooth surface because of an amorphous structure. • The In_0_._3_8Ru_0_._6_2O_y film with less than 5 nm improves to high transmittance over 80%. • ITO/In_0_._3_8Ru_0_._6_2O_y bilayer has a high effective work function of 5.3 eV. • We conclude that ITO/ultrathin In_0_._3_8Ru_0_._6_2O_y bilayer is a candidate as an anode of OEL.

  17. Limits of ZnO Electrodeposition in Mesoporous Tin Doped Indium Oxide Films in View of Application in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Christian Dunkel

    2014-04-01

    Full Text Available Well-ordered 3D mesoporous indium tin oxide (ITO films obtained by a templated sol-gel route are discussed as conductive porous current collectors. This paper explores the use of such films modified by electrochemical deposition of zinc oxide (ZnO on the pore walls to improve the electron transport in dye-sensitized solar cells (DSSCs. Mesoporous ITO film were dip-coated with pore sizes of 20–25 nm and 40–45 nm employing novel poly(isobutylene-b-poly(ethylene oxide block copolymers as structure-directors. After electrochemical deposition of ZnO and sensitization with the indoline dye D149 the films were tested as photoanodes in DSSCs. Short ZnO deposition times led to strong back reaction of photogenerated electrons from non-covered ITO to the electrolyte. ITO films with larger pores enabled longer ZnO deposition times before pore blocking occurred, resulting in higher efficiencies, which could be further increased by using thicker ITO films consisting of five layers, but were still lower compared to nanoporous ZnO films electrodeposited on flat ITO. The major factors that currently limit the application are the still low thickness of the mesoporous ITO films, too small pore sizes and non-ideal geometries that do not allow obtaining full coverage of the ITO surface with ZnO before pore blocking occurs.

  18. Limits of ZnO Electrodeposition in Mesoporous Tin Doped Indium Oxide Films in View of Application in Dye-Sensitized Solar Cells

    Science.gov (United States)

    Dunkel, Christian; von Graberg, Till; Smarsly, Bernd M.; Oekermann, Torsten; Wark, Michael

    2014-01-01

    Well-ordered 3D mesoporous indium tin oxide (ITO) films obtained by a templated sol-gel route are discussed as conductive porous current collectors. This paper explores the use of such films modified by electrochemical deposition of zinc oxide (ZnO) on the pore walls to improve the electron transport in dye-sensitized solar cells (DSSCs). Mesoporous ITO film were dip-coated with pore sizes of 20–25 nm and 40–45 nm employing novel poly(isobutylene)-b-poly(ethylene oxide) block copolymers as structure-directors. After electrochemical deposition of ZnO and sensitization with the indoline dye D149 the films were tested as photoanodes in DSSCs. Short ZnO deposition times led to strong back reaction of photogenerated electrons from non-covered ITO to the electrolyte. ITO films with larger pores enabled longer ZnO deposition times before pore blocking occurred, resulting in higher efficiencies, which could be further increased by using thicker ITO films consisting of five layers, but were still lower compared to nanoporous ZnO films electrodeposited on flat ITO. The major factors that currently limit the application are the still low thickness of the mesoporous ITO films, too small pore sizes and non-ideal geometries that do not allow obtaining full coverage of the ITO surface with ZnO before pore blocking occurs. PMID:28788618

  19. Over 2 Years of Outdoor Operational and Storage Stability of ITO-free, Fully Roll-to-Roll Fabricated Polymer Solar Cell Modules

    DEFF Research Database (Denmark)

    Angmo, Dechan; Krebs, Frederik C

    2015-01-01

    We report on the stability of large-area (100 cm2), low-cost, indium-tin-oxide (ITO)-free modules over two years (>17 500 h) under outdoor operational conditions in Denmark and under indoor storage condition by following ISOS-O-3 and ISOS-D-2 protocols. Irrespective of the testing regimes (storage...

  20. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  1. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  2. Influence of the sputtering system's vacuum level on the properties of indium tin oxide films

    International Nuclear Information System (INIS)

    Zebaze Kana, M.G.; Centurioni, E.; Iencinella, D.; Summonte, C.

    2006-01-01

    The influence of the chamber residual pressure level in the radio frequency magnetron sputtering process on the electrical, optical and structural properties of indium thin oxide (ITO) is investigated. Several ITO films were deposited at various residual pressure levels on Corning glass using In 2 O 3 :SnO 2 target in argon atmosphere and without the addition of oxygen partial pressure. It is found that a very good vacuum is associated to metallic films and results in less transparent ITO films, with some powder formation on the surface. On the contrary highly transparent and conducting films are produced at a higher residual pressure. The best deposition conditions are addressed for ITO films as transparent conducting oxide layers in silicon heterojunction solar cells. Using the optimal vacuum level for ITO fabrication, a maximum short circuit current of 36.6 mA/cm 2 and a fill-factor of 0.78 are obtained for solar cells on textured substrates with a device conversion efficiency of 16.2%

  3. Genotoxicity of indium tin oxide by comet test

    Directory of Open Access Journals (Sweden)

    İbrahim Hakkı Ciğerci

    2015-06-01

    Full Text Available Indium tin oxide (ITO is used for liquid crystal display (LCDs, electrochromic displays, flat panel displays, field emission displays, touch or laptop computer screens, cell phones, energy conserving architectural windows, defogging aircraft and automobile windows, heat-reflecting coatings to increase light bulb efficiency, gas sensors, antistatic window coatings, wear resistant layers on glass, nanowires and nanorods because of its unique properties of high electrical conductivity, transparency and mechanical resistance.Genotoxic effects of ITO were investigated on the root cells of Allium cepa by Comet assay. A. cepa roots were treated with the aqueous dispersions of ITO at 5 different concentrations (12.5, 25, 50, 75, and 100 ppm for 4 h. A significant increase in DNA damage was a observed at all concentrations of ITO by Comet assay. These result indicate that ITO exhibit genotoxic activity in A. cepa root meristematic cells.

  4. Effect of Pedot-Pss on Electrical and Photovoltaic Properties of ITO/MEH-PPV:PCBM/Al Organic Diodes

    International Nuclear Information System (INIS)

    Gunduz, B.

    2008-01-01

    The photovoltaic and electrical properties of ITO/MEH-PPV:PCBM/Al and ITO/PEDOT-PSS/MEHPPV:PCBM/Al organic diodes have been investigated. The ideality factor, series resistance and shunt resistance values of ITO/MEH-PPV:PCBM/Al and ITO/PEDOT-PSS/MEHPPV:PCBM/Al diodes were found to be 4.6, 6.84x10 6 Ω, 2.2x10 8 Ω and 4.02, 5.8x10 5 Ω, 2x10 7 Ω respectively. The electronic parameters of the ITO/MEH-PPV:PCBM/Al diode were improved using PEDOT-PSS conducting polymer. ITO/MEH-PPV:PCBM/Al and ITO/PEDOT-PSS/MEHPPV:PCBM/Al organic diodes indicate a photovoltaic behaviour with a maximum open circuit voltage V o c and short-circuit current I s c. The photoconductivity sensitivity and responsivity properties of the organic diodes have been characterized by transient-current measurements. The obtained electrical and photovoltaic results indicate that ITO/MEH-PPV:PCBM/Al and ITO/PEDOT-PSS/MEHPPV:PCBM/Al structures are the organic photodiodes with calculated electronic parameters and the electrical properties of the ITO/MEH-PPV:PCBM/Al diode have been improved with PEDOT-PSS conducting polymer

  5. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices.

    Science.gov (United States)

    Black, Jennifer M; Come, Jeremy; Bi, Sheng; Zhu, Mengyang; Zhao, Wei; Wong, Anthony T; Noh, Joo Hyon; Pudasaini, Pushpa R; Zhang, Pengfei; Okatan, Mahmut Baris; Dai, Sheng; Kalinin, Sergei V; Rack, Philip D; Ward, Thomas Zac; Feng, Guang; Balke, Nina

    2017-11-22

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal-insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment and theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.

  6. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    Science.gov (United States)

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  7. High efficiency bifacial Cu2ZnSnSe4 thin-film solar cells on transparent conducting oxide glass substrates

    Directory of Open Access Journals (Sweden)

    Jung-Sik Kim

    2016-09-01

    Full Text Available In this work, transparent conducting oxides (TCOs have been employed as a back contact instead of Mo on Cu2ZnSnSe4 (CZTSe thin-film solar cells in order to examine the feasibility of bifacial Cu2ZnSn(S,Se4 (CZTSSe solar cells based on a vacuum process. It is found that the interfacial reaction between flourine doped tin oxide (FTO or indium tin oxide (ITO and the CZTSe precursor is at odds with the conventional CZTSe/Mo reaction. While there is no interfacial reaction on CZTSe/FTO, indium in CZTSe/ITO was significantly diffused into the CZTSe layers; consequently, a SnO2 layer was formed on the ITO substrate. Under bifacial illumination, we achieved a power efficiency of 6.05% and 4.31% for CZTSe/FTO and CZTSe/ITO, respectively.

  8. Influence of sputtering power on the optical properties of ITO thin films

    Energy Technology Data Exchange (ETDEWEB)

    K, Aijo John; M, Deepak, E-mail: manju.thankamoni@gmail.com; T, Manju, E-mail: manju.thankamoni@gmail.com [Department of Physics, Sree Sankara College, Kalady P. O., Ernakulam Dist., Kerala (India); Kumar, Vineetha V. [Dept. of Physics, K. E. College, Mannanam, Kottayam Dist., Kerala (India)

    2014-10-15

    Tin doped indium oxide films are widely used in transparent conducting coatings such as flat panel displays, crystal displays and in optical devices such as solar cells and organic light emitting diodes due to the high electrical resistivity and optical transparency in the visible region of solar spectrum. The deposition parameters have a commendable influence on the optical and electrical properties of the thin films. In this study, ITO thin films were prepared by RF magnetron sputtering. The properties of the films prepared under varying sputtering power were compared using UV- visible spectrophotometry. Effect of sputtering power on the energy band gap, absorption coefficient and refractive index are investigated.

  9. Design and characterization of Ga-doped indium tin oxide films for pixel electrode in liquid crystal display

    International Nuclear Information System (INIS)

    Choi, J.H.; Kang, S.H.; Oh, H.S.; Yu, T.H.; Sohn, I.S.

    2013-01-01

    Indium tin oxide (ITO) thin films doped with various metal atoms were investigated in terms of phase transition behavior and electro-optical properties for the purpose of upgrading ITO and indium zinc oxide (IZO) films, commonly used for pixel electrodes in flat panel displays. We explored Ce, Mg, Zn, and Ga atoms as dopants to ITO by the co-sputtering technique, and Ga-doped ITO films (In:Sn:Ga = 87.4:6.7:5.9 at.%) showed the phase transition behavior at 210 °C within 20 min with high visible transmittance of 91% and low resistivity of 0.22 mΩ cm. The film also showed etching rate similar to amorphous ITO, and no etching residue on glass surfaces. These results were confirmed with the film formed from a single Ga-doped ITO target with slightly different compositions (In:Sn:Ga = 87:9:4 at.%). Compared to the ITO target, Ga-doped ITO target left 1/4 less nodules on the target surface after sputtering. These results suggest that Ga-doped ITO films could be an excellent alternative to ITO and IZO for pixel electrodes in thin film transistor liquid crystal display (TFT-LCD). - Highlights: ► We report Ga-doped In–Sn–O films for a pixel electrode in liquid crystal display. ► Ga-doped In–Sn–O films show phase transition behavior at 210 °C. ► Ga-doped In–Sn–O films show high wet etchability and low resistivity

  10. Poly(aniline) nanowires in sol-gel coated ITO: A pH-responsive substrate for planar supported lipid bilayers

    Science.gov (United States)

    Ge, Chenhao; Orosz, Kristina S.; Armstrong, Neal R.; Saavedra, S. Scott

    2011-01-01

    Facilitated ion transport across an artificial lipid bilayer coupled to a solid substrate is a function common to several types of bioelectronic devices based on supported membranes, including biomimetic fuel cells and ion channel biosensors. Described here is fabrication of a pH-sensitive transducer composed of a porous sol-gel layer derivatized with poly(aniline) (PANI) nanowires grown from an underlying planar indium-tin oxide (ITO) electrode. The upper sol-gel surface is hydrophilic, smooth, and compatible with deposition of a planar supported lipid bilayer (PSLB) formed via vesicle fusion. Conducting tip AFM was used to show that the PANI wires are connected to the ITO, which convert this electrode into a potentiometric pH sensor. The response to changes in the pH of the buffer contacting the PANI nanowire/sol-gel/ITO electrode is blocked by the very low ion permeability of the overlying, fluid PSLB. The feasibility of using this assembly to monitor facilitated proton transport across the PSLB was demonstrated by doping the membrane with lipophilic ionophores that respond to a transmembrane pH gradient, which produced an apparent proton permeability several orders of magnitude greater than values measured for undoped lipid bilayers. PMID:21707069

  11. Surface chemistry of carbon removal from indium tin oxide by base and plasma treatment, with implications on hydroxyl termination

    International Nuclear Information System (INIS)

    Chaney, John A.; Koh, Sharon E.; Dulcey, Charles S.; Pehrsson, Pehr E.

    2003-01-01

    The surface chemistry of carbon removal from indium tin oxide (ITO) has been investigated with Auger electron spectroscopy (AES), high-resolution electron energy loss spectroscopy (HREELS), and high-resolution energy loss spectroscopy (HR-ELS). A vibrating Kelvin probe (KP) was used to monitor the work function (PHI) of ITO after cleaning, either by base-cleaning with alcoholic-KOH or by O 2 plasma-cleaning. Base-cleaning lowered PHI ITO as seen in the KP analysis, whereas plasma-cleaning slightly increased PHI ITO by an oxidative process. The degree of PHI ITO depression by base-cleaning was seen to depend on the initial surface, but the PHI depression itself was nonreductive to the ITO, as seen in the In-MNN AES lineshape. The nonreductive depression of PHI ITO by base-cleaning was further supported by a constant charge carrier density, as estimated from the HR-ELS. Base-cleaning was slightly more effective than the oxygen plasma in removing carbon from the ITO surface. However, base-cleaning preferentially removed graphitic carbon while leaving significant hydrocarbon contaminants, as determined by vibrational analysis with HREELS. All other ITO surfaces retained a significant carbon and hydrocarbon contamination as evidenced by AES and HREELS. There was little evidence of the formation of surface hydroxyl species, as expected for such an inherently contaminated surface as ITO

  12. Bilayered Oxide thin films for transparent electrode application

    Science.gov (United States)

    Dutta, Titas; Narayan, Jagdish

    2008-10-01

    Ga doped ZnO films with electrical and optical properties comparable to indium tin oxide (ITO) is a promising candidate for transparent conducting oxides (TCOs) because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function, which is a critical parameter for device applications. We report here the growth of a novel bilayered structure consisting of very thin (few monolayers) ITO, MoOx layer on Zn0.95Ga0.05O film for transparent electrode applications by using pulsed laser deposition technique at different temperatures and oxygen partial pressure. The characteristics of the ITO film and the heterostructure have been investigated in detail using XRD, TEM, XPS, and electrical and optical property measurements. It is envisaged that the overall transmittance and the resistivity are dictated by the thicker layer of ZnGa0.05O beneath the ITO layer. Hence, this study is aimed to improve the surface characteristics without affecting the overall transmittance and sheet resistance. This will enhance the transport of the carriers across the heterojunction in the device, thus, resulting in the increase in device efficiency.

  13. Crystallization and electrical properties of ITO:Ce thin films for flat panel display applications

    International Nuclear Information System (INIS)

    Kim, Se Il; Cho, Sang Hyun; Choi, Sung Ryong; Oh, Min Cheol; Jang, Ji Hyang; Song, Pung Keun

    2009-01-01

    ITO and ITO:Ce films were deposited by DC magnetron sputtering using an ITO (SnO 2 : 10 wt.%) target and CeO 2 doped ITO (CeO 2 : 0.5, 3.0, 4.0 and 6.0 wt.%) ceramic targets, respectively, on unheated non-alkali glass substrates (corning E2000). The as-deposited films were annealed at 200 o C in an Ar atmosphere at a pressure of 1 Pa. The crystallization temperature of the ITO film was increased by introducing Ce atoms because they decrease the level of crystallinity. It was also confirmed that the etching rate, surface morphology and work function were improved by the addition of Ce atoms despite there being increased resistivity. The current voltage (I-V) characteristics of the OLED devices deteriorated with increasing Ce content in the ITO anode, which was attributed to a decrease in carrier density despite there being a high work function. Therefore, the carrier density is one of the most important factors that determine the turn-on voltage for OLED applications.

  14. Optical and electro-catalytic properties of bundled ZnO nanowires grown on a ITO substrate

    International Nuclear Information System (INIS)

    Xia Cao; Wang Ning; Wang Long

    2010-01-01

    Bundled wurtzite zinc oxide (ZnO) nanowires were fabricated in a facile manner on an ITO-conducting substrate via a microemulsion route without using any hard template or external electric/magnetic field. Structure and properties of the as-prepared ZnO electrode were investigated using scanning electron microscopy, X-ray diffraction, photoluminescence, Raman spectroscopy, as well as electrochemical tests. The ZnO electrode shows excellent optical and electrocatalytic ability, which may find further applications such as optoelectronics or as sensors as well as other modern industrial areas.

  15. Very high efficiency phosphorescent organic light-emitting devices by using rough indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yingjie; Aziz, Hany, E-mail: h2aziz@uwaterloo.ca [Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2014-07-07

    The efficiency of organic light-emitting devices (OLEDs) is shown to significantly depend on the roughness of the indium tin oxide (ITO) anode. By using rougher ITO, light trapped in the ITO/organic wave-guided mode can be efficiently extracted, and a light outcoupling enhancement as high as 40% is achieved. Moreover, contrary to expectations, the lifetime of OLEDs is not affected by ITO roughness. Finally, an OLED employing rough ITO anode that exhibits a current efficiency of 56 cd/A at the remarkably high brightness of 10{sup 5} cd/m{sup 2} is obtained. This represents the highest current efficiency at such high brightness to date for an OLED utilizing an ITO anode, without any external light outcoupling techniques. The results demonstrate the significant efficiency benefits of using ITO with higher roughness in OLEDs.

  16. Very high efficiency phosphorescent organic light-emitting devices by using rough indium tin oxide

    International Nuclear Information System (INIS)

    Zhang, Yingjie; Aziz, Hany

    2014-01-01

    The efficiency of organic light-emitting devices (OLEDs) is shown to significantly depend on the roughness of the indium tin oxide (ITO) anode. By using rougher ITO, light trapped in the ITO/organic wave-guided mode can be efficiently extracted, and a light outcoupling enhancement as high as 40% is achieved. Moreover, contrary to expectations, the lifetime of OLEDs is not affected by ITO roughness. Finally, an OLED employing rough ITO anode that exhibits a current efficiency of 56 cd/A at the remarkably high brightness of 10 5  cd/m 2 is obtained. This represents the highest current efficiency at such high brightness to date for an OLED utilizing an ITO anode, without any external light outcoupling techniques. The results demonstrate the significant efficiency benefits of using ITO with higher roughness in OLEDs.

  17. Cleaning Challenges of High-κ/Metal Gate Structures

    KAUST Repository

    Hussain, Muhammad Mustafa; Shamiryan, Denis G.; Paraschiv, Vasile; Sano, Kenichi; Reinhardt, Karen A.

    2010-01-01

    High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.

  18. Cleaning Challenges of High-κ/Metal Gate Structures

    KAUST Repository

    Hussain, Muhammad Mustafa

    2010-12-20

    High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.

  19. Surface Modification of Indium Tin Oxide Nanoparticles to Improve Its Distribution in Epoxy-Silica Polymer Matrix

    Directory of Open Access Journals (Sweden)

    Mostafa Jafari

    2014-10-01

    Full Text Available A semiconducting nanoparticle indium tin oxide (ITO was modified with silane groups and for this purpose trimethoxysilane (TMOS precursor was used under specific experimental conditions for surface modification of ITO nanoparticles. It is found that the modification of ITO nanoparticles increases the interactions between the filler and the matrix and subsequently improves the distibution of indium tin oxide nanoparticles in the polymer matrix. The epoxisilica polymer matrix was produced using trimethoxysilane and 3-glycidyloxypropyl trimethoxysilane precursors and ethylenediamine (EDA as curing agent at low temperature by sol-gel process. The sol-gel process was very useful due to its easily controllable process, solution concentration and homogeneity without using expensive and complicated equipments in comparison with other methods. Then, Fourier transform infrared (FTIR spectroscopy was employed to study the formation of Si-O-Si and Si-OH groups on ITO nanoparticles. X-Ray diffraction (XRD technique and thermal gravimetric analysis (TGA were employed to investigate the modification and weight loss of the modified ITO, respectively, as an indication of the presence of organic groups on these nanoparticles. The separation analyzer tests were performed to check the stability of the nanoparticles suspension and it revealed that due to better interaction of nanoparticles with the polymer matrix the stability of modified ITO suspention is higher than the unmodified sample. The morphology and particle distribution were determined by scanning electron microscopy (SEM. It was found that the distibution of modified indium tin oxide in epoxy-silica polymer matrix was improved in comparison with pure ITO.

  20. Light trapping in a-Si/c-Si heterojunction solar cells by embedded ITO nanoparticles at rear surface

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Mitra, Suchismita; Ghosh, Hemanta; Mukherjee, Sampad; Banerjee, Chandan; Saha, Hiranmoy; Barua, A. K.

    2017-12-01

    The advantages of the amorphous silicon (a-Si)/crystalline silicon (c-Si) hetero junction technology are low temperature (oxide (ITO) nanoparticles embedded in amorphous silicon material at the rear side of the crystalline wafer. The nanoparticles were embedded in silicon to have higher scattering efficiency, as has been established by simulation studies. It has been shown that significant photocurrent enhancements (32.8 mA cm-2 to 35.1 mA cm-2) are achieved because of high scattering and coupling efficiency of the embedded nanoparticles into the silicon device, leading to an increase in efficiency from 13.74% to 15.22%. In addition, we have observed a small increase in open circuit voltage. This may be due to the surface passivation during the ITO nanoparticle formation with hydrogen plasma treatment. We also support our experimental results by simulation, with the help of a commercial finite-difference time-domain (FDTD) software solution.

  1. Global DNA methylation and oxidative stress biomarkers in workers exposed to metal oxide nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Liou, Saou-Hsing; Wu, Wei-Te; Liao, Hui-Yi [National Institute of Environmental Health Sciences, National Health Research Institutes, Zhunan, Miaoli County, Taiwan (China); Chen, Chao-Yu; Tsai, Cheng-Yen; Jung, Wei-Ting [Department of Chemistry, Fu Jen Catholic University, New Taipei City, Taiwan (China); Lee, Hui-Ling, E-mail: huilinglee3573@gmail.com [Department of Chemistry, Fu Jen Catholic University, New Taipei City, Taiwan (China)

    2017-06-05

    Highlights: • Global methylation and oxidative DNA damage levels in nanomaterial handling workers were assessed. • 8-isoprostane in exhaled breath condensate of workers exposed to nanoparticles was higher. • 8-OHdG was negatively correlated with global methylation. • Exposure to metal oxide nanoparticles may lead to global methylation and DNA oxidative damage. - Abstract: This is the first study to assess global methylation, oxidative DNA damage, and lipid peroxidation in workers with occupational exposure to metal oxide nanomaterials (NMs). Urinary and white blood cell (WBC) 8-hydroxydeoxyguanosine (8-OHdG), and exhaled breath condensate (EBC) 8-isoprostane were measured as oxidative stress biomarkers. WBC global methylation was measured as an epigenetic alteration. Exposure to TiO{sub 2}, SiO{sub 2,} and indium tin oxide (ITO) resulted in significantly higher oxidative biomarkers such as urinary 8-OHdG and EBC 8-isoprostane. However, significantly higher WBC 8-OHdG and lower global methylation were only observed in ITO handling workers. Significant positive correlations were noted between WBC and urinary 8-OHdG (Spearman correlation r = 0.256, p = 0.003). Furthermore, a significant negative correlation was found between WBC 8-OHdG and global methylation (r = −0.272, p = 0.002). These results suggest that exposure to metal oxide NMs may lead to global methylation, DNA oxidative damage, and lipid peroxidation.

  2. Analyzing Single-Event Gate Ruptures In Power MOSFET's

    Science.gov (United States)

    Zoutendyk, John A.

    1993-01-01

    Susceptibilities of power metal-oxide/semiconductor field-effect transistors (MOSFET's) to single-event gate ruptures analyzed by exposing devices to beams of energetic bromine ions while applying appropriate bias voltages to source, gate, and drain terminals and measuring current flowing into or out of each terminal.

  3. Surface energy for electroluminescent polymers and indium-tin-oxide

    International Nuclear Information System (INIS)

    Zhong Zhiyou; Yin Sheng; Liu Chen; Zhong Youxin; Zhang Wuxing; Shi Dufang; Wang Chang'an

    2003-01-01

    The contact angles on the thin films of poly[2-methoxy-5-(2'-ethylhexyloxy)-1,4-phenylenevinylene] (MEH-PPV) and indium-tin-oxide (ITO) were measured by the sessile-drop technique. The surface energies of the films were calculated using the Owens-Wendt (OW) and van Oss-Chaudhury-Good (vOCG) approaches. The overall total surface energies of MEH-PPV and the as-received ITO were 30.75 and 30.07 mJ/m 2 , respectively. Both approaches yielded almost the same surface energies. The surface energies were mainly contributed from the dispersion interactions or Lifshitz-van der Waals (LW) interactions for both MEH-PPV and ITO. The changes in the contact angles and surface energies of the ITO films, due to different solvent cleaning processes and oxygen plasma treatments, were analyzed. Experimental results revealed that the total surface energy of the ITO films increased after various cleaning processes. In comparison with different solvents used in this study, we found that methanol is an effective solvent for ITO cleaning, as a higher surface energy was observed. ITO films treated with oxygen plasma showed the highest surface energy. This work demonstrated that contact angle measurement is a useful method to diagnose the cleaning effect on ITO films

  4. Long-Term Synaptic Plasticity Emulated in Modified Graphene Oxide Electrolyte Gated IZO-Based Thin-Film Transistors.

    Science.gov (United States)

    Yang, Yi; Wen, Juan; Guo, Liqiang; Wan, Xiang; Du, Peifu; Feng, Ping; Shi, Yi; Wan, Qing

    2016-11-09

    Emulating neural behaviors at the synaptic level is of great significance for building neuromorphic computational systems and realizing artificial intelligence. Here, oxide-based electric double-layer (EDL) thin-film transistors were fabricated using 3-triethoxysilylpropylamine modified graphene oxide (KH550-GO) electrolyte as the gate dielectrics. Resulting from the EDL effect and electrochemical doping between mobile protons and the indium-zinc-oxide channel layer, long-term synaptic plasticity was emulated in our devices. Synaptic functions including long-term memory, synaptic temporal integration, and dynamic filters were successfully reproduced. In particular, spike rate-dependent plasticity (SRDP), one of the basic learning rules of long-term plasticity in the neural network where the synaptic weight changes according to the rate of presynaptic spikes, was emulated in our devices. Our results may facilitate the development of neuromorphic computational systems.

  5. Trap state passivation improved hot-carrier instability by zirconium-doping in hafnium oxide in a nanoscale n-metal-oxide semiconductor-field effect transistors with high-k/metal gate

    International Nuclear Information System (INIS)

    Liu, Hsi-Wen; Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Chang, Ting-Chang; Chen, Ching-En; Tseng, Tseung-Yuen; Lin, Chien-Yu; Cheng, Osbert; Huang, Cheng-Tung; Ye, Yi-Han

    2016-01-01

    This work investigates the effect on hot carrier degradation (HCD) of doping zirconium into the hafnium oxide high-k layer in the nanoscale high-k/metal gate n-channel metal-oxide-semiconductor field-effect-transistors. Previous n-metal-oxide semiconductor-field effect transistor studies demonstrated that zirconium-doped hafnium oxide reduces charge trapping and improves positive bias temperature instability. In this work, a clear reduction in HCD is observed with zirconium-doped hafnium oxide because channel hot electron (CHE) trapping in pre-existing high-k bulk defects is the main degradation mechanism. However, this reduced HCD became ineffective at ultra-low temperature, since CHE traps in the deeper bulk defects at ultra-low temperature, while zirconium-doping only passivates shallow bulk defects.

  6. Transparent heaters based on solution-processed indium tin oxide nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Im, Kiju [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-dong, Sungbuk-gu, Seoul 136-701 (Korea, Republic of); Research Institute of TNB Nanoelec Co. Ltd., Seoul 136-701 (Korea, Republic of); Cho, Kyoungah [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-dong, Sungbuk-gu, Seoul 136-701 (Korea, Republic of); Kim, Jonghyun [Research Institute of TNB Nanoelec Co. Ltd., Seoul 136-701 (Korea, Republic of); Kim, Sangsig, E-mail: sangsig@korea.ac.k [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-dong, Sungbuk-gu, Seoul 136-701 (Korea, Republic of)

    2010-05-03

    We demonstrate transparent heaters constructed on glass substrates using solution-processed indium tin oxide (ITO) nanoparticles (NPs) and their heating capability. The heat-generating characteristics of the heaters depended significantly on the sintering temperature at which the ITO NPs deposited on a glass substrate by spin-coating were transformed thermally into a solid film. The steady-state temperature of the ITO NP film sintered at 400 {sup o}C was 163 {sup o}C at a bias voltage of 20 V, and the defrosting capability of the film was confirmed by using dry-ice.

  7. Characteristics of a-IGZO/ITO hybrid layer deposited by magnetron sputtering.

    Science.gov (United States)

    Bang, Joon-Ho; Park, Hee-Woo; Cho, Sang-Hyun; Song, Pung-Keun

    2012-04-01

    Transparent a-IGZO (In-Ga-Zn-O) films have been actively studied for use in the fabrication of high-quality TFTs. In this study, a-IGZO films and a-IGZO/ITO double layers were deposited by DC magnetron sputtering under various oxygen flow rates. The a-IGZO films showed an amorphous structure up to 500 degrees C. The deposition rate of these films decreased with an increase in the amount of oxygen gas. The amount of indium atoms in the film was confirmed to be 11.4% higher than the target. The resistivity of double layer follows the rules for parallel DC circuits The maximum Hall mobility of the a-IGZO/ITO double layers was found to be 37.42 cm2/V x N s. The electrical properties of the double layers were strongly dependent on their thickness ratio. The IGZO/ITO double layer was subjected to compressive stress, while the ITO/IGZO double layer was subjected to tensile stress. The bending tolerance was found to depend on the a-IGZO thickness.

  8. Volatile and Nonvolatile Characteristics of Asymmetric Dual-Gate Thyristor RAM with Vertical Structure.

    Science.gov (United States)

    Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook

    2018-09-01

    In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.

  9. Low Reflectivity and High Flexibility of Tin-Doped Indium Oxide Nanofiber Transparent Electrodes

    KAUST Repository

    Wu, Hui

    2011-01-12

    Tin-doped indium oxide (ITO) has found widespread use in solar cells, displays, and touch screens as a transparent electrode; however, two major problems with ITO remain: high reflectivity (up to 10%) and insufficient flexibility. Together, these problems severely limit the applications of ITO films for future optoelectronic devices. In this communication, we report the fabrication of ITO nanofiber network transparent electrodes. The nanofiber networks show optical reflectivity as low as 5% and high flexibility; the nanofiber networks can be bent to a radius of 2 mm with negligible changes in the sheet resistance. © 2010 American Chemical Society.

  10. Indium--tin oxide films radio frequency sputtered from specially formulated high density indium--tin oxide targets

    International Nuclear Information System (INIS)

    Kulkarni, S.; Bayard, M.

    1991-01-01

    High density ITO (indium--tin oxide) targets doped with Al 2 O 3 and SiO 2 manufactured in the Tektronix Ceramics Division have been used to rf sputter ITO films of various thicknesses on borosilicate glass substrates. Sputtering in an oxygen--argon gas mixture and annealing in forming gas, resulted in ITO films exhibiting 90% transmission at 550 nm and a sheet resistance of 15 Ω/sq for a thickness of 1100 A. Sputtering in an oxygen--argon gas mixture and annealing in air increased sheet resistance without a large effect on the transmission. Films sputtered in argon gas alone were transparent in the visible and the sheet resistance was found to be 100--180 Ω/sq for the same thickness, without annealing

  11. Influence of thermal treatment in N{sub 2} atmosphere on chemical, microstructural and optical properties of indium tin oxide and nitrogen doped indium tin oxide rf-sputtered thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stroescu, H.; Anastasescu, M.; Preda, S.; Nicolescu, M.; Stoica, M. [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Stefan, N. [National Institute for Lasers, Plasma and Radiation Physics, Atomistilor 409, RO-77125, Bucharest-Magurele (Romania); Kampylafka, V.; Aperathitis, E. [FORTH-IESL, Crete (Greece); Modreanu, M. [Tyndall National Institute, University College Cork, Cork (Ireland); Zaharescu, M. [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Gartner, M., E-mail: mgartner@icf.ro [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania)

    2013-08-31

    We report the influence of the normal thermal treatment (TT) and of rapid thermal annealing (RTA) on the microstructural, optical and electrical properties of indium tin oxide (ITO) and nitrogen doped indium tin oxide (ITO:N) thin films. The TT was carried out for 1 h at 400 °C and the RTA for 1 min up to 400 °C, both in N{sub 2} atmosphere. The ITO and ITO:N films were deposited by reactive sputtering in Argon, and respectively Nitrogen plasma, on Si with (100) and (111) orientation. The present study brings data about the microstructural and optical properties of ITO thin films with thicknesses around 300–400 nm. Atomic Force Microscopy analysis showed the formation of continuous and homogeneous films, fully covered by quasi-spherical shaped particles, with higher roughness values on Si(100) as compared to Si(111). Spectroscopic ellipsometry allowed the determination of film thickness, optical band gap as well as of the dispersion curves of n and k optical constants. X-ray diffraction analysis revealed the presence of diffraction peaks corresponding to the same nominal bulk composition of ITO, but with different intensities and preferential orientation depending on the substrate, atmosphere of deposition and type of thermal treatment. - Highlights: ► Stability of the films can be monitored by experimental ellipsometric spectra. ► The refractive index of indium tin oxide film on 0.3–30 μm range is reported. ► Si(100) substrate induces rougher film surfaces than Si(111). ► Rapid thermal annealing and normal thermal treatment lead to stable conductive film. ► The samples have a higher preferential orientation after rapid thermal annealing.

  12. Measurement of core level and band offsets at the interface of ITO/Hg{sub 3}In{sub 2}Te{sub 6}(1 1 0) heterojunction by synchrotron radiation photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yapeng [State Key Laboratory of Solidification Processing, School of Materials Science and Engineering, Northwestern Polytechnical University, Xi’an 710072 (China); Fu, Li, E-mail: fuli@nwpu.edu.cn [State Key Laboratory of Solidification Processing, School of Materials Science and Engineering, Northwestern Polytechnical University, Xi’an 710072 (China); Sun, Jie [State Key Laboratory of Solidification Processing, School of Materials Science and Engineering, Northwestern Polytechnical University, Xi’an 710072 (China); Ibrahim, Kurash; Wang, Jia-ou [Laboratory of Synchrotron Radiation, Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100039 (China)

    2016-02-15

    Highlights: • The valence band maximum of ITO film and MIT were measured to be 1.6 eV and 0.6 eV, respectively. • The concentration of In element presented a trend of increasing first and then decreasing from MIT to ITO. • The valence band offsets of the ITO/MIT(1 1 0) heterojunction was confirmed to be a type-II band alignment phenomenon. - Abstract: The Indium Tin Oxide (ITO) film was deposited on the surface of Hg{sub 3}In{sub 2}Te{sub 6} (short for MIT) (1 1 0) for the fabrication of ITO/MIT(1 1 0) heterojunction by using the pulsed laser deposition method. In situ X-ray photoelectron spectroscopy was utilized to examine the band offsets and core level of ITO/MIT(1 1 0) heterojunctions. The result showed that the valence band maximum of ITO films and MIT(1 1 0) were 1.6 eV and 0.6 eV, respectively. Meanwhile, it was found that the binding energy of Te 3d, Sn 3d and Hg 4f remained unchanged during the ITO deposition process. However, the binding energy of O 1s and In 3d{sub 5/2} increased about 0.3 eV and 0.2 eV, respectively, with the thickness increasing of ITO film from 3.5 nm to 5 nm. This may due to the elements diffusion at the interface region during the film growing process. According to the core level spectrum, it can be speculated that no significant chemical reaction occurred at the interface of ITO/MIT(1 1 0). In addition, the valence band offset of the ITO/MIT(1 1 0) heterojunction can be calculated to be −1 ± 0.15 eV by the means of the photoelectron spectroscopy methods. The conduction band offset is deduced to be −3.96 ± 0.15 eV from the known valence band offset value, indicating that the band offsets of ITO/MIT(1 1 0) heterojunction is a type-II band alignment.

  13. Polycrystalline Mn-alloyed indium tin oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Schmidt, Heidemarie; Xu, Qingyu; Vinnichenko, Mykola; Kolitsch, Andreas; Helm, Manfred; Iacomi, Felicia

    2008-01-01

    Magnetic ITO films are interesting for integrating ITO into magneto-optoelectronic devices. We investigated n-conducting indium tin oxide (ITO) films with different Mn doping concentration which have been grown by chemical vapour deposition using targets with the atomic ratio In:Sn:Mn=122:12:0,114:12:7, and 109:12:13. The average film roughness ranges between 30 and 50 nm and XRD patterns revealed a polycrystalline structure. Magnetotransport measurements revealed negative magnetoresistance for all the samples, but high field positive MR can be clearly observed at 5 K with increasing Mn doping concentration. Spectroscopic ellipsometry (SE) has been used to prove the existence of midgap states in the Mn-alloyed ITO films revealing a transmittance less than 80%. A reasonable model for the ca. 250 nm thick Mn-alloyed ITO films has been developed to extract optical constants from SE data below 3 eV. Depending on the Mn content, a Lorentz oscillator placed between 1 and 2 eV was used to model optical absorption below the band gap

  14. Investigation of temperature stability of ITO films characteristics

    Directory of Open Access Journals (Sweden)

    Troyan Pavel

    2018-01-01

    Full Text Available The paper represents research of thermal stability of optical and electro-physical parameters of ITO films deposited using various techniques. Variation of optical and electro-physical parameters was recorded using spectroscopy, and Hall’s and four-probe measurements. The best thermal stability was demonstrated by ITO films deposited by metal target sputtering In(90%/Sn(10% in mixture of gases O2 (25% + Ar (75% with further annealing in air atmosphere. This enables to apply this technique for production of thin film transparent resistive elements capable to heat the translucent structures up to 100°C without deterioration of their parameters.

  15. Highly transparent and conductive double-layer oxide thin films as anodes for organic light-emitting diodes

    International Nuclear Information System (INIS)

    Yang Yu; Wang Lian; Yan He; Jin Shu; Marks, Tobin J.; Li Shuyou

    2006-01-01

    Double-layer transparent conducting oxide thin film structures containing In-doped CdO (CIO) and Sn-doped In 2 O 3 (ITO) layers were grown on glass by metal-organic chemical vapor deposition and ion-assisted deposition (IAD), respectively, and used as anodes for polymer light-emitting diodes (PLEDs). These films have a very low overall In content of 16 at. %. For 180-nm-thick CIO/ITO films, the sheet resistance is 5.6 Ω/□, and the average optical transmittance is 87.1% in the 400-700 nm region. The overall figure of merit (Φ=T 10 /R sheet ) of the double-layer CIO/ITO films is significantly greater than that of single-layer CIO, IAD-ITO, and commercial ITO films. CIO/ITO-based PLEDs exhibit comparable or superior device performance versus ITO-based control devices. CIO/ITO materials have a much lower sheet resistance than ITO, rendering them promising low In content electrode materials for large-area optoelectronic devices

  16. Single sheet iron oxides

    DEFF Research Database (Denmark)

    Yin, Zhou

    profile with reversible reduction and oxidation, suggesting the formation of FeII-OH/O-FeIII clusters as that in GRs were formed on the ITO electrode (trichloroethylene (TCE), tetrachloride (CT) and 4-chlorophenol are used to test...

  17. UV-sensitive optical sensors based on ITO-gallium phosphide heterojunctions

    Energy Technology Data Exchange (ETDEWEB)

    Malik, Oleksandr; Hidalga-Wade, F. Javier de la; Zuniga-Islas, Carlos; Abundis Patino, Jesus H. [National Institute for Astrophysics, Optics, and Electronics (INAOE), Puebla (Mexico)

    2010-04-15

    Design and characteristics of wide-band UV sensors based on ITO/GaP heterostructures are discussed. Such sensors have perfect electrical parameters and high UV-visible sensitivity in comparison with surface-barrier structures using a semi-transparent thin metal film as an electrode. Many applications require UV sensors with an effective rejection of visible radiation and a wide temperature operating interval. For this aim, the theoretical modelling of extreme selective optical sensors with a double Ag/ITO thin film on the GaP surface, in which the thin silver film serves as a narrow bandpass filter at 320 nm, has been conducted. With this modelling the optimal thickness combination for the silver and ITO films was found for the maximum rejection of the sensitivity to visible radiation (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    Science.gov (United States)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  19. The effect of gate length on SOI-MOSFETS operation | Baedi ...

    African Journals Online (AJOL)

    The effect of gate length on the operation of silicon-on-insulator (SOI) MOSFET structure with a layer of buried silicon oxide added to isolate the device body has been simulated. Three transistors with gate lengths of 100, 200 and 500 nm were simulated. Simulations showed that with a fixed channel length, when the gate ...

  20. Analysis of gate underlap channel double gate MOS transistor for electrical detection of bio-molecules

    Science.gov (United States)

    Ajay; Narang, Rakhi; Saxena, Manoj; Gupta, Mridula

    2015-12-01

    In this paper, an analytical model for gate drain underlap channel Double-Gate Metal-Oxide-Semiconductor Field-Effect Transistor (DG-MOSFET) for label free electrical detection of biomolecules has been proposed. The conformal mapping technique has been used to derive the expressions for surface potential, lateral electric field, energy bands (i.e. conduction and valence band) and threshold voltage (Vth). Subsequently a full drain current model to analyze the sensitivity of the biosensor has been developed. The shift in the threshold voltage and drain current (after the biomolecules interaction with the gate underlap channel region of the MOS transistor) has been used as a sensing metric. All the characteristic trends have been verified through ATLAS (SILVACO) device simulation results.