WorldWideScience

Sample records for oxide dielectric layers

  1. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  2. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.

    2010-11-19

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  3. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.

    2010-01-01

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  4. Zinc oxide nanowire-poly(methyl methacrylate) dielectric layers for polymer capacitive pressure sensors.

    Science.gov (United States)

    Chen, Yan-Sheng; Hsieh, Gen-Wen; Chen, Shih-Ping; Tseng, Pin-Yen; Wang, Cheng-Wei

    2015-01-14

    Polymer capacitive pressure sensors based on a dielectric composite layer of zinc oxide nanowire and poly(methyl methacrylate) show pressure sensitivity in the range of 2.63 × 10(-3) to 9.95 × 10(-3) cm(2) gf(-1). This represents an increase of capacitance change by as much as a factor of 23 over pristine polymer devices. An ultralight load of only 10 mg (corresponding to an applied pressure of ∼0.01 gf cm(-2)) can be clearly recognized, demonstrating remarkable characteristics of these nanowire-polymer capacitive pressure sensors. In addition, optical transmittance of the dielectric composite layer is approximately 90% in the visible wavelength region. Their low processing temperature, transparency, and flexible dielectric film makes them a highly promising means for flexible touching and pressure-sensing applications.

  5. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  6. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  7. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  8. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  9. Effects of Complex Structured Anodic Oxide Dielectric Layer Grown in Pore Matrix for Aluminum Capacitor.

    Science.gov (United States)

    Shin, Jin-Ha; Yun, Sook Young; Lee, Chang Hyoung; Park, Hwa-Sun; Suh, Su-Jeong

    2015-11-01

    Anodization of aluminum is generally divided up into two types of anodic aluminum oxide structures depending on electrolyte type. In this study, an anodization process was carried out in two steps to obtain high dielectric strength and break down voltage. In the first step, evaporated high purity Al on Si wafer was anodized in oxalic acidic aqueous solution at various times at a constant temperature of 5 degrees C. In the second step, citric acidic aqueous solution was used to obtain a thickly grown sub-barrier layer. During the second anodization process, the anodizing potential of various ranges was applied at room temperature. An increased thickness of the sub-barrier layer in the porous matrix was obtained according to the increment of the applied anodizing potential. The microstructures and the growth of the sub-barrier layer were then observed with an increasing anodizing potential of 40 to 300 V by using a scanning electron microscope (SEM). An impedance analyzer was used to observe the change of electrical properties, including the capacitance, dissipation factor, impedance, and equivalent series resistance (ESR) depending on the thickness increase of the sub-barrier layer. In addition, the breakdown voltage was measured. The results revealed that dielectric strength was improved with the increase of sub-barrier layer thickness.

  10. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  11. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  12. Effects of N2O plasma treatment on perhydropolysilazane spin-on-dielectrics for inter-layer-dielectric applications

    International Nuclear Information System (INIS)

    Park, Kyoung-Seok; Ko, Pil-Seok; Kim, Sam-Dong

    2014-01-01

    Effects of the N 2 O plasma treatment (PT) on perhydropolysilazane spin-on-dielectric (PHPS SOD) were examined as potential inter-layer-dielectrics (ILDs) for sub-30 nm Si circuits. The spin-coated PHPS (18.5 wt.%) ILD layers converted at 650 °C were integrated with the 0.18 μm Si front-end-of-the line process. A modified contact pre-cleaning scheme using N 2 O PT produced more uniform and stable contact chain resistances from the SOD ILDs than the case of pre-cleaning only by buffered oxide etcher. Our analysis shows that this enhancement is due to the minimized carbon contamination on the PHPS side-wall surface densified by PT. - Highlights: • Perhydropolysilazane (PHPS) layer is evaluated as a Si interlayer dielectric. • Examine effects of the N 2 O plasma treatment (PT) on PHPS spin-on-dielectrics (SODs) • Significantly improved metal contact resistances are achieved using the N 2 O PT. • Contact resistance enhancement by PT is due to the minimized carbon contamination

  13. Method for manufacturing a solar cell with a surface-passivating dielectric double layer, and corresponding solar cell

    NARCIS (Netherlands)

    2014-01-01

    We will describe a solar cell with a Dielektrikumdoppelschicht and a method for their preparation. It comprises a first dielectric layer (3) containing aluminum oxide or consisting of alumina, and a second, hydrogen-containing dielectric layer (5) is produced by sequential vapor deposition, whereby

  14. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    Science.gov (United States)

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  15. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  16. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  17. Transition of hydrated oxide layer for aluminum electrolytic capacitors

    International Nuclear Information System (INIS)

    Chi, Choong-Soo; Jeong, Yongsoo; Ahn, Hong-Joo; Lee, Jong-Ho; Kim, Jung-Gu; Lee, Jun-Hee; Jang, Kyung-Wook; Oh, Han-Jun

    2007-01-01

    A hydrous oxide film for the application as dielectric film is synthesized by immersion of pure aluminum in hot water. From a Rutherford backscattering analysis, the ratio of aluminum to oxygen atoms was found to be 3:2 in the anodized aluminum oxide film, and 2:1 in the hydrous oxide layer. Anodization of the hydrous oxide layer was more effective for the transition of amorphous anodic oxides to the crystalline aluminum oxides

  18. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  19. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  20. Method of forming a nanocluster comprising dielectric layer and device comprising such a layer

    NARCIS (Netherlands)

    2009-01-01

    A method of forming a dielectric layer (330) on a further layer (114, 320) of a semiconductor device (300) is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer (114, 320), the dielectric precursor compound comprising a

  1. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  2. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  3. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  4. Perhydropolysilazane spin-on dielectrics for inter-layer-dielectric applications of sub-30 nm silicon technology

    International Nuclear Information System (INIS)

    Kim, Sam-Dong; Ko, Pil-Seok; Park, Kyoung-Seok

    2013-01-01

    Various material properties of the perhydropolysilazane spin-on dielectric (PHPS SOD) were examined and analyzed in this study as potential inter-layer dielectrics (ILDs) integrated for Si circuits of 30 nm technology or beyond. The spin-coated PHPS (18.5 wt%) layers converted at 650 °C showed comparable but less perfect thermal conversion to silica than the films converted at 1000 °C, however exhibiting excellent gap filling (15 nm gap opening, aspect ratio (AR) of ∼23) and planarization (degree of planarization (DOP) = ∼73% for 800 nm initial step height, cusp angle = ∼16°) sufficient for the Si integration. PHPS SOD layers cured at 650 °C were integrated ILDs in the 0.18 µm Si front-end-of-the-line process, and the estimated hot-carrier reliability of n-channel metal oxide semiconductor transistors (ten years at a drain voltage of 1.68 V) had no significant difference from that of the transistors integrated with the conventional borophosposilicate glass ILDs. A modified contact pre-cleaning scheme using N 2 O plasma treatment also produced uniform and stable contact chain resistances from the SOD ILDs. (paper)

  5. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  6. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    Science.gov (United States)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  7. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  8. Lg = 100 nm In0.7Ga0.3As quantum well metal-oxide semiconductor field-effect transistors with atomic layer deposited beryllium oxide as interfacial layer

    International Nuclear Information System (INIS)

    Koh, D.; Kwon, H. M.; Kim, T.-W.; Veksler, D.; Gilmer, D.; Kirsch, P. D.; Kim, D.-H.; Hudnall, Todd W.; Bielawski, Christopher W.; Maszara, W.; Banerjee, S. K.

    2014-01-01

    In this study, we have fabricated nanometer-scale channel length quantum-well (QW) metal-oxide-semiconductor field effect transistors (MOSFETs) incorporating beryllium oxide (BeO) as an interfacial layer. BeO has high thermal stability, excellent electrical insulating characteristics, and a large band-gap, which make it an attractive candidate for use as a gate dielectric in making MOSFETs. BeO can also act as a good diffusion barrier to oxygen owing to its small atomic bonding length. In this work, we have fabricated In 0.53 Ga 0.47 As MOS capacitors with BeO and Al 2 O 3 and compared their electrical characteristics. As interface passivation layer, BeO/HfO 2 bilayer gate stack presented effective oxide thickness less 1 nm. Furthermore, we have demonstrated In 0.7 Ga 0.3 As QW MOSFETs with a BeO/HfO 2 dielectric, showing a sub-threshold slope of 100 mV/dec, and a transconductance (g m,max ) of 1.1 mS/μm, while displaying low values of gate leakage current. These results highlight the potential of atomic layer deposited BeO for use as a gate dielectric or interface passivation layer for III–V MOSFETs at the 7 nm technology node and/or beyond

  9. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  10. Nanostructure multilayer dielectric materials for capacitors and insulators

    Science.gov (United States)

    Barbee, Jr., Troy W.; Johnson, Gary W.

    1998-04-21

    A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3) in alternating layers to form a nano-laminate.

  11. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  12. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  13. Tailoring the Dielectric Layer Structure for Enhanced Performance of Organic Field-Effect Transistors: The Use of a Sandwiched Polar Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Shijiao Han

    2016-07-01

    Full Text Available To investigate the origins of hydroxyl groups in a polymeric dielectric and its applications in organic field-effect transistors (OFETs, a polar polymer layer was inserted between two polymethyl methacrylate (PMMA dielectric layers, and its effect on the performance as an organic field-effect transistor (OFET was studied. The OFETs with a sandwiched dielectric layer of poly(vinyl alcohol (PVA or poly(4-vinylphenol (PVP containing hydroxyl groups had shown enhanced characteristics compared to those with only PMMA layers. The field-effect mobility had been raised more than 10 times in n-type devices (three times in the p-type one, and the threshold voltage had been lowered almost eight times in p-type devices (two times in the n-type. The on-off ratio of two kinds of devices had been enhanced by almost two orders of magnitude. This was attributed to the orientation of hydroxyl groups from disordered to perpendicular to the substrate under gate-applied voltage bias, and additional charges would be induced by this polarization at the interface between the semiconductor and dielectrics, contributing to the accumulation of charge transfer.

  14. Wave propagation through a dielectric layer containing densely packed fibers

    International Nuclear Information System (INIS)

    Lee, Siu-Chun

    2011-01-01

    This paper presents the theoretical formulation for the propagation of electromagnetic wave through a dielectric layer containing a random dense distribution of fibers. The diameter of the fibers is comparable to the inter-fiber spacing and wavelength of the incident radiation, but is much smaller than the thickness of the layer. Discontinuity of refractive index across the boundaries of the dielectric layer resulted in multiple internal reflection of both the primary source wave and the scattered waves. As a result the incident waves on the fibers consist of the multiply-reflected primary waves, scattered waves from other fibers, and scattered-reflected waves from the boundaries. The effective propagation constant of the dielectric fiber layer was developed by utilizing the Effective field-Quasicrystalline approximation. The influence of the refractive index of the dielectric medium on the radiative properties of a dense fiber layer was examined by means of numerical analyses.

  15. Improvement in photoconductor film properties by changing dielectric layer structures

    International Nuclear Information System (INIS)

    Kim, S; Oh, K; Lee, Y; Jung, J; Cho, G; Jang, G; Cha, B; Nam, S; Park, J

    2011-01-01

    In recent times, digital X-ray detectors have been actively applied to the medical field; for example, digital radiography offers the potential of improved image quality and provides opportunities for advances in medical image management, computer-aided diagnosis and teleradiology. In this study, two candidate materials (HgI 2 and PbI 2 ) have been employed to study the influence of the dielectric structure on the performance of fabricated X-ray photoconducting films. Parylene C with high permittivity was deposited as a dielectric layer using a parylene deposition system (PDS 2060). The structural and morphological properties of the samples were evaluated field emission scanning electron microscopy and X-ray diffraction. Further, to investigate improvements in the electrical characteristics, a dark current in the dark room and sensitivity to X-ray exposure in the energy range of general radiography diagnosis were measured across the range of the operating voltage. The electric signals varied with the dielectric layer structure of the X-ray films. The PbI 2 film with a bottom dielectric layer showed optimized electric properties. On the other hand, in the case of HgI 2 , the film with a top dielectric layer showed superior electric characteristics. Further, although the sensitivity of the film decreased, the total electrical efficiency of the film improved as a result of the decrease in dark current. When a dielectric layer is deposited on a photoconductor, the properties of the photoconductor, such as hole-electron mobility, should be considered to improve the image quality in digital medical imaging application. In this study, we have thus demonstrated that the use of dielectric layer structures improves the performance of photoconductors.

  16. Encapsulation methods and dielectric layers for organic electrical devices

    Science.gov (United States)

    Blum, Yigal D; Chu, William Siu-Keung; MacQueen, David Brent; Shi, Yijan

    2013-07-02

    The disclosure provides methods and materials suitable for use as encapsulation barriers and dielectric layers in electronic devices. In one embodiment, for example, there is provided an electroluminescent device or other electronic device with a dielectric layer comprising alternating layers of a silicon-containing bonding material and a ceramic material. The methods provide, for example, electronic devices with increased stability and shelf-life. The invention is useful, for example, in the field of microelectronic devices.

  17. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  18. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  19. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  20. Preparation of dielectrics HR mirrors from colloidal oxide suspensions containing organic polymer binders

    International Nuclear Information System (INIS)

    Thomas, I.M.

    1994-01-01

    Colloidal suspensions of oxides have been used to prepare dielectric HR (high reflective) mirrors, specifically for high power fusion case applications, on substrates up to 38 cm square using a meniscus coater. These coatings consist of porous quarterwave layers of alternating high and low refractive index oxides. Silica was used as the low index oxide and AlOOH, ZrO 2 , or HfO 2 as the high index material. Coatings were weak because of low particle-to-particle adhesion. Use of organic polymer binders in the high index component was found to increase strength, thereby improving the laser damage threshold and also reducing the number of layers required for 99% reflection due to increased refractive index

  1. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  2. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  3. HTS microstrip disk resonator with an upper dielectric layer for 4GHz

    International Nuclear Information System (INIS)

    Yamanaka, Kazunori; Kai, Manabu; Akasegawa, Akihiko; Nakanishi, Teru

    2006-01-01

    We propose HTS microstrip disk resonator with an upper dielectric layer as a candidate resonator structure of HTS compact power filter for 4GHz band. The electromagnetic simulations on the upper dielectric layer examined the current distributions of the HTS resonators that had TM 11 mode resonance of about 4 GHz. By the simulations, it is evaluated that of the maximum current density near the end portion of the disk-shape pattern of the resonator with the thick upper-layered structure decreases by roughly 30-50 percent, as compared with that of the resonator without it. Then, we designed and fabricated the resonator samples with and without the upper dielectrics. The RF power measurement results indicated that the upper dielectric layer leads to an increase in handling power

  4. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  5. Influence of dielectric protective layer on laser damage resistance of gold coated gratings

    Science.gov (United States)

    Wu, Kepeng; Ma, Ping; Pu, Yunti; Xia, Zhilin

    2016-03-01

    Aiming at the problem that the damage threshold of gold coated grating is relatively low, a dielectric film is considered on the gold coated gratings as a protective layer. The thickness range of the protective layer is determined under the prerequisite that the diffraction efficiency of the gold coated grating is reduced to an acceptable degree. In this paper, the electromagnetic field, the temperature field and the stress field distribution in the grating are calculated when the silica and hafnium oxide are used as protective layers, under the preconditions of the electromagnetic field distribution of the gratings known. The results show that the addition of the protective layer changes the distribution of the electromagnetic field, temperature field and stress field in the grating, and the protective layer with an appropriate thickness can improve the laser damage resistance of the grating.

  6. Thickness-Dependent Dielectric Constant of Few-Layer In 2 Se 3 Nanoflakes

    KAUST Repository

    Wu, Di

    2015-11-17

    © 2015 American Chemical Society. The dielectric constant or relative permittivity (εr) of a dielectric material, which describes how the net electric field in the medium is reduced with respect to the external field, is a parameter of critical importance for charging and screening in electronic devices. Such a fundamental material property is intimately related to not only the polarizability of individual atoms but also the specific atomic arrangement in the crystal lattice. In this Letter, we present both experimental and theoretical investigations on the dielectric constant of few-layer In2Se3 nanoflakes grown on mica substrates by van der Waals epitaxy. A nondestructive microwave impedance microscope is employed to simultaneously quantify the number of layers and local electrical properties. The measured εr increases monotonically as a function of the thickness and saturates to the bulk value at around 6-8 quintuple layers. The same trend of layer-dependent dielectric constant is also revealed by first-principles calculations. Our results of the dielectric response, being ubiquitously applicable to layered 2D semiconductors, are expected to be significant for this vibrant research field.

  7. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors

    Science.gov (United States)

    Bezryadin, A.; Belkin, A.; Ilin, E.; Pak, M.; Colla, Eugene V.; Hubler, A.

    2017-12-01

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al2O3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm-1 (i.e., 1 GV m-1), which is much larger than the table value of the Al2O3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  8. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors.

    Science.gov (United States)

    Bezryadin, A; Belkin, A; Ilin, E; Pak, M; Colla, Eugene V; Hubler, A

    2017-12-08

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al 2 O 3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm -1 (i.e., 1 GV m -1 ), which is much larger than the table value of the Al 2 O 3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  9. Development of a dual-layered dielectric-loaded accelerating structure

    International Nuclear Information System (INIS)

    Jing Chunguang; Kanareykin, Alexei; Kazakov, Sergey; Liu Wanming; Nenasheva, Elizaveta; Schoessow, Paul; Gai Wei

    2008-01-01

    rf Power attenuation is a critical problem in the development of dielectric-loaded structures for particle acceleration. In a previous paper [C. Jing, W. Liu, W. Gai, J. Power, T. Wong, Nucl. Instr. Meth. A 539 (2005) 445] we suggested the use of a Multilayer Dielectric-Loaded Accelerating Structure (MDLA) as a possible approach for reducing the rf losses in a single layer device. The MDLA is based on the principle of Bragg reflection familiar from optics that is used to partially confine the fields inside the dielectric layers and reduce the wall current losses at the outer boundary. We report here on the design, construction and testing of a prototype X-band double-layer structure (2DLA). The measurements show an rf power attenuation for the 2DLA more than ten times smaller than that of a comparable single-layer structure, in good agreement with the analytic results. Testing and operation of MDLAs also requires efficient power coupling from test equipment or rf power systems to the device. We describe the design and construction of two novel structures: a TM 03 mode launcher for cold testing and a power coupler for planned high-gradient experiments

  10. Room temperature plasma oxidation: A new process for preparation of ultrathin layers of silicon oxide, and high dielectric constant materials

    International Nuclear Information System (INIS)

    Tinoco, J.C.; Estrada, M.; Baez, H.; Cerdeira, A.

    2006-01-01

    In this paper we present basic features and oxidation law of the room temperature plasma oxidation (RTPO), as a new process for preparation of less than 2 nm thick layers of SiO 2 , and high-k layers of TiO 2 . We show that oxidation rate follows a potential law dependence on oxidation time. The proportionality constant is function of pressure, plasma power, reagent gas and plasma density, while the exponent depends only on the reactive gas. These parameters are related to the physical phenomena occurring inside the plasma, during oxidation. Metal-Oxide-Semiconductor (MOS) capacitors fabricated with these layers are characterized by capacitance-voltage, current-voltage and current-voltage-temperature measurements. Less than 2.5 nm SiO 2 layers with surface roughness similar to thermal oxide films, surface state density below 3 x 10 11 cm -2 and current density in the expected range for each corresponding thickness, were obtained by RTPO in a parallel-plate reactor, at 180 mW/cm 2 and pressure range between 9.33 and 66.5 Pa (0.07 and 0.5 Torr) using O 2 and N 2 O as reactive gases. MOS capacitors with TiO 2 layers formed by RTPO of sputtered Ti layers are also characterized. Finally, MOS capacitors with stacked layers of TiO 2 over SiO 2 , both layers obtained by RTPO, were prepared and evaluated to determine the feasibility of the use of TiO 2 as a candidate for next technology nodes

  11. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  12. Control of Evaporation Behavior of an Inkjet-Printed Dielectric Layer Using a Mixed-Solvent System

    Science.gov (United States)

    Yang, Hak Soon; Kang, Byung Ju; Oh, Je Hoon

    2016-01-01

    In this study, the evaporation behavior and the resulting morphology of inkjet-printed dielectric layers were controlled using a mixed-solvent system to fabricate uniform poly-4-vinylphenol (PVP) dielectric layers without any pinholes. The mixed-solvent system consisted of two different organic solvents: 1-hexanol and ethanol. The effects of inkjet-printing variables such as overlap condition, substrate temperature, and different printing sequences (continuous and interlacing printing methods) on the inkjet-printed dielectric layer were also investigated. Increasing volume fraction of ethanol (VFE) is likely to reduce the evaporation rate gradient and the drying time of the inkjet-printed dielectric layer; this diminishes the coffee stain effect and thereby improves the uniformity of the inkjet-printed dielectric layer. However, the coffee stain effect becomes more severe with an increase in the substrate temperature due to the enhanced outward convective flow. The overlap condition has little effect on the evaporation behavior of the printed dielectric layer. In addition, the interlacing printing method results in either a stronger coffee stain effect or wavy structures of the dielectric layers depending on the VFE of the PVP solution. All-inkjet-printed capacitors without electrical short circuiting can be successfully fabricated using the optimized PVP solution (VFE = 0.6); this indicates that the mixed-solvent system is expected to play an important role in the fabrication of high-quality inkjet-printed dielectric layers in various printed electronics applications.

  13. Transverse mode control in proton-implanted and oxide-confined VCSELs via patterned dielectric anti-phase filters

    Science.gov (United States)

    Kesler, Benjamin; O'Brien, Thomas; Dallesasse, John M.

    2017-02-01

    A novel method for controlling the transverse lasing modes in both proton implanted and oxide-confined vertical- cavity surface-emitting lasers (VCSELs) with a multi-layer, patterned, dielectric anti-phase (DAP) filter is pre- sented. Using a simple photolithographic liftoff process, dielectric layers are deposited and patterned on individual VCSELs to modify (increase or decrease) the mirror reflectivity across the emission aperture via anti-phase reflections, creating spatially-dependent threshold material gain. The shape of the dielectric pattern can be tailored to overlap with specific transverse VCSEL modes or subsets of transverse modes to either facilitate or inhibit lasing by decreasing or increasing, respectively, the threshold modal gain. A silicon dioxide (SiO2) and titanium dioxide (TiO2) anti-phase filter is used to achieve a single-fundamental-mode, continuous-wave output power greater than 4.0 mW in an oxide-confined VCSEL at a lasing wavelength of 850 nm. A filter consisting of SiO2 and TiO2 is used to facilitate injection-current-insensitive fundamental mode and lower order mode lasing in proton implanted VCSELs at a lasing wavelength of 850 nm. Higher refractive index dielectric materials such as amorphous silicon (a-Si) can be used to increase the effectiveness of the anti-phase filter on proton implanted devices by reducing the threshold modal gain of any spatially overlapping modes. This additive, non-destructive method allows for mode selection at any lasing wavelength and for any VCSEL layer structure without the need for semiconductor etching or epitaxial regrowth. It also offers the capability of designing a filter based upon available optical coating materials.

  14. Structural, optical, morphological and dielectric properties of cerium oxide nanoparticles

    International Nuclear Information System (INIS)

    Prabaharan, Devadoss Mangalam Durai Manoharadoss; Sadaiyandi, Karuppasamy; Mahendran, Manickam; Sagadevan, Suresh

    2016-01-01

    Cerium oxide (CeO 2 ) nanoparticles were prepared by the precipitation method. The average crystallite size of cerium oxide nanoparticles was calculated from the X-ray diffraction (XRD) pattern and found to be 11 nm. The FT-IR spectrum clearly indicated the strong presence of cerium oxide nanoparticles. Raman spectrum confirmed the cubic nature of the cerium oxide nanoparticles. The Scanning Electron Microscopy (SEM) analysis showed that the nanoparticles agglomerated forming spherical-shaped particles. The Transmission Electron Microscopic (TEM) analysis confirmed the prepared cerium oxide nanoparticles with the particle size being found to be 16 nm. The optical absorption spectrum showed a blue shift by the cerium oxide nanoparticles due to the quantum confinement effect. The dielectric properties of cerium oxide nanoparticles were studied for different frequencies at different temperatures. The dielectric constant and the dielectric loss of the cerium oxide nanoparticles decreased with increase in frequency. The AC electrical conductivity study revealed that the conduction depended on both the frequency and the temperature. (author)

  15. Structural, optical, morphological and dielectric properties of cerium oxide nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Prabaharan, Devadoss Mangalam Durai Manoharadoss [Department of Physics, NPR College of Engineering and Technology, Natham, Dindigul, Tamil Nadu (India); Sadaiyandi, Karuppasamy [Department of Physics, Alagappa Government Arts College, Karaikudi, Sivaganga, Tamil Nadu (India); Mahendran, Manickam [Department of Physics, Thiagarajar College of Engineering, Madurai, Tamil Nadu (India); Sagadevan, Suresh, E-mail: duraiphysics2011@gmail.com [Department of Physics, AMET University (India)

    2016-03-15

    Cerium oxide (CeO{sub 2}) nanoparticles were prepared by the precipitation method. The average crystallite size of cerium oxide nanoparticles was calculated from the X-ray diffraction (XRD) pattern and found to be 11 nm. The FT-IR spectrum clearly indicated the strong presence of cerium oxide nanoparticles. Raman spectrum confirmed the cubic nature of the cerium oxide nanoparticles. The Scanning Electron Microscopy (SEM) analysis showed that the nanoparticles agglomerated forming spherical-shaped particles. The Transmission Electron Microscopic (TEM) analysis confirmed the prepared cerium oxide nanoparticles with the particle size being found to be 16 nm. The optical absorption spectrum showed a blue shift by the cerium oxide nanoparticles due to the quantum confinement effect. The dielectric properties of cerium oxide nanoparticles were studied for different frequencies at different temperatures. The dielectric constant and the dielectric loss of the cerium oxide nanoparticles decreased with increase in frequency. The AC electrical conductivity study revealed that the conduction depended on both the frequency and the temperature. (author)

  16. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  17. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    Energy Technology Data Exchange (ETDEWEB)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Myakon’kich, A. V.; Rudenko, K. V. [Russian Academy of Sciences, Physical Technological Institute (Russian Federation); Glukhov, A. V. [Novosibirsk Semiconductor Device Plant and Design Bureau (Russian Federation)

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substrate (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.

  18. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  19. Contribution of Dielectric Screening to the Total Capacitance of Few-Layer Graphene Electrodes.

    Science.gov (United States)

    Zhan, Cheng; Jiang, De-en

    2016-03-03

    We apply joint density functional theory (JDFT), which treats the electrode/electrolyte interface self-consistently, to an electric double-layer capacitor (EDLC) based on few-layer graphene electrodes. The JDFT approach allows us to quantify a third contribution to the total capacitance beyond quantum capacitance (CQ) and EDL capacitance (CEDL). This contribution arises from the dielectric screening of the electric field by the surface of the few-layer graphene electrode, and we therefore term it the dielectric capacitance (CDielec). We find that CDielec becomes significant in affecting the total capacitance when the number of graphene layers in the electrode is more than three. Our investigation sheds new light on the significance of the electrode dielectric screening on the capacitance of few-layer graphene electrodes.

  20. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  1. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  2. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  3. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  4. Mechanistic interaction study of thin oxide dielectric with conducting organic electrode

    International Nuclear Information System (INIS)

    Sharma, Himani; Sethi, Kanika; Raj, P. Markondeya; Gerhardt, R.A.; Tummala, Rao

    2012-01-01

    Highlights: ► Thin film-oxide dielectric-organic electrode interface studies for investigating the leakage mechanism. ► XPS to elucidate chemical-structural changes on dielectric oxide surface. ► Correlates structural characterization data with capacitor leakage current and impedance spectroscopy characteristics. - Abstract: This paper aims at understanding the interaction of intrinsic conducting polymer, PEDT, with ALD-deposited Al 2 O 3 and thermally oxidized Ta 2 O 5 dielectrics, and the underlying mechanisms for increase in leakage currents in PEDT-based capacitors. Conducting polymers offer several advantages as electrodes for high surface area capacitors because of their lower resistance, self-healing and enhanced conformality. However, capacitors with in situ polymerized PEDT show poor electrical properties that are attributed to the interfacial interaction between the organic electrode and the oxide dielectric. This study focuses on characterizing these interactions. A combination of compositional, structural and electrical characterization techniques was applied to polymer-solid-state-capacitor to understand the interfacial chemical behavior and dielectric property deterioration of alumina and tantalum-oxide films. XPS and impedance studies were employed to understand the stiochiometric and compositional changes that occur in the dielectric film on interaction with in situ deposited PEDT. Based on the observations from several complimentary techniques, it is concluded that tantalum-pentoxide has more resistance towards chemical interaction with in situ polymerized PEDT. The thermally oxidized Ta 2 O 5 -PEDT system showed leakage current of 280 nA μF −1 at 3 V with a breakdown voltage of 30 V. On the other hand, Al 2 O 3 -PEDT capacitor showed leakage current of 50 μA μF −1 and a breakdown voltage of 40 V. The study reports direct evidence for the mechanism of resistivity drop in alumina dielectric with in situ polymerized PEDT electrode.

  5. High Gain and High Directive of Antenna Arrays Utilizing Dielectric Layer on Bismuth Titanate Ceramics

    Directory of Open Access Journals (Sweden)

    F. H. Wee

    2012-01-01

    Full Text Available A high gain and high directive microstrip patch array antenna formed from dielectric layer stacked on bismuth titanate (BiT ceramics have been investigated, fabricated, and measured. The antennas are designed and constructed with a combination of two-, four-, and six-BiT elements in an array form application on microwave substrate. For gain and directivity enhancement, a layer of dielectric was stacked on the BiT antenna array. We measured the gain and directivity of BiT array antennas with and without the dielectric layer and found that the gain of BiT array antenna with the dielectric layer was enhanced by about 1.4 dBi of directivity and 1.3 dB of gain over the one without the dielectric layer at 2.3 GHz. The impedance bandwidth of the BiT array antenna both with and without the dielectric layer is about 500 MHz and 350 MHz, respectively, which is suitable for the application of the WiMAX 2.3 GHz system. The utilization of BiT ceramics that covers about 90% of antenna led to high radiation efficiency, and small-size antennas were produced. In order to validate the proposed design, theoretical and measured results are provided and discussed.

  6. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    Science.gov (United States)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  7. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  8. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  9. Naphthalenetetracarboxylic diimide layer-based transistors with nanometer oxide and side chain dielectrics operating below one volt.

    Science.gov (United States)

    Jung, Byung Jun; Martinez Hardigree, Josue F; Dhar, Bal Mukund; Dawidczyk, Thomas J; Sun, Jia; See, Kevin Cua; Katz, Howard E

    2011-04-26

    We designed a new naphthalenetetracarboxylic diimide (NTCDI) semiconductor molecule with long fluoroalkylbenzyl side chains. The side chains, 1.2 nm long, not only aid in self-assembly and kinetically stabilize injected electrons but also act as part of the gate dielectric in field-effect transistors. On Si substrates coated only with the 2 nm thick native oxide, NTCDI semiconductor films were deposited with thicknesses from 17 to 120 nm. Top contact Au electrodes were deposited as sources and drains. The devices showed good transistor characteristics in air with 0.1-1 μA of drain current at 0.5 V of V(G) and V(DS) and W/L of 10-20, even though channel width (250 μm) is over 1000 times the distance (20 nm) between gate and drain electrodes. The extracted capacitance-times-mobility product, an expression of the sheet transconductance, can exceed 100 nS V(-1), 2 orders of magnitude higher than typical organic transistors. The vertical low-frequency capacitance with gate voltage applied in the accumulation regime reached as high as 650 nF/cm(2), matching the harmonic sum of capacitances of the native oxide and one side chain and indicating that some gate-induced carriers in such devices are distributed among all of the NTCDI core layers, although the preponderance of the carriers are still near the gate electrode. Besides demonstrating and analyzing thickness-dependent NTCDI-based transistor behavior, we also showed <1 V detection of dinitrotoluene vapor by such transistors.

  10. Analysis of chemical bond states and electrical properties of stacked AlON/HfO{sub 2} gate oxides formed by using a layer-by-layer technique

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Wonjoon; Lee, Jonghyun; Yang, Jungyup; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh; Byun, Byungsub; Kim, Moseok [Hanyang University, Seoul (Korea, Republic of)

    2006-06-15

    Stacked AlON/HfO{sub 2} thin films for gate oxides in metal-oxide-semiconductor devices are successfully prepared on Si substrates by utilizing a layer-by-layer technique integrated with an off-axis RF remote plasma sputtering process at room temperature. This off-axis structure is designed to improve the uniformity and the quality of gate oxide films. Also, a layer-by-layer technique is used to control the interface layer between the gate oxide and the Si substrate. The electrical properties of our stacked films are characterized by using capacitance versus voltage and leakage current versus voltage measurements. The stacked AlON/HfO{sub 2} gate oxide exhibits a low leakage current of about 10{sup -6} A/cm{sup 2} and a high dielectric constant value of 14.26 by effectively suppressing the interface layer between gate oxide and Si substrate. In addition, the chemical bond states and the optimum thickness of each AlON and HfO{sub 2} thin film are analyzed using X-ray photoemission spectroscopy and transmission electron microscopy measurement.

  11. Hidden symmetries in N-layer dielectric stacks

    Science.gov (United States)

    Liu, Haihao; Shoufie Ukhtary, M.; Saito, Riichiro

    2017-11-01

    The optical properties of a multilayer system with arbitrary N layers of dielectric media are investigated. Each layer is one of two dielectric media, with a thickness one-quarter the wavelength of light in that medium, corresponding to a central frequency f 0. Using the transfer matrix method, the transmittance T is calculated for all possible 2 N sequences for small N. Unexpectedly, it is found that instead of 2 N different values of T at f 0 (T 0), there are only (N/2+1) discrete values of T 0, for even N, and (N + 1) for odd N. We explain this high degeneracy in T 0 values by finding symmetry operations on the sequences that do not change T 0. Analytical formulae were derived for the T 0 values and their degeneracies as functions of N and an integer parameter for each sequence we call ‘charge’. Additionally, the bandwidth at f 0 and filter response of the transmission spectra are investigated, revealing asymptotic behavior at large N.

  12. Dielectric and piezoelectric properties of neodymium oxide doped ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. The dielectric and electromechanical properties of lead zirconate titanate [Pb(Zr, Ti)O3] ceramic added with neodymium oxide have been systematically studied employing the vector impedance spectroscopic. (VIS) technique. The specimens were prepared using the mixed oxide route by adding different mol% of.

  13. Improvements of deposited interpolysilicon dielectric characteristics with RTP N/sub 2/O-anneal

    NARCIS (Netherlands)

    Klootwijk, J.H.; Weusthof, Marcel H.H.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited instead of thermally grown oxides was studied to form high-quality inter-polysilicon dielectric layers for nonvolatile memories. It was found that by optimizing the texture and morphology of the polysilicon layers, and by optimizing the post-dielectric deposition-anneal,

  14. Light transmission coefficients by subwavelength aluminum gratings with dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Blinov, L. M., E-mail: lev39blinov@gmail.com; Lazarev, V. V.; Yudin, S. G.; Artemov, V. V.; Palto, S. P.; Gorkunov, M. V. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Crystallography and Photonics Federal Research Center) (Russian Federation)

    2016-11-15

    Spectral positions of plasmon resonances related to boundaries between a thin aluminum layer and dielectrics (air, glass, VDF–TrFE 65/35 ferroelectric copolymer, and indium tin oxide (ITO)) have been determined in the transmission spectra of aluminum gratings of three types with 30 × 30 μm{sup 2} dimensions and 350-, 400-, and 450-nm line periods. Experimental results agree well with spectral positions of plasmon resonances calculated for the normal incidence of TM-polarized light. In addition, maximum values of transmission coefficients in the region of λ ≈ 900–950 nm have been determined for glass–Al–copolymer and glass–ITO–Al–copolymer structures. These values are close to 100%, which shows that the effective optical aperture is two times greater than the geometric areas of slits.

  15. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  16. Near-field microwave microscopy of high-κ oxides grown on graphene with an organic seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander, E-mail: tseleva@ornl.gov; Kalinin, Sergei V. [Oak Ridge National Laboratory, Center for Nanophase Materials Sciences, Oak Ridge, Tennessee 37831 (United States); Sangwan, Vinod K.; Jariwala, Deep; Lauhon, Lincoln J. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Marks, Tobin J.; Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States)

    2013-12-09

    Near-field scanning microwave microscopy (SMM) is used for non-destructive nanoscale characterization of Al{sub 2}O{sub 3} and HfO{sub 2} films grown on epitaxial graphene on SiC by atomic layer deposition using a self-assembled perylene-3,4,9,10-tetracarboxylic dianhydride seeding layer. SMM allows imaging of buried inhomogeneities in the dielectric layer with a spatial resolution close to 100 nm. The results indicate that, while topographic features on the substrate surface cannot be eliminated as possible sites of defect nucleation, the use of a vertically heterogeneous Al{sub 2}O{sub 3}/HfO{sub 2} stack suppresses formation of large outgrowth defects in the oxide film, ultimately improving lateral uniformity of the dielectric film.

  17. Structural, optical and dielectric properties of graphene oxide

    Science.gov (United States)

    Bhargava, Richa; Khan, Shakeel

    2018-05-01

    The Modified Hummers method has been used to synthesize Graphene oxide nanoparticles. Microstructural analyses were carried out by X-ray diffraction and Fourier transform infrared spectroscopy. Optical properties were studied by UV-visible spectroscopy in the range of 200-700 nm. The energy band gap was calculated with the help of Tauc relation. The frequency dependence of dielectric constant and dielectric loss were studied over a range of the frequency 75Hz to 5MHz at room temperature. The dispersion in dielectric constant can be explained with the help of Maxwell-Wagner model in studied nanoparticles.

  18. The impact of porosity on the formation of manganese based copper diffusion barrier layers on low-κ dielectric materials

    International Nuclear Information System (INIS)

    McCoy, A P; Bogan, J; Walsh, L; Byrne, C; O’Connor, R; Hughes, G; Woicik, J C

    2015-01-01

    This work investigates the impact of porosity in low-κ dielectric materials on the chemical and structural properties of deposited Mn thin films for copper diffusion barrier layer applications. X-ray photoelectron spectrscopy (XPS) results highlight the difficulty in distinguishing between the various Mn oxidation states which form at the interlayer dielectric (ILD)/Mn interface. The presence of MnSiO 3 and MnO were identified using x-ray absorption spectroscopy (XAS) measurements on both porous and non-porous dielectric materials with evidence of Mn 2 O 3 and Mn 3 O 4 in the deposited film on the latter surface. It is shown that a higher proportion of deposited Mn converts to Mn silicate on an ILD film which has 50% porosity compared with the same dielectric material with no porosity, which is attributed to an enhanced chemical interaction with the effective larger surface area of porous dielectric materials. Transmission electron microscopy (TEM) and energy-dispersive x-ray spectroscopy (EDX) data shows that the Mn overlayer remains predominately surface localised on both porous and non-porous materials. (paper)

  19. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  20. Highly stable and imperceptible electronics utilizing photoactivated heterogeneous sol-gel metal-oxide dielectrics and semiconductors.

    Science.gov (United States)

    Jo, Jeong-Wan; Kim, Jaekyun; Kim, Kyung-Tae; Kang, Jin-Gu; Kim, Myung-Gil; Kim, Kwang-Ho; Ko, Hyungduk; Kim, Jiwan; Kim, Yong-Hoon; Park, Sung Kyu

    2015-02-18

    Incorporation of Zr into an AlOx matrix generates an intrinsically activated ZAO surface enabling the formation of a stable semiconducting IGZO film and good interfacial properties. Photochemically annealed metal-oxide devices and circuits with the optimized sol-gel ZAO dielectric and IGZO semiconductor layers demonstrate the high performance and electrically/mechanically stable operation of flexible electronics fabricated via a low-temperature solution process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Mineral dielectric constants and the oxide additivity rule

    International Nuclear Information System (INIS)

    Shannon, R.D.; Subramanian, M.A.; Mariano, A.N.; Rossman, G.R.

    1989-01-01

    The 1 MHz dielectric constants of a variety of synthetic aluminate garnets: Y 3 Al 5 O 12 , Ho 3 Al 5 O 12 , Y 2.93 Nd .07 Sc 2 Al 3 O 12 and Gd 2.95 Nd .05 Sc 1.98 Cr .02 Al 3 O 12 and several silicates: CaB 2 Si 2 O 8 (danburite), Ca 3 Al 2 Si 3 O 12 (grossular) and Mg 2 Al 4 Si 5 O 18 (cordierite) were determined using the two-terminal method with edge corrections. These data and polarizabilities derived from the published single crystal dielectric constants of simple oxides were used to compare compound polarizabilities obtained from the Clausius-Mosotti equation and the oxide additivity rule

  2. A novel Graphene Oxide film: Synthesis and Dielectric properties

    Science.gov (United States)

    Canimkurbey, Betul; San, Sait Eren; Yasin, Muhammad; Köse, Muhammet Erkan

    In this work, we used Hummers method to synthesize Graphene Oxide (GO) and its parallel plate impedance spectroscopic technique to investigate dielectric properties. Graphene Oxide films were coated using drop casting method on ITO substrate. To analyze film morphology, atomic force microscopy was used. Dielectrics measurements of the samples were performed using impedance analyzer (HP-4194) in frequency range (100 Hz to 10MHz) at different temperatures. It was observed that the films' AC conductivity σac varied with angular frequency, ω as ωS, with Sdirect current (DC) and Correlated Barrier Hopping (CBH) conductivity mechanisms at low and high frequency ranges, respectively. Using solution processed Graphene Oxide will provide potential for organic electronic applications through its photon absorption and transmittance capability in the visible range and excellent electrical parameters.

  3. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?

    Directory of Open Access Journals (Sweden)

    Takashi Ando

    2012-03-01

    Full Text Available Current status and challenges of aggressive equivalent-oxide-thickness (EOT scaling of high-κ gate dielectrics via higher-κ ( > 20 materials and interfacial layer (IL scavenging techniques are reviewed. La-based higher-κ materials show aggressive EOT scaling (0.5–0.8 nm, but with effective workfunction (EWF values suitable only for n-type field-effect-transistor (FET. Further exploration for p-type FET-compatible higher-κ materials is needed. Meanwhile, IL scavenging is a promising approach to extend Hf-based high-κ dielectrics to future nodes. Remote IL scavenging techniques enable EOT scaling below 0.5 nm. Mobility-EOT trends in the literature suggest that short-channel performance improvement is attainable with aggressive EOT scaling via IL scavenging or La-silicate formation. However, extreme IL scaling (e.g., zero-IL is accompanied by loss of EWF control and with severe penalty in reliability. Therefore, highly precise IL thickness control in an ultra-thin IL regime ( < 0.5 nm will be the key technology to satisfy both performance and reliability requirements for future CMOS devices.

  4. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    OpenAIRE

    Valagiannopoulos, Constantinos A.; Tsitsas, Nikolaos L.

    2012-01-01

    The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal po...

  5. Electromagnetic Scattering from a PEC Wedge Capped with Cylindrical Layers with Dielectric and Conductive Properties

    Directory of Open Access Journals (Sweden)

    H. Ozturk

    2017-04-01

    Full Text Available Electromagnetic scattering from a layered capped wedge is studied. The wedge is assumed infinite in z-direction (longitudinal and capped with arbitrary layers of dielectric with varying thicknesses and dielectric properties including conductive loss. Scalar Helmholtz equation in two dimensions is formulated for each solution region and a matrix of unknown coefficients are arrived at for electric field representation. Closed form expressions are derived for 2- and 3-layer geometries. Numerical simulations are performed for different wedge shapes and dielectric layer properties and compared to PEC-only case. It has been shown that significant reduction in scattered electric field can be obtained with 2- and 3-layered cap geometries. Total electric field in the far field normalized to incident field is also computed as a precursor to RCS analysis. Analytical results can be useful in radar cross section analysis for aerial vehicles.

  6. Vertical dielectric screening of few-layer van der Waals semiconductors.

    Science.gov (United States)

    Koo, Jahyun; Gao, Shiyuan; Lee, Hoonkyung; Yang, Li

    2017-10-05

    Vertical dielectric screening is a fundamental parameter of few-layer van der Waals two-dimensional (2D) semiconductors. However, unlike the widely-accepted wisdom claiming that the vertical dielectric screening is sensitive to the thickness, our first-principles calculation based on the linear response theory (within the weak field limit) reveals that this screening is independent of the thickness and, in fact, it is the same as the corresponding bulk value. This conclusion is verified in a wide range of 2D paraelectric semiconductors, covering narrow-gap ones and wide-gap ones with different crystal symmetries, providing an efficient and reliable way to calculate and predict static dielectric screening of reduced-dimensional materials. Employing this conclusion, we satisfactorily explain the tunable band gap in gated 2D semiconductors. We further propose to engineer the vertical dielectric screening by changing the interlayer distance via vertical pressure or hybrid structures. Our predicted vertical dielectric screening can substantially simplify the understanding of a wide range of measurements and it is crucial for designing 2D functional devices.

  7. Structural, photoconductivity, and dielectric studies of polythiophene-tin oxide nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Murugavel, S., E-mail: starin85@gmail.com; Malathi, M., E-mail: mmalathi@vit.ac.in

    2016-09-15

    Highlights: • Synthesis of polythiophene-tin oxide nanocomposites confirmed by FTIR and EDAX. • SEM shows SnO{sub 2} nanoparticles embedded within polythiophene matrix. • Stability and isoelectric point suggest nanoparticle–matrix interaction. • High dielectric constant due to high Maxwell–Wagner interfacial polarization. - Abstract: Polythiophene-tinoxide (PT-SnO{sub 2}) nanocomposites were prepared by in situ chemical oxidative polymerization, in the presence of various concentrations of SnO{sub 2} nanoparticles. Samples were characterized by X-ray diffraction, Fourier-transform infrared spectroscopy, thermogravimetric analysis, X-ray photoelectron spectroscopy and Zeta potential measurements. Morphologies and elemental compositions were investigated by transmission electron microscopy, field-emission scanning electron microscopy and energy-dispersive X-ray spectroscopy. The photoconductivity of the nanocomposites was studied by field-dependent dark and photo conductivity measurements. Their dielectric properties were investigated using dielectric spectroscopy, in the frequency range of 1kHz–1 MHz. The results indicated that the SnO{sub 2} nanoparticles in the PT-SnO{sub 2} nanocomposite were responsible for its enhanced dielectric performance.

  8. Electron transport investigation of layered MoO3 oxides doped with different concentrations of Nb2O5 oxide

    International Nuclear Information System (INIS)

    Al-Khawaja, S.; Kasem, M.

    2008-07-01

    The dc and ac electric conductivity has been studied for numerous samples of molybdenum oxide MoO 3 doped with niobium oxide Nb 2 O 5 elaborated via the solid state reactions. By means of the electric resistivity and dielectricity curves obtained as a function of temperature, and according to the dopant concentration, the behaviour of these compounds has been allocated. Most of the investigated samples, which are insulating at room temperature, have been witnessed to exhibit simultaneously two different electric comportments; metallic and semiconducting within the range of low and high temperatures respectively, designated by a critical temperature related to the nature of the material. Both of these behaviours are attributed electronically to ionic conduction mechanism, occurring in the solid material formed upon doping with Nb 2 O 5 , which is utterly diffused into the layered structure of MoO 3 particularly for x≥40% concentrations and accompanied by relaxation in the dielectric function between 5 Hz and 13 M Hz.(Authors)

  9. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  10. New perovskite-related oxides having high dielectric constant ...

    Indian Academy of Sciences (India)

    Unknown

    static and dynamic random access memories, the static dielectric constant of the material. ¶Dedicated to .... 1100°C. It is also observed from the SEM pictures that the materials are highly dense .... Both these oxides merit attention for their.

  11. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad; Cha, Min

    2016-01-01

    decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge

  12. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  13. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  14. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  15. Studies of low current back-discharge in point-plane geometry with dielectric layer

    International Nuclear Information System (INIS)

    Jaworek, A.; Rajch, E.; Czech, T.; Lackowski, M

    2005-01-01

    The paper presents results of spectroscopic investigations of back-discharge generated in the point-plane electrode geometry in air at atmospheric pressure, with the plane covered with fly ash layer. Four forms of the discharges were studied: onset streamers, glow, breakdown streamers and low-current back-arc discharge. Both polarities of the active discharge electrode, positive and negative, were tested. The back discharge is a type of DC electrical discharge, which take place when the passive plane electrode is covered with a dielectric layer. The layer can be made of solid material or a packed bed of dust or powder of low conductivity. The charge produced due to ionisation processes in the vicinity of the active point electrode is accumulated on the dielectric surface, and generates high electric field through this layer. When critical electric field through the layer is attained an electrical breakdown of the layer take place. The point of breakdown becomes a new source of ions of polarity opposite to those generated by the active electrode. The dielectric layer on the passive electrode causes that gaseous discharges such as breakdown streamers or arc start at lower voltages than they could in the case of normal corona discharge. The visual forms of the discharge were recorded and correlated with the current-voltage characteristics and optical emission spectra. Emission spectra of the discharge were measured in the light wavelength range of 200 to 600 nm to get information about excitation and ionisation processes. The light spectra were analysed by monochromator SPM-2 Karl-Zeiss-Jena with diffraction grating of 1302 grooves/mm and photomultiplier R375 (Hamamatsu) and signal preamplifier unit C7319 (Hamamatsu). The spectral analysis showed that the nitrogen molecular bands were dominant, but the emission of negative ions from the dielectric layer material were also detected. The most noticeable light emission in the range from 280 to 490 nm due to second

  16. Direct Probing of the Dielectric Scavenging-Layer Interface in Oxide Filamentary-Based Valence Change Memory.

    Science.gov (United States)

    Celano, Umberto; Op de Beeck, Jonathan; Clima, Sergiu; Luebben, Michael; Koenraad, Paul M; Goux, Ludovic; Valov, Ilia; Vandervorst, Wilfried

    2017-03-29

    A great improvement in valence change memory performance has been recently achieved by adding another metallic layer to the simple metal-insulator-metal (MIM) structure. This metal layer is often referred to as oxygen exchange layer (OEL) and is introduced between one of the electrodes and the oxide. The OEL is believed to induce a distributed reservoir of defects at the metal-insulator interface thus providing an unlimited availability of building blocks for the conductive filament (CF). However, its role remains elusive and controversial owing to the difficulties to probe the interface between the OEL and the CF. Here, using Scalpel SPM we probe multiple functions of the OEL which have not yet been directly measured, for two popular VCMs material systems: Hf/HfO 2 and Ta/Ta 2 O 5 . We locate and characterize in three-dimensions the volume containing the oxygen exchange layer and the CF with nanometer lateral resolution. We demonstrate that the OEL induces a thermodynamic barrier for the CF and estimate the minimum thickness of the OEL/oxide interface to guarantee the proper switching operations is ca. 3 nm. Our experimental observations are combined to first-principles thermodynamics and defect kinetics to elucidate the role of the OEL for device optimization.

  17. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  18. Theoretical Modeling and Analysis of L- and P-band Radar Backscatter Sensitivity to Soil Active Layer Dielectric Variations

    Directory of Open Access Journals (Sweden)

    Jinyang Du

    2015-07-01

    Full Text Available Freeze-thaw (FT and moisture dynamics within the soil active layer are critical elements of boreal, arctic and alpine ecosystems, and environmental change assessments. We evaluated the potential for detecting dielectric changes within different soil layers using combined L- and P-band radar remote sensing as a prerequisite for detecting FT and moisture profile changes within the soil active layer. A two-layer scattering model was developed and validated for simulating radar responses from vertically inhomogeneous soil. The model simulations indicated that inhomogeneity in the soil dielectric profile contributes to both L- and P-band backscatter, but with greater P-band sensitivity at depth. The difference in L- and P-band responses to soil dielectric profile inhomogeneity appears suitable for detecting associated changes in soil active layer conditions. Additional evaluation using collocated airborne radar (AIRSAR observations and in situ soil moisture measurements over alpine tundra indicates that combined L- and P-band SAR observations are sensitive to soil dielectric profile heterogeneity associated with variations in soil moisture and FT conditions.

  19. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  20. Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs

    Directory of Open Access Journals (Sweden)

    Jiabao Sun

    2015-01-01

    Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.

  1. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  2. Graphene Oxide Papers Simultaneously Doped with Mg(2+) and Cl(-) for Exceptional Mechanical, Electrical, and Dielectric Properties.

    Science.gov (United States)

    Lin, Xiuyi; Shen, Xi; Sun, Xinying; Liu, Xu; Wu, Ying; Wang, Zhenyu; Kim, Jang-Kyo

    2016-01-27

    This paper reports simultaneous modification of graphene oxide (GO) papers by functionalization with MgCl2. The Mg(2+) ions enhance both the interlayer cross-links and lateral bridging between the edges of adjacent GO sheets by forming Mg-O bonds. The improved load transfer between the GO sheets gives rise to a maximum of 200 and 400% increases in Young's modulus and tensile strength of GO papers. The intercalation of chlorine between the GO layers alters the properties of GO papers in two ways by forming ionic Cl(-) and covalent C-Cl bonds. The p-doping effect arising from Cl contributes to large enhancements in electrical conductivities of GO papers, with a remarkable 2500-fold surge in the through-thickness direction. The layered structure and the anisotropic electrical conductivities of reduced GO papers naturally create numerous nanocapacitors that lead to charge accumulation based on the Maxwell-Wagner (MW) polarization. The combined effect of much promoted dipolar polarizations due to Mg-O, C-Cl, and Cl(-) species results in an exceptionally high dielectric constant greater than 60 000 and a dielectric loss of 3 at 1 kHz by doping with 2 mM MgCl2. The excellent mechanical and electrical properties along with unique dielectric performance shown by the modified GO and rGO papers open new avenues for niche applications, such as electromagnetic interference shielding materials.

  3. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  4. Defect driven tailoring of colossal dielectricity of Reduced Graphene Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, S.; Mondal, A. [Department of Physics, Jadavpur University, Kolkata 700 032 (India); Dey, K. [Department of Solid State Physics, Indian Association for the Cultivation of Science, Jadavpur, Kolkata 700 032 (India); Ray, R., E-mail: juphyruma@gmail.com [Department of Physics, Jadavpur University, Kolkata 700 032 (India)

    2016-02-15

    Highlights: • Reduced graphene oxides (RGO) are prepared by two chemical routes. • Defects in RGO are characterized by Raman, FTIR and XPS studies. • Defects tailor colossal dielectricity in RGO. - Abstract: Reduced graphene oxide (RGO) is prepared in two different chemical routes where reduction of graphene oxide is performed by hydrazine hydrate and through high pressure in hydrothermal reactor. Samples are characterized by X-ray powdered diffraction (XRD), thermo gravimetric analysis (TGA), field emission scanning electron microscopy (FESEM) and tunneling electron microscopy (TEM). Types of defects are probed by Raman, FTIR spectroscopy and X-ray photoelectron spectroscopy (XPS). UV–vis absorption reveals different optical band gaps of the two RGOs. Conductivity mechanism is studied through I–V measurements displaying different characteristic features which are addressed due to the presence of defects appeared in different synthesis. Significantly high value (∼10{sup 4}) of dielectric permittivity at 10 MHz is attractive for technological application which could be tuned by the defects present in RGO.

  5. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  6. Measurement of valence band structure in arbitrary dielectric films

    International Nuclear Information System (INIS)

    Uhm, Han S.; Choi, Eun H.

    2012-01-01

    A new way of measuring the band structure of various dielectric materials using the secondary electron emission from Auger neutralization of ions is introduced. The first example of this measurement scheme is the magnesium oxide (MgO) films with respect to the application of the films in the display industries. The density of state in the valence bands of MgO film and MgO film with a functional layer (FL) deposited over a dielectric surface reveals that the density peak of film with a FL is considerably less than that of film, thereby indicating a better performance of MgO film with functional layer in display devices. The second example of the measurement is the boron-zinc oxide (BZO) films with respect to the application of the films to the development of solar cells. The measurement of density of state in BZO film suggests that a high concentration of boron impurity in BZO films may enhance the transition of electrons and holes through the band gap from the valence to the conduction band in zinc oxide crystals; thereby improving the conductivity of the film. Secondary electron emission by the Auger neutralization of ions is highly instrumental for the determination of the density of states in the valence band of dielectric materials.

  7. High carrier mobility of CoPc wires based field-effect transistors using bi-layer gate dielectric

    Directory of Open Access Journals (Sweden)

    Murali Gedda

    2013-11-01

    Full Text Available Polyvinyl alcohol (PVA and anodized Al2O3 layers were used as bi-layer gate for the fabrication of cobalt phthalocyanine (CoPc wire base field-effect transistors (OFETs. CoPc wires were grown on SiO2 surfaces by organic vapor phase deposition method. These devices exhibit a field-effect carrier mobility (μEF value of 1.11 cm2/Vs. The high carrier mobility for CoPc molecules is attributed to the better capacitive coupling between the channel of CoPc wires and the gate through organic-inorganic dielectric layer. Our measurements also demonstrated the way to determine the thicknesses of the dielectric layers for a better process condition of OFETs.

  8. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  9. Co-firing behavior of ZnTiO3-TiO2 dielectrics/hexagonal ferrite composites for multi-layer LC filters

    International Nuclear Information System (INIS)

    Wang Mao; Zhou Ji; Yue Zhenxing; Li Longtu; Gui Zhilun

    2003-01-01

    The low-temperature co-firing compatibility between ferrite and dielectric materials is the key issue in the production process of multi-layer chip LC filters. This paper presents the co-firing behavior and interfacial diffusion of ZnTiO 3 -TiO 2 dielectric/Co 2 Z hexagonal ferrite multi-layer composites. It has been testified that proper constitutional modification is feasible to diminish co-firing mismatch and enhance co-firing compatibility. Interfacial reactions occur at the interface, which can strengthen combinations between ferrite layers and dielectric layers. Titanium and barium tend to concentrate at the interface; iron and zinc have a wide diffusion range

  10. Solid-State Electrochromic Device Consisting of Amorphous WO3 and Various Thin Oxide Layers

    Science.gov (United States)

    Shizukuishi, Makoto; Shimizu, Isamu; Inoue, Eiichi

    1980-11-01

    A mixed oxide containing Cr2O3 was introduced into an amorphous WO3 solid-state electrochromic device (ECD) in order to improve its colour memory effect. The electrochromic characteristics were greatly affected by the chemical constituents of a dielectric layer on the a-WO3 layer. Particularly, long memory effect and low power dissipation were attained in a solid-state ECD consisting of a-WO3 and Cr2O3\\cdotV2O5(50 wt.%). Some electrochromic characteristics of the a-WO3/Cr2O3\\cdotV2O5 ECD and the role of V2O5 were investigated.

  11. Electrowetting Performances of Novel Fluorinated Polymer Dielectric Layer Based on Poly(1H,1H,2H,2H-perfluoroctylmethacrylate Nanoemulsion

    Directory of Open Access Journals (Sweden)

    Jiaxin Hou

    2017-06-01

    Full Text Available In electrowetting devices, hydrophobic insulating layer, namely dielectric layer, is capable of reversibly switching surface wettability through applied electric field. It is critically important but limited by material defects in dielectricity, reversibility, film forming, adhesiveness, price and so on. To solve this key problem, we introduced a novel fluorinated polyacrylate—poly(1H,1H,2H,2H-perfluoroctylmethacrylate (PFMA to construct micron/submicron-scale dielectric layer via facile spray coating of nanoemulsion for replacing the most common Teflon AF series. All the results illustrated that, continuous and dense PFMA film with surface relief less than 20 nm was one-step fabricated at 110 °C, and exhibited much higher static water contact angle of 124°, contact angle variation of 42°, dielectric constant of about 2.6, and breakdown voltage of 210 V than Teflon AF 1600. Particularly, soft and highly compatible polyacrylate mainchain assigned five times much better adhesiveness than common adhesive tape, to PFMA layer. As a promising option, PFMA dielectric layer may further facilitate tremendous development of electrowetting performances and applications.

  12. Improvement of MRR and surface roughness during electrical discharge machining (EDM) using aluminum oxide powder mixed dielectric fluid

    Science.gov (United States)

    Khan, A. A.; Mohiuddin, A. K. M.; Latif, M. A. A.

    2018-01-01

    This paper discusses the effect of aluminium oxide (Al203) addition to dielectric fluid during electrical discharge machining (EDM). Aluminium oxide was added to the dielectric used in the EDM process to improve its performance when machining the stainless steel AISI 304, while copper was used as the electrode. Effect of the concentration of Al203 (0.3 mg/L) in dielectric fluid was compared with EDM without any addition of Al203. Surface quality of stainless steel and the material removal rate were investigated. Design of the experiment (DOE) was used for the experimental plan. Statistical analysis was done using ANOVA and then appropriate model was designated. The experimental results show that with dispersing of aluminium oxide in dielectric fluid surface roughness was improved while the material removal rate (MRR) was increased to some extent. These indicate the improvement of EDM performance using aluminium oxide in dielectric fluid. It was also found that with increase in pulse on time both MRR and surface roughness increase sharply.

  13. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    Science.gov (United States)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  14. Influence of the polarity of the applied voltage on the reignition of a discharge below a dielectric layer in air at atmospheric pressure

    International Nuclear Information System (INIS)

    Pechereau, François; Bourdon, Anne

    2014-01-01

    The dynamics of an atmospheric pressure air discharge in a point-to-plane geometry with a dielectric layer obstacle on the discharge path is investigated numerically for different applied voltages. Whatever the polarity of the voltage applied, first, a streamer discharge of the same polarity ignites at the point and propagates towards the dielectric layer. After the impact on the dielectric surface, the streamer discharge spreads along the upper dielectric surface and charges it positively or negatively depending on its polarity. On the bottom surface of the dielectric layer, charges with an opposite polarity are deposited. Surface charges on both faces of the dielectric layer are shown to have a significant influence on the discharge reignition for a negative applied voltage, but not for a positive one. Furthermore, it is shown that the dynamics of the discharge reignition below the dielectric layer depends on the polarity of the applied voltage at the point electrode. For a positive applied voltage, the reignited discharge is a positive ionization wave propagating towards the grounded plane. For a negative applied voltage, a double headed discharge is observed with positive and negative fronts propagating in opposite directions. Finally, the minimal value of the ionization integral to have a discharge reignition below the dielectric obstacle is found to be less for a negative applied voltage than for a positive one. (paper)

  15. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  16. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  17. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  18. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    Science.gov (United States)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  19. Graphene-graphite oxide field-effect transistors.

    Science.gov (United States)

    Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc

    2012-03-14

    Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society

  20. Thin-dielectric-layer engineering for 3D nanostructure integration using an innovative planarization approach

    International Nuclear Information System (INIS)

    Guerfi, Y; Doucet, J B; Larrieu, G

    2015-01-01

    Three-dimensional (3D) nanostructures are emerging as promising building blocks for a large spectrum of applications. One critical issue in integration regards mastering the thin, flat, and chemically stable insulating layer that must be implemented on the nanostructure network in order to build striking nano-architectures. In this letter, we report an innovative method for nanoscale planarization on 3D nanostructures by using hydrogen silesquioxane as a spin-on-glass (SOG) dielectric material. To decouple the thickness of the final layer from the height of the nanostructure, we propose to embed the nanowire network in the insulator layer by exploiting the planarizing properties of the SOG approach. To achieve the desired dielectric thickness, the structure is chemically etched back with a highly diluted solution to control the etch rate precisely. The roughness of the top surface was less than 2 nm. There were no surface defects and the planarity was excellent, even in the vicinity of the nanowires. This newly developed process was used to realize a multilevel stack architecture with sub-deca-nanometer-range layer thickness. (paper)

  1. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  2. Formation of a Refracted Electromagnetic Wave at the Output from a Plane-Parallel Dielectric Layer and Interference Nature of Fermat's Principle

    Science.gov (United States)

    Averbukh, B. B.; Averbukh, I. B.

    2015-04-01

    It is shown that a transition layer representing a spatial region in which field propagation is analogous to refraction in an inhomogeneous medium exists after a dielectric layer. In this region located within the near field zone the direction of the wave vector of the transmitted field varies smoothly, and with increasing distance from the layer, approaches to that of the wave incident on the layer. It is shown that such behavior of the field and occurrence of the transition layer are caused by the interference of the incident wave field and the fields of secondary sources excited in the dielectric by the incident wave field. It is shown that the refraction of the field in a homogeneous medium after the dielectric corresponds to Fermat's principle, and the interference nature of Fermat's principle is justified.

  3. Examination of Critical Length Effect in Copper Interconnects With Oxide and Low-k Dielectrics

    International Nuclear Information System (INIS)

    Thrasher, Stacye; Gall, Martin; Justison, Patrick; Hernandez, Richard; Kawasaki, Hisao; Capasso, Cristiano; Nguyen, Timothy

    2004-01-01

    As technology moves toward faster microelectronic devices with smaller feature sizes, copper is replacing aluminum-copper alloy and low-k dielectric is replacing oxide as the materials of choice for advanced interconnect integrations. Copper not only brings to the table the advantage of lower resistivity, but also exhibits better electromigration performance when compared to Al(Cu). Low-k dielectric materials are advantageous because they reduce power consumption and improve signal delay. Due to these advantages, the industry trend is moving towards integrating copper and low-k dielectric for high performance interconnects. The purpose of this study is to evaluate the critical length effect in single-inlaid copper interconnects and determine the critical product (jl)c, for a variety of integrations, examining the effect of ILD (oxide vs. low-k), geometry, and stress temperature

  4. Cast dielectric composite linear accelerator

    Science.gov (United States)

    Sanders, David M [Livermore, CA; Sampayan, Stephen [Manteca, CA; Slenes, Kirk [Albuquerque, NM; Stoller, H M [Albuquerque, NM

    2009-11-10

    A linear accelerator having cast dielectric composite layers integrally formed with conductor electrodes in a solventless fabrication process, with the cast dielectric composite preferably having a nanoparticle filler in an organic polymer such as a thermosetting resin. By incorporating this cast dielectric composite the dielectric constant of critical insulating layers of the transmission lines of the accelerator are increased while simultaneously maintaining high dielectric strengths for the accelerator.

  5. Dielectric response and ac conductivity analysis of hafnium oxide nanopowder

    International Nuclear Information System (INIS)

    Karahaliou, P K; Xanthopoulos, N; Krontiras, C A; Georga, S N

    2012-01-01

    The dielectric response of hafnium oxide nanopowder was studied in the frequency range of 10 -2 -10 6 MHz and in the temperature range of 20-180 °C. Broadband dielectric spectroscopy was applied and the experimental results were analyzed and discussed using the electric modulus (M*) and alternating current (ac) conductivity formalisms. The analyses of the dc conductivity and electric modulus data revealed the presence of mechanisms which are thermally activated, both with almost the same activation energy of 1.01 eV. A fitting procedure involving the superposition of the thermally activated dc conductivity, the universal dielectric responce and the near constant loss terms has been used to describe the frequency evolution of the real part of the specific electrical conductivity. The conductivity master curve was obtained, suggesting that the time-temperature superposition principle applies for the studied system, thus implying that the conductivity mechanisms are temperature independent.

  6. Mechanical, Dielectric, and Spectroscopic Characteristics of "Micro/Nanocellulose + Oxide" Composites

    Science.gov (United States)

    Nedielko, Maksym; Hamamda, Smail; Alekseev, Olexander; Chornii, Vitalii; Dashevskii, Mykola; Lazarenko, Maksym; Kovalov, Kostiantyn; Nedilko, Sergii G.; Tkachov, Sergii; Revo, Sergiy; Scherbatskyi, Vasyl

    2017-02-01

    The set of composite materials that consist of micro/nanocellulose and complex K2Eu(MoO4)(PO4) luminescent oxide particles was prepared. The composites were studied by means of scanning electron microscopy, XRD analysis, dilatometry, differential scanning calorimetry and thermogravimetric analysis, and dielectric and luminescence spectroscopy.

  7. Processing of Dielectric Optical Coatings by Nanosecond and Femtosecond UV Laser Ablation

    International Nuclear Information System (INIS)

    Ihlemann, J.; Bekesi, J.; Klein-Wiele, J.H.; Simon, P.

    2008-01-01

    Micro processing of dielectric optical coatings by UV laser ablation is demonstrated. Excimer laser ablation at deep UV wavelengths (248 nm, 193 nm) is used for the patterning of thin oxide films or layer stacks. The layer removal over extended areas as well as sub-μm-structuring is possible. The ablation of SiO2, Al2O3, HfO2, and Ta2O5 layers and layer systems has been investigated. Due to their optical, chemical, and thermal stability, these inorganic film materials are well suited for optical applications, even if UV-transparency is required. Transparent patterned films of SiO2 are produced by patterning a UV-absorbing precursor SiOx suboxide layer and oxidizing it afterwards to SiO2. In contrast to laser ablation of bulk material, in the case of thin films, the layer-layer or layer-substrate boundaries act as predetermined end points, so that precise depth control and a very smooth surface can be achieved. For large area ablation, nanosecond lasers are well suited; for patterning with submicron resolution, femtosecond excimer lasers are applied. Thus the fabrication of optical elements like dielectric masks, pixelated diffractive elements, and gratings can be accomplished.

  8. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  9. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  10. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  11. Dielectric properties of modified graphene oxide filled polyurethane nanocomposites and its correlation with rheology

    NARCIS (Netherlands)

    Sadasivuni, K.K.; Ponnamma, D.; Kumar, B.; Strankowski, M.; Cardinaels, R.M.; Moldenaers, P.; Thomas, S.; Grohens, Y.

    2014-01-01

    This study aims at investigating the dynamic mechanical, dielectric and rheological properties of reinforced polyurethane (PU) nanocomposites containing hydrophilic graphene oxide (GO) and/or hydrophobic modified graphene oxide (mGO) sheets. The organic modification of GO was performed with

  12. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  13. Pulsed electromagnetic field radiation from a narrow slot antenna with a dielectric layer

    NARCIS (Netherlands)

    Štumpf, M.; De Hoop, A.T.; Lager, I.E.

    2010-01-01

    Analytic time domain expressions are derived for the pulsed electromagnetic field radiated by a narrow slot antenna with a dielectric layer in a two?dimensional model configuration. In any finite time window of observation, exact pulse shapes for the propagated, reflected, and refracted wave

  14. Partial oxidation of methane in a temperature-controlled dielectric barrier discharge reactor

    KAUST Repository

    Zhang, Xuming; Cha, Min

    2015-01-01

    We studied the relative importance of the reduced field intensity and the background reaction temperature in the partial oxidation of methane in a temperature-controlled dielectric barrier discharge reactor. We obtained important mechanistic insight

  15. Optical modeling of nickel-base alloys oxidized in pressurized water reactor

    Energy Technology Data Exchange (ETDEWEB)

    Clair, A. [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS, Universite de Bourgogne, 9 avenue Alain Savary, BP 47870, 21078 Dijon cedex (France); Foucault, M.; Calonne, O. [Areva ANP, Centre Technique Departement Corrosion-Chimie, 30 Bd de l' industrie, BP 181, 71205 Le Creusot (France); Finot, E., E-mail: Eric.Finot@u-bourgogne.fr [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS, Universite de Bourgogne, 9 avenue Alain Savary, BP 47870, 21078 Dijon cedex (France)

    2012-10-01

    The knowledge of the aging process involved in the primary water of pressurized water reactor entails investigating a mixed growth mechanism in the corrosion of nickel-base alloys. A mixed growth induces an anionic inner oxide and a cationic diffusion parallel to a dissolution-precipitation process forms the outer zone. The in situ monitoring of the oxidation kinetics requires the modeling of the oxide layer stratification with the full knowledge of the optical constants related to each component. Here, we report the dielectric constants of the alloys 600 and 690 measured by spectroscopic ellipsometry and fitted to a Drude-Lorentz model. A robust optical stratification model was determined using focused ion beam cross-section of thin foils examined by transmission electron microscopy. Dielectric constants of the inner oxide layer depleted in chromium were assimilated to those of the nickel thin film. The optical constants of both the spinels and extern layer were determined. - Highlights: Black-Right-Pointing-Pointer Spectroscopic ellipsometry of Ni-base alloy oxidation in pressurized water reactor Black-Right-Pointing-Pointer Measurements of the dielectric constants of the alloys Black-Right-Pointing-Pointer Optical simulation of the mixed oxidation process using a three stack model Black-Right-Pointing-Pointer Scattered crystallites cationic outer layer; linear Ni-gradient bottom layer Black-Right-Pointing-Pointer Determination of the refractive index of the spinel and the Cr{sub 2}O{sub 3} layers.

  16. Polyimide Dielectric Layer on Filaments for Organic Field Effect Transistors: Choice of Solvent, Solution Composition and Dip-Coating Speed

    Directory of Open Access Journals (Sweden)

    Rambausek Lina

    2014-09-01

    Full Text Available In today’s research, smart textiles is an established topic in both electronics and the textile fields. The concept of producing microelectronics directly on a textile substrate is not a mere idea anymore and several research institutes are working on its realisation. Microelectronics like organic field effect transistor (OFET can be manufactured with a layered architecture. The production techniques used for this purpose can also be applied on textile substrates. Besides gate, active and contact layers, the isolating or dielectric layer is of high importance in the OFET architecture. Therefore, generating a high quality dielectric layer that is of low roughness and insulating at the same time is one of the fundamental requirements in building microelectronics on textile surfaces. To evaluate its potential, we have studied polyimide as a dielectric layer, dip-coated onto copper-coated polyester filaments. Accordingly, the copper-coated polyester filament was dip-coated from a polyimide solution with two different solvents, 1-methyl-2-pyrrolidone (NMP and dimethylformaldehyde. A variety of dip-coating speeds, solution concentrations and solvent-solute combinations have been tested. Their effect on the quality of the layer was analysed through microscopy, leak current measurements and atomic force microscopy (AFM. Polyimide dip-coating with polyimide resin dissolved in NMP at a concentration of 15w% in combination with a dip-coating speed of 50 mm/min led to the best results in electrical insulation and roughness. By optimising the dielectric layer’s properties, the way is paved for applying the subsequent semi-conductive layer. In further research, we will be working with the organic semiconductor material TIPS-Pentacene

  17. Dielectric anomaly and relaxation natures in a Zn-Cr pillar−layered metal−organic framework with cages and channels

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chen; Yao, Zhi-Yuan; Liu, Shao-Xian; Luo, Hong-Bin [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Zou, Yang, E-mail: zouyang@njtech.edu.cn [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Li, Li [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Ren, Xiao-Ming, E-mail: xmren@njtech.edu.cn [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); College of Materials Science and Engineering, Nanjing Tech University, Nanjing 210009 (China); State Key Laboratory of Coordination Chemistry, Nanjing University, Nanjing 210093 (China)

    2017-06-15

    A bimetallic metal–organic framework (MOF) with the formula [Zn{sub 3}btc{sub 2}(Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH))]·(DMF){sub 15.5}(H{sub 2}O){sub 8} (H{sub 3}btc=1,3,5-benzenetricarboxylic acid; isonic=isonicotinicate) shows a pillar-layered structure. The monolayer consists of hexagon-like rings formed by the [Zn(isonic){sub 2}(btc){sub 2}] tetrahedral and the consecutive monolayers are pillared by trigonal–prismatic clusters of [Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH)]through the remaining binding sites of the Zn{sup 2+} ions. DMF and water molecules are confined in the cages and channels. TGA indicates that the lattice DMF and water molecules begin to be released at temperatures above 363 K. Dielectric measurements were carried out in the range of 173–363 K and 1–10{sup 7} Hz for three successive thermal cycles. The dielectric spectroscopy obtained in the first thermal cycle was different from that observed in the next two thermal cycles, while the dielectric spectra in the last two thermal cycles were almost identical. The dielectric nature of this MOF is discussed in detail for each thermal cycle. Since MOFs are unique host–guest systems in which the structure of the host framework is designable and the guests are exchangeable, it is no doubt those MOFs are materials with a variety of dielectric natures. This study gives a fresh impetus to achieve MOFs–based dielectric materials. - Graphical abstract: The bimetallic MOF [Zn{sub 3}btc{sub 2}(Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH))]·(DMF){sub 15.5}(H{sub 2}O){sub 8}1, shows a pillar-layered open-framework structure. The dielectric spectra of 1 are almost identical in the last two thermal cycles, whereas significantly different from that observed in the first thermal cycle. The novel dielectric anomaly associated with a stacked structure transformation of the disordered guests. - Highlights: • A bimetallic metal-organic framework shows a pillar-layered structure.

  18. Pulsed EM Field Response of a Thin, High-Contrast, Finely Layered Structure With Dielectric and Conductive Properties

    NARCIS (Netherlands)

    De Hoop, A.T.; Jiang, L.

    2009-01-01

    The response of a thin, high-contrast, finely layered structure with dielectric and conductive properties to an incident, pulsed, electromagnetic field is investigated theoretically. The fine layering causes the standard spatial discretization techniques to solve Maxwell's equations numerically to

  19. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    Science.gov (United States)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  20. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  1. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  2. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  3. Thermal, mechanical and dielectric properties of poly(vinyl alcohol)/graphene oxide composites

    Science.gov (United States)

    Rathod, Sunil G.; Bhajantri, R. F.; Ravindrachary, V.; Pujari, P. K.; Sheela, T.; Naik, Jagadish

    2014-04-01

    In this work the composite films of poly(vinyl alcohol) (PVA) doped with functionalized Graphene Oxide (GO) were prepared by solution casting method. The films were characterized using FT-IR, DSC, XRD, mechanical properties and dielectric studies at room temperature. FTIR spectra shows the formation of hydrogen bonds between hydroxyl groups of PVA and the hydroxy groups of GO. The DSC thermograms shows the addition of GO to PVA greatly improves the thermal stability of the composites. XRD patterns shows that the GO exfoliated and uniformly dispersed in PVA matrix. Mechanical properties are significantly improved in PVA/GO composites. The tensile strength increased from 8.2 to 13.7 MPa and the Young's modulus increased from 7.5 to 24.8 MPa for 5 wt% GO doped sample. Dielectric spectroscopy showed a highest dielectric constant for the 5 wt% GO doped PVA films. This work provides a potential design strategy on PVA/GO composite, which would lead to higher-performance, flexible dielectric materials, high charge-storage devices.

  4. Structural, optical and dielectric properties of pure and chromium (Cr) doped nickel oxide nanoparticles

    Science.gov (United States)

    Gupta, Jhalak; Ahmed, Arham S.

    2018-05-01

    The pure and Cr doped nickel oxide (NiO) nanoparticles have been synthesized by cost effective co-precipitation method having nickel nitrate as initial precursor. The synthesized samples were characterized by X-Ray diffraction (XRD), UV-Visible Spectroscopy(UV-Vis) and LCR meter for structural, optical and dielectric properties respectively. The crystallite size of pure nickel oxide nanoparticles characterized by XRD using Debye Scherer's formula was found to be 21.7nm and the same decreases on increasing Cr concentration whereas optical and dielectric properties were analyzed by UV-Vis and LCR meter respectively. The energy band gaps were determined by UV-Vis using Tauc relation.

  5. Dielectric barrier discharge processing of aerospace materials

    International Nuclear Information System (INIS)

    Scott, S J; Figgures, C C; Dixon, D G

    2004-01-01

    We report the use of atmospheric pressure, air based, dielectric barrier discharges (DBD) to treat materials commonly used in the aerospace industries. The material samples were processed using a test-bed of a conventional DBD configuration in which the sample formed one of the electrodes and was placed in close proximity to a ceramic electrode. The discharges generated a powerful, cold oxidizing environment which was able to remove organic contaminants, etch primer and paint layers, oxidize aluminium and roughen carbon fibre composites by the selective removal of resin

  6. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  7. Atomic Scale Chemical and Structural Characterization of Ceramic Oxide Heterostructure Interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Singh, R. K.

    2003-04-16

    The research plan was divided into three tasks: (a) growth of oxide heterostructures for interface engineering using standard thin film deposition techniques, (b) atomic level characterization of oxide heterostructure using such techniques as STEM-2 combined with AFM/STM and conventional high-resolution microscopy (HRTEM), and (c) property measurements of aspects important to oxide heterostructures using standard characterization methods, including dielectric properties and dynamic cathodoluminescence measurements. Each of these topics were further classified on the basis of type of oxide heterostructure. Type I oxide heterostructures consisted of active dielectric layers, including the materials Ba{sub x}Sr{sub 1-x}TiO{sub 3} (BST), Y{sub 2}O{sub 3} and ZrO{sub 2}. Type II heterostructures consisted of ferroelectric active layers such as lanthanum manganate and Type III heterostructures consist of phosphor oxide active layers such as Eu-doped Y{sub 2}O{sub 3}.

  8. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  9. Influences of different oxidants on the characteristics of HfAlOx films deposited by atomic layer deposition

    International Nuclear Information System (INIS)

    Fan Ji-Bin; Liu Hong-Xia; Ma Fei; Zhuo Qing-Qing; Hao Yue

    2013-01-01

    A comparative study of two kinds of oxidants (H 2 O and O 3 ) with the combinations of two metal precursors [trimethylaluminum (TMA) and tetrakis(ethylmethylamino) hafnium (TEMAH)] for atomic layer deposition (ALD) hafnium aluminum oxide (HfAlO x ) films is carried out. The effects of different oxidants on the physical properties and electrical characteristics of HfAlO x films are studied. The preliminary testing results indicate that the impurity level of HfAlO x films grown with both H 2 O and O 3 used as oxidants can be well controlled, which has significant effects on the dielectric constant, valence band, electrical properties, and stability of HfAlO x film. Additional thermal annealing effects on the properties of HfAlO x films grown with different oxidants are also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  10. Reinforced poly(propylene oxide)- a very soft and extensible dielectric electroactive polymer

    DEFF Research Database (Denmark)

    Goswami, Kaustav; Galantini, F.; Mazurek, Piotr Stanislaw

    2013-01-01

    Poly(propylene oxide) (PPO), a novel soft elastomeric material, and its composites were investigated as a new dielectric electroactive polymer (EAP). The PPO networks were obtained from thiol-ene chemistry by photochemical crosslinking of ,!-diallyl PPO with a tetra-functional thiol. The elastomer...... was reinforced with hexamethylenedisilazane treated fumed silica to improve the mechanical properties of PPO. The mechanical properties of PPO and composites thereof were investigated by shear rheology and stress–strain measurements. It was found that incorporation of silica particles improved the stability...... of the otherwise mechanically weak pure PPO network. Dielectric spectroscopy revealed high relative dielectric permittivity of PPO at 103 Hz of 5.6. The relative permittivity was decreased slightly upon addition of fillers, but remained higher than the commonly used acrylic EAP material VHB4910...

  11. THz - ToF Optical Layer Analysis (OLA) to determine optical properties of dielectric materials

    Science.gov (United States)

    Spranger, Holger; Beckmann, Jörg

    2017-02-01

    Electromagnetic waves with frequencies between 0.1 and 10 THz are described as THz-radiation (T-ray). The ability to penetrate dielectric materials makes T-rays attractive to reveal discontinuities in polymer and ceramic materials. THz-Time Domain Spectroscopy Systems (THz-TDS) are available on the market today which operates with THz-pulses transmitted and received by optically pumped semiconductor antennas. In THz-TDS the travelling time (ToF) and shape of the pulse is changed if it interacts with the dielectric material and its inherent discontinuities. A tomogram of the object under the test can be reconstructed from time of flight diffraction (ToFD) scans if a synthetic focusing aperture (SAFT) algorithm is applied. The knowledge of the base materials shape and optical properties is essential for a proper reconstruction result. To obtain these properties a model is assumed which describes the device under the test as multilayer structure composed of thin layers with different dielectric characteristics. The Optical Layer Analysis (OLA) is able to fulfill these requirements. A short description why the optical properties are crucial for meaningful SAFT reconstruction results will be given first. Afterwards the OLA will be derived and applied on representative samples to discuss and evaluate its benefits and limits.

  12. Development of electrostatic supercapacitors by atomic layer deposition on nanoporous anodic aluminium oxides for energy harvesting applications

    Directory of Open Access Journals (Sweden)

    Lucia eIglesias

    2015-03-01

    Full Text Available Nanomaterials can provide innovative solutions for solving the usual energy harvesting and storage drawbacks that take place in conventional energy storage devices based on batteries or electrolytic capacitors, because they are not fully capable for attending the fast energy demands and high power densities required in many of present applications. Here, we report on the development and characterization of novel electrostatic supercapacitors made by conformal Atomic Layer Deposition on the high open surface of nanoporous anodic alumina membranes employed as templates. The structure of the designed electrostatic supercapacitor prototype consists of successive layers of Aluminium doped Zinc Oxide, as the bottom and top electrodes, together Al2O3 as the intermediate dielectric layer. The conformality of the deposited conductive and dielectric layers, together with their composition and crystalline structure have been checked by XRD and electron microscopy techniques. Impedance measurements performed for the optimized electrostatic supercapacitor device give a high capacitance value of 200 µF/cm2 at the frequency of 40 Hz, which confirms the theoretical estimations for such kind of prototypes, and the leakage current reaches values around of 1.8 mA/cm2 at 1 V. The high capacitance value achieved by the supercapacitor prototype together its small size turns these devices in outstanding candidates for using in energy harvesting and storage applications.

  13. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  14. Studies on nitric oxide removal in simulated gas compositions under plasma-dielectric/catalytic discharges

    International Nuclear Information System (INIS)

    Rajanikanth, B.S.; Rout, Satyabrata

    2001-01-01

    Application of pulsed electrical discharges for gas cleaning is gaining prominence, mainly from the energy consideration point of view. This present paper presents recent work on applying the electrical discharge plasma technology for treating gaseous pollutants, in general, and nitric oxide, in particular, as this is one of the major contributors to air pollution. The present work focuses attention on pulsed electrical discharge technique for nitric oxide removal from simulated gas compositions and study of effect of packed dielectric pellets, with and without a coating of catalyst, on the removal process. Experiments were conducted in a cylindrical corona reactor energized by repetitive high voltage pulses. The effects of various parameters, viz. pulse voltage magnitude, pulse frequency, initial nitric oxide concentration and gas mixture composition on nitric oxide removal efficiency, are discussed. When the reactors were filled with different dielectric pellets like, barium titanate, alumina, and alumina coated with palladium catalyst, the improvement in nitric oxide removal efficiency is studied and discussed. The power dissipated in the reactor and the energy consumed per nitric oxide molecule removed was calculated. Further results and comparative study of various cases are presented in the paper

  15. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  16. Large enhanced dielectric permittivity in polyaniline passivated core-shell nano magnetic iron oxide by plasma polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Joy, Lija K.; Sooraj, V.; Sethulakshmi, N.; Anantharaman, M. R., E-mail: mraiyer@yahoo.com [Department of Physics, Cochin University of Science and Technology, Cochin-682022, Kerala (India); Sajeev, U. S. [Department of Physics, Government College, Kottayam-686613, Kerala (India); Nair, Swapna S. [Department of Physics, School of Mathematical and Physical Sciences, Central University of Kerala, Kasargode-671123, Kerala (India); Narayanan, T. N. [CSIR-Central Electrochemical Research Institute, Karaikkudi-630006, Tamil Nadu (India); Ajayan, P. M. [Department of Material Science and Nano Engineering, Rice University, 6100 Main Street, Houston, Texas 7700 (United States)

    2014-03-24

    Commercial samples of Magnetite with size ranging from 25–30 nm were coated with polyaniline by using radio frequency plasma polymerization to achieve a core shell structure of magnetic nanoparticle (core)–Polyaniline (shell). High resolution transmission electron microscopy images confirm the core shell architecture of polyaniline coated iron oxide. The dielectric properties of the material were studied before and after plasma treatment. The polymer coated magnetite particles exhibited a large dielectric permittivity with respect to uncoated samples. The dielectric behavior was modeled using a Maxwell–Wagner capacitor model. A plausible mechanism for the enhancement of dielectric permittivity is proposed.

  17. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  18. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  19. An Iterative Method for Solving of Coupled Equations for Conductive-Radiative Heat Transfer in Dielectric Layers

    Directory of Open Access Journals (Sweden)

    Vasyl Chekurin

    2017-01-01

    Full Text Available The mathematical model for describing combined conductive-radiative heat transfer in a dielectric layer, which emits, absorbs, and scatters IR radiation both in its volume and on the boundary, has been considered. A nonlinear stationary boundary-value problem for coupled heat and radiation transfer equations for the layer, which exchanges by energy with external medium by convection and radiation, has been formulated. In the case of optically thick layer, when its thickness is much more of photon-free path, the problem becomes a singularly perturbed one. In the inverse case of optically thin layer, the problem is regularly perturbed, and it becomes a regular (unperturbed one, when the layer’s thickness is of order of several photon-free paths. An iterative method for solving of the unperturbed problem has been developed and its convergence has been tested numerically. With the use of the method, the temperature field and radiation fluxes have been studied. The model and method can be used for development of noncontact methods for temperature testing in dielectrics and for nondestructive determination of its radiation properties on the base of the data obtained by remote measuring of IR radiation emitted by the layer.

  20. Formation and properties of the buried isolating silicon-dioxide layer in double-layer “porous silicon-on-insulator” structures

    Energy Technology Data Exchange (ETDEWEB)

    Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)

    2017-01-15

    The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.

  1. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  2. Dielectric relaxation dependent memory elements in pentacene/[6,6]-phenyl-C61-butyric acid methyl ester bi-layer field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Park, Byoungnam

    2015-03-02

    We fabricate a pentacene/[6,6]-phenyl-C{sub 61}-butyric acid methyl ester (PCBM) bi-layer field effect transistor (FET) featuring large hysteresis that can be used as memory elements. Intentional introduction of excess electron traps in a PCBM layer by exposure to air caused large hysteresis in the FET. The memory window, characterized by the threshold voltage difference, increased upon exposure to air and this is attributed to an increase in the number of electron trapping centers and (or) an increase in the dielectric relaxation time in the underlying PCBM layer. Decrease in the electron conduction in the PCBM close to the SiO{sub 2} gate dielectric upon exposure to air is consistent with the increase in the dielectric relaxation time, ensuring that the presence of large hysteresis in the FET originates from electron trapping at the PCBM not at the pentacene. - Highlights: • Charge trapping-induced memory effect was clarified using transistors. • The memory window can be enhanced by controlling charge trapping mechanism. • Memory transistors can be optimized by controlling dielectric relaxation time.

  3. Light scattering in plane dielectric layers: Modeling in the 2d reciprocal space

    International Nuclear Information System (INIS)

    Shcherbakov, Alexey A.; Tishchenko, Alexandre V.

    2012-01-01

    The generalized source method previously developed for the light diffraction calculation on periodic dielectric structures is applied for the light scattering calculation in non-periodic planar media. This significantly enlarges the domain of applicability of Fourier-methods in light scattering modeling since the generalized source method is of much less numerical complexity than other rigorous methods used. -- Highlights: ► Method for light scattering simulation in planar layers. ► The approach is fairly independent of scattering particles’ shape. ► The method is based on the rigorous solution of Maxwell's equations. ► Each calculation stage allows the accuracy control by the convergence monitoring. ► Possibility to consider any practically possible dielectric materials.

  4. Boosting water oxidation layer-by-layer.

    Science.gov (United States)

    Hidalgo-Acosta, Jonnathan C; Scanlon, Micheál D; Méndez, Manuel A; Amstutz, Véronique; Vrubel, Heron; Opallo, Marcin; Girault, Hubert H

    2016-04-07

    Electrocatalysis of water oxidation was achieved using fluorinated tin oxide (FTO) electrodes modified with layer-by-layer deposited films consisting of bilayers of negatively charged citrate-stabilized IrO2 NPs and positively charged poly(diallyldimethylammonium chloride) (PDDA) polymer. The IrO2 NP surface coverage can be fine-tuned by controlling the number of bilayers. The IrO2 NP films were amorphous, with the NPs therein being well-dispersed and retaining their as-synthesized shape and sizes. UV/vis spectroscopic and spectro-electrochemical studies confirmed that the total surface coverage and electrochemically addressable surface coverage of IrO2 NPs increased linearly with the number of bilayers up to 10 bilayers. The voltammetry of the modified electrode was that of hydrous iridium oxide films (HIROFs) with an observed super-Nernstian pH response of the Ir(III)/Ir(IV) and Ir(IV)-Ir(IV)/Ir(IV)-Ir(V) redox transitions and Nernstian shift of the oxygen evolution onset potential. The overpotential of the oxygen evolution reaction (OER) was essentially pH independent, varying only from 0.22 V to 0.28 V (at a current density of 0.1 mA cm(-2)), moving from acidic to alkaline conditions. Bulk electrolysis experiments revealed that the IrO2/PDDA films were stable and adherent under acidic and neutral conditions but degraded in alkaline solutions. Oxygen was evolved with Faradaic efficiencies approaching 100% under acidic (pH 1) and neutral (pH 7) conditions, and 88% in alkaline solutions (pH 13). This layer-by-layer approach forms the basis of future large-scale OER electrode development using ink-jet printing technology.

  5. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    Directory of Open Access Journals (Sweden)

    Constantinos A. Valagiannopoulos

    2012-01-01

    Full Text Available The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal power transfer from the external source to the internal region. We define a quantity of interest, called “enhancement factor,” expressing the increase of the field concentration in the film-slab when the superstrate is present compared to the case that it is absent. It is shown that large enhancement factor values may be achieved by choosing properly the permittivity, the permeability, and the thickness of the superstrate. In particular, it is demonstrated that the field in the film-slab is significantly enhanced when the slab is composed by an ϵ-near-zero (ENZ or low-index metamaterial.

  6. Dielectric Properties and Oxidation Roasting of Molybdenite Concentrate by Using Microwave Energy at 2.45 GHz Frequency

    Science.gov (United States)

    Yonglin, Jiang; Bingguo, Liu; Peng, Liu; Jinhui, Peng; Libo, Zhang

    2017-12-01

    Conversion of electromagnetic energy into heat depends largely on the dielectric properties of the material being treated. Therefore, determining the dielectric properties of molybdenite concentrate and its microwave power penetration depth in relation to a temperature increment at the commercial frequency of 2.45 GHz is necessary to design industrial microwave processing units. In this study, the dielectric constants increased as the temperature increased in the entire experimental range. The loss factor presented an opposite trend, except for 298 K to 373 K (25 °C to 100 °C) in which a cavity perturbation resonator was used. The plots of nonlinear surface fitting indicate that the increase in dielectric loss causes a considerable decrease in penetration depth, but the dielectric constants exert a small positive effect. The thermogravimetric analysis (TGA-DSC) of the molybdenite concentrate was carried out to track its thermal decomposition process, aim to a dielectric analysis during the microwave heating. MoO3 was prepared from molybdenite concentrate through oxidation roasting in a microwave heating system and a resistance furnace, respectively. The phase transitions and morphology evolutions during oxidation roasting were characterized through X-ray diffraction and scanning electron microscopy. Results show that microwave thermal technique can produce high-purity molybdenum trioxide.

  7. PLZT capacitor and method to increase the dielectric constant

    Science.gov (United States)

    Taylor, Ralph S.; Fairchild, Manuel Ray; Balachjandran, Uthamalingam; Lee, Tae H.

    2017-12-12

    A ceramic-capacitor includes a first electrically-conductive-layer, a second electrically-conductive-layer arranged proximate to the first electrically-conductive-layer, and a dielectric-layer interposed between the first electrically-conductive-layer and the second electrically-conductive-layer. The dielectric-layer is formed of a lead-lanthanum-zirconium-titanate material (PLZT), wherein the PLZT is characterized by a dielectric-constant greater than 125, when measured at 25 degrees Celsius and zero Volts bias, and an excitation frequency of ten-thousand Hertz (10 kHz). A method for increasing a dielectric constant of the lead-lanthanum-zirconium-titanate material (PLZT) includes the steps of depositing PLZT to form a dielectric-layer of a ceramic-capacitor, and heating the ceramic-capacitor to a temperature not greater than 300.degree. C.

  8. Manipulation of stored charge in anodic aluminium oxide/SiO2 dielectric stacks by the use of pulsed anodisation

    International Nuclear Information System (INIS)

    Lu, Zhong; Ouyang, Zi; Grant, Nicholas; Wan, Yimao; Yan, Di; Lennon, Alison

    2016-01-01

    Graphical abstract: - Highlights: • Pulse anodisation was used to grow AAO layers with controllable stored charge. • Stored charge density ranging from −5.2 × 10 11 to 2.5 × 10 12 q/cm 2 was demonstrated. • Enhancement in surface passivation was demonstrated with charge management. • Annealing significantly reduces the positive stored charge and the interface defect. - Abstract: A method of fabricating anodic aluminium oxide (AAO) with the capability of manipulating its stored charge is reported. This method involves the use of a pulsed current source to anodise aluminium layers instead of the typically used constant current/voltage source, with the test structures experiencing positive and negative cycles periodically. By tuning the positive cycle percentage, it is demonstrated that the effective stored charge density can be manipulated in a range from −5.2 × 10 11 to 2.5 × 10 12 q/cm 2 when the AAO is formed over a 12 nm SiO 2 layer. An investigation of the stored charge distribution in the dielectric stacks indicates a positive fixed charge at the SiO 2 /Si interface, a negative fixed charge at the AAO/SiO 2 interface and a positive bulk charge within the AAO layer. The effective stored charge density and interface states were found to be affected by annealing conditions and it is suggested that oxygen annealing can reduce the bulk positive charge while post-metallisation anneal is most effective in reducing silicon interface defects. Charge manipulation using pulsed anodisation is shown to reduce carrier recombination on boron-diffused silicon surfaces highlighting the potential of the process to be used to tune the electrical properties of dielectric layers so that they can reduce surface recombination on silicon surfaces having different dopant polarity and concentrations.

  9. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad

    2016-10-24

    Electric discharge in liquids is an emerging field of research, and is involved into various environmental applications (water purification, fuel reforming, nanomaterial synthesis, etc.). Increasing the treatment efficiency with simultaneous decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge efficiency can be improved by changing the interface position regarding the anode tip. The efficiency increase is due to the increase of the discharge probability as well as the plasma volume. The understanding of the experimental results is brought and strengthened by simulating the electric field distribution, using Comsol Multiphysics software. Because the dielectric permittivity (ε) is discontinuous at the interface, the electric field is enhanced by a factor that depends on the relative value of ε of the two liquids. The present result is very promising in future: opportunities for potential applications as well as fundamental studies for discharges in liquid.

  10. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  11. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  12. Recent developments in the MOCVD and ALD of rare earth oxides and silicates

    International Nuclear Information System (INIS)

    Jones, Anthony C.; Aspinall, Helen C.; Chalker, Paul R.; Potter, Richard J.; Kukli, Kaupo; Rahtu, Antti; Ritala, Mikko; Leskelae, Markku

    2005-01-01

    Lanthanide, or rare-earth oxides are currently being investigated as alternatives to SiO 2 as the dielectric insulating layer in sub-0.1 μm CMOS technology. Metalorganic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of these high-κ dielectric oxides and in this paper some of our recent research into the MOCVD and ALD of PrO x , La 2 O 3 , Gd 2 O 3 , Nd 2 O 3 and their related silicates are reviewed

  13. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    GaN is recognized as a possible material for metal oxide semiconductor field effect transistors (MOSFETs) used in high temperature, high power and high speed electronic applications. However, high gate leakage and low device breakdown voltages limit their use in these applications. The use of high-kappa dielectrics, which have both a high permittivity (ε) and high band gap energy (Eg), can reduce the leakage current density that adversely affects MOS devices. La2O3 and Sc2O 3 are rare earth oxides with a large Eg (6.18 eV and 6.3 eV respectively) and a relatively high ε (27 and 14.1 respectively), which make them good candidates for enhancing MOSFET performance. Epitaxial growth of oxides is a possible approach to reducing leakage current and Fermi level pinning related to a high density of interface states for dielectrics on compound semiconductors. In this work, La2O3 and Sc2O 3 were characterized structurally and electronically as potential epitaxial gate dielectrics for use in GaN based MOSFETs. GaN surface treatments were examined as a means for additional interface passivation and influencing subsequent oxide formation. Potassium persulfate (K2(SO4)2) and potassium hydroxide (KOH) were explored as a way to achieve improved passivation and desired surface termination for GaN films deposited on sapphire substrates by metal organic chemical vapor deposition (MOCVD). X-ray photoelectron spectroscopy (XPS) showed that KOH left a nitrogen-rich interface, while K2(SO 4)2 left a gallium-rich interface, which provides a way to control surface oxide formation. K2(SO4)2 exhibited a shift in the O1s peak indicating the formation of a gallium-rich GaOx at the surface with decreased carbon contaminants. GaO x acts as a passivating layer prior to dielectric deposition, which resulted in an order of magnitude reduction in leakage current, a reduced hysteresis window, and an overall improvement in device performance. Furthermore, K2(SO4)2 resulted in an additional 0.4 eV of

  14. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  15. Oxidation of Dodecanoate Intercalated Iron(II)–Iron(III) Layered Double Hydroxide to Form 2D Iron(III) (Hydr)oxide Layers

    DEFF Research Database (Denmark)

    Huang, Li‐Zhi; Ayala‐Luis, Karina B.; Fang, Liping

    2013-01-01

    hydroxide planar layer were preserved during the oxidation, as shown by FTIR spectroscopy. The high positive charge in the hydroxide layer produced by the oxidation of iron(II) to iron(III) is partially compensated by the deprotonation of hydroxy groups, as shown by X‐ray photoelectron spectroscopy...... between the alkyl chains of the intercalated dodecanoate anions play a crucial role in stabilizing the structure and hindering the collapse of the iron(II)–iron(III) (hydr)oxide structure during oxidation. This is the first report describing the formation of a stable planar layered octahedral iron......(III) (hydr)oxide. oxGRC12 shows promise as a sorbent and host for hydrophobic reagents, and as a possible source of single planar layers of iron(III) (hydr)oxide....

  16. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  17. Multi-layered dielectric cladding plasmonic microdisk resonator filter and coupler

    International Nuclear Information System (INIS)

    Han Cheng, Bo; Lan, Yung-Chiang

    2013-01-01

    This work develops the plasmonic microdisk filter/coupler, whose effectiveness is evaluated by finite-difference time-domain simulation and theoretical analyses. Multi-layer dielectric cladding is used to prevent the scattering of surface plasmons (SPs) from a silver microdisk. This method allows devices that efficiently perform filter/coupler functions to be developed. The resonant conditions and the effective refractive index of bounded SP modes on the microdisk are determined herein. The waveguide-to-microdisk distance barely influences the resonant wavelength but it is inversely related to the bandwidth. These findings are consistent with predictions made using the typical ring resonator model.

  18. The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric

    International Nuclear Information System (INIS)

    Shekhter, P.; Yehezkel, S.; Shriki, A.; Eizenberg, M.; Chaudhuri, A. R.; Osten, H. J.; Laha, A.

    2014-01-01

    One of the approaches for overcoming the issue of leakage current in modern metal-oxide-semiconductor devices is utilizing the high dielectric constants of lanthanide based oxides. We investigated the effect of carbon doping directly into Gd 2 O 3 layers on the performance of such devices. It was found that the amount of carbon introduced into the dielectric is above the solubility limit; carbon atoms enrich the oxide-semiconductor interface and cause a significant shift in the flat band voltage of the stack. Although the carbon atoms slightly degrade this interface, this method has a potential for tuning the flat band voltage of such structures

  19. Discharge Simulation and Fabrication Process of an Aluminum Electrode and an Alumina Layer in AC-PDP

    International Nuclear Information System (INIS)

    Liu Qifa; Ding Guifu; Liu Chang; Wang Yan; Yan Qun

    2013-01-01

    A larger space PDP cell with patterned aluminum as the addressing electrode and alumina as the dielectric layer was proposed. The aluminum electrode and the alumina dielectric layer formed on the aluminum electrode were prepared separately by magnetron sputtering and anodic oxidation for plasma display panel. The properties of the aluminum electrode and the alumina dielectric layer were tested and can meet the demand of PDP application. The resistivity of the aluminum electrode is about 5 × 10 −8 Ω·m, the voltage withstanding of the alumina dielectric layer exceeds 100 V/μm and the relative permittivity is about 3.5 at 1 MHz. With this structure, the manufacturing cost of PDP could be cut and the addressing discharge formative delay is reduced by 0.67%, which is proved by PIC-MCC simulation. (plasma technology)

  20. High thermal conductivity lossy dielectric using co-densified multilayer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-06-17

    Systems and methods are described for loss dielectrics. A method of manufacturing a lossy dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer and then densifying together. The systems and methods provide advantages because the lossy dielectrics are less costly and more environmentally friendly than the available alternatives.

  1. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  2. Manipulation of stored charge in anodic aluminium oxide/SiO{sub 2} dielectric stacks by the use of pulsed anodisation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Zhong, E-mail: z.lu@unsw.edu.au [School of Photovoltaic and Renewable Energy Engineering, The University of New South Wales, Sydney, NSW 2052 (Australia); Ouyang, Zi [School of Photovoltaic and Renewable Energy Engineering, The University of New South Wales, Sydney, NSW 2052 (Australia); Grant, Nicholas; Wan, Yimao; Yan, Di [Centre for Sustainable Energy Systems, Faculty of Engineering and Information Technology, The Australian National University, Canberra, ACT 0200 (Australia); Lennon, Alison [School of Photovoltaic and Renewable Energy Engineering, The University of New South Wales, Sydney, NSW 2052 (Australia)

    2016-02-15

    Graphical abstract: - Highlights: • Pulse anodisation was used to grow AAO layers with controllable stored charge. • Stored charge density ranging from −5.2 × 10{sup 11} to 2.5 × 10{sup 12} q/cm{sup 2} was demonstrated. • Enhancement in surface passivation was demonstrated with charge management. • Annealing significantly reduces the positive stored charge and the interface defect. - Abstract: A method of fabricating anodic aluminium oxide (AAO) with the capability of manipulating its stored charge is reported. This method involves the use of a pulsed current source to anodise aluminium layers instead of the typically used constant current/voltage source, with the test structures experiencing positive and negative cycles periodically. By tuning the positive cycle percentage, it is demonstrated that the effective stored charge density can be manipulated in a range from −5.2 × 10{sup 11} to 2.5 × 10{sup 12} q/cm{sup 2} when the AAO is formed over a 12 nm SiO{sub 2} layer. An investigation of the stored charge distribution in the dielectric stacks indicates a positive fixed charge at the SiO{sub 2}/Si interface, a negative fixed charge at the AAO/SiO{sub 2} interface and a positive bulk charge within the AAO layer. The effective stored charge density and interface states were found to be affected by annealing conditions and it is suggested that oxygen annealing can reduce the bulk positive charge while post-metallisation anneal is most effective in reducing silicon interface defects. Charge manipulation using pulsed anodisation is shown to reduce carrier recombination on boron-diffused silicon surfaces highlighting the potential of the process to be used to tune the electrical properties of dielectric layers so that they can reduce surface recombination on silicon surfaces having different dopant polarity and concentrations.

  3. New transport phenomena probed by dielectric spectroscopy of oxidized and non-oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Urbach, B.; Axelrod, E.; Sa' ar, A. [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, the Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2007-05-15

    Dielectric spectroscopy accompanied by infrared (IR) and photoluminescence (PL) spectroscopy have been utilized to reveal the correlation between transport, optical and structural properties of oxidized porous silicon (PS). Three relaxation processes at low-, mid- and high-temperatures were observed, including dc-conductivity at high-temperatures. Both the low-T relaxation and the dc conductivity were found to be thermally activated processes that involve tunneling and hopping in between the nanocrystals in oxidized PS. We have found that the dc-conductivity is limited by geometrical constrictions along the transport channels, which are not effected by the oxidation process and are characterized by activation energies of about {proportional_to}0.85 eV. The low-T relaxation process involves thermal activation followed by tunneling in between neighbor nanocrystals, with somewhat lower activation energies. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Reinforced poly(propylene oxide): a very soft and extensible dielectric electroactive polymer

    International Nuclear Information System (INIS)

    Goswami, K; Mazurek, P; Daugaard, A E; Skov, A L; Galantini, F; Gallone, G

    2013-01-01

    Poly(propylene oxide) (PPO), a novel soft elastomeric material, and its composites were investigated as a new dielectric electroactive polymer (EAP). The PPO networks were obtained from thiol-ene chemistry by photochemical crosslinking of α,ω-diallyl PPO with a tetra-functional thiol. The elastomer was reinforced with hexamethylenedisilazane treated fumed silica to improve the mechanical properties of PPO. The mechanical properties of PPO and composites thereof were investigated by shear rheology and stress–strain measurements. It was found that incorporation of silica particles improved the stability of the otherwise mechanically weak pure PPO network. Dielectric spectroscopy revealed high relative dielectric permittivity of PPO at 10 3 Hz of 5.6. The relative permittivity was decreased slightly upon addition of fillers, but remained higher than the commonly used acrylic EAP material VHB4910. The electromechanical actuation performance of both PPO and its composites showed properties as good as VHB4910 and a lower viscous loss. (paper)

  5. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  6. Charge state of oxide layer of SIMOX-structures

    CERN Document Server

    Askinazi, A Y; Dmitriev, V A; Miloglyadova, L V

    2001-01-01

    The charge state of the oxide layer of the SIMOX-structures, obtained in the course of forming the oxide layers, bricked up in the silicon volume, through the oxygen ions implantation into the Si, is studied. The charge state of the given structures is studied through the method of the layer-by-layer profiling, which makes it possible to obtain the dependence of the plane zones potential on the oxide layer thickness. It is established, that during the process of the SIMOX-structures formation in the oxide layer near the boundary with the Si there appear defects, responsible for the charge. The radiation from the near-the-ultraviolet (NUV) area without the applied electric field neutralizes the given charge. The simultaneous impact of the NUV-radiation and electric field leads to the formation of significantly positive charge

  7. Resonant cavity light-emitting diodes based on dielectric passive cavity structures

    Science.gov (United States)

    Ledentsov, N.; Shchukin, V. A.; Kropp, J.-R.; Zschiedrich, L.; Schmidt, F.; Ledentsov, N. N.

    2017-02-01

    A novel design for high brightness planar technology light-emitting diodes (LEDs) and LED on-wafer arrays on absorbing substrates is proposed. The design integrates features of passive dielectric cavity deposited on top of an oxide- semiconductor distributed Bragg reflector (DBR), the p-n junction with a light emitting region is introduced into the top semiconductor λ/4 DBR period. A multilayer dielectric structure containing a cavity layer and dielectric DBRs is further processed by etching into a micrometer-scale pattern. An oxide-confined aperture is further amended for current and light confinement. We study the impact of the placement of the active region into the maximum or minimum of the optical field intensity and study an impact of the active region positioning on light extraction efficiency. We also study an etching profile composed of symmetric rings in the etched passive cavity over the light emitting area. The bottom semiconductor is an AlGaAs-AlAs multilayer DBR selectively oxidized with the conversion of the AlAs layers into AlOx to increase the stopband width preventing the light from entering the semiconductor substrate. The approach allows to achieve very high light extraction efficiency in a narrow vertical angle keeping the reasonable thermal and current conductivity properties. As an example, a micro-LED structure has been modeled with AlGaAs-AlAs or AlGaAs-AlOx DBRs and an active region based on InGaAlP quantum well(s) emitting in the orange spectral range at 610 nm. A passive dielectric SiO2 cavity is confined by dielectric Ta2O5/SiO2 and AlGaAs-AlOx DBRs. Cylindrically-symmetric structures with multiple ring patterns are modeled. It is demonstrated that the extraction coefficient of light to the air can be increased from 1.3% up to above 90% in a narrow vertical angle (full width at half maximum (FWHM) below 20°). For very small oxide-confined apertures 100nm the narrowing of the FWHM for light extraction can be reduced down to 5

  8. Dielectric Properties of SiCf/PyC/SiC Composites After Oxidation

    Institute of Scientific and Technical Information of China (English)

    SONG Huihui; ZHOU Wancheng; LUO Fa; QING Yuchang; CHEN Malin; LI Zhimin

    2016-01-01

    In this paper, the SiC fiber-reinforced SiC matrix composites with a 0.15mm thick pyrocarbon interphase (notedas SiCf/PyC/SiC) were prepared by chemical vapor infiltration (CVI). The SiCf/PyC/SiC were oxidized in air at 950℃ for 50h. The dielectric properties after this high temperature oxidation were investigated in X-band from room temperature (RT) to 700℃. Results suggested that:e' of the SiCf/PyC/SiC after oxidation increased at first then de-creased with temperature elevating;e" increased with temperature raising in the temperature range studied.

  9. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  10. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  11. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  12. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  13. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers

    International Nuclear Information System (INIS)

    Sohal, R.

    2006-01-01

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO 2 /SiC interface. Clean, graphite-free SiO 2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr 2 O 3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr 2 O 3 and SiC, and simultaneously provide higher band offsets. (orig.)

  14. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with pradeodymium oxide dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Sohal, R.

    2006-07-24

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO{sub 2}/SiC interface. Clean, graphite-free SiO{sub 2} has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr{sub 2}O{sub 3} on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr{sub 2}O{sub 3} and SiC, and simultaneously provide higher band offsets. (orig.)

  15. Enhanced transmission through arrays of subwavelength holes in gold films coated by a finite dielectric layer

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Mortensen, Niels Asger; Qiu, M.

    2007-01-01

    resonances attributing to the enhanced transmission: the localized waveguide resonance and periodic surface plasmon resonances. For the film coated with dielectric layers, calculated results show that in the wavelength region of interest the localized waveguide resonant mode attributes to sensing rather than...

  16. Monochromatic filter with multiple manipulation approaches by the layered all-dielectric patch array

    International Nuclear Information System (INIS)

    Liu, Xiaoshan; Liu, Guiqiang; Fu, Guolan; Liu, Mulin; Liu, Zhengqi

    2016-01-01

    Monochromatic filtering with ultra-narrowband and high spectral contrast is desirable for wide applications in display, image, and other optoelectronics. However, owing to the inherent omhic losses in the metallic materials, a broadband spectrum with a low Q-factor down to 10 inevitably limits the device performance. Herein, we for the first time theoretically propose and demonstrate an ultra-narrowband color-filtering platform based on the layered all-dielectric meta-material (LADM), which consists of a triple-layer high/low/high-index dielectrics cavity structure. Owing to the lossless dielectric materials used, sharp resonances with the bandwidth down to sub-10 nm are observed in the sub-wavelength LADM-based filters. A spectral Q-factor of 361.6 is achieved, which is orders of magnitude larger than that of the plasmonic resonators. Moreover, for the other significant factor for evaluation of filtering performance, the spectral contrast reaches 94.5%. These optical properties are the main results of the excitation of the resonant modes in the LADMs. Furthermore, polarization-manipulated light filtering is realized in this LADM. The classical Malus law is also confirmed in the reflective spectrum by tuning the polarization state. More interestingly and importantly, the filtering phenomenon shows novel features of the wavelength-independent and tunable resonant intensity for the reflective spectrum when the LADM-based filter is illuminated under an oblique state. High scalability of the sharp reflective spectrum is obtained by tuning the structural parameters. A single-wavelength reflective filtering window is also achieved in the visible frequencies. These features hold promise for the LADM-based filter with wide applications in color engineering, displaying, imaging, etc. (paper)

  17. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  18. Optimal Super Dielectric Material

    Science.gov (United States)

    2015-09-01

    plate capacitor will reduce the net field to an unprecedented extent. This family of materials can form materials with dielectric values orders of... Capacitor -Increase Area (A)............8 b. Multi-layer Ceramic Capacitor -Decrease Thickness (d) .......10 c. Super Dielectric Material-Increase...circuit modeling, from [44], and B) SDM capacitor charge and discharge ...................................................22 Figure 15. Dielectric

  19. A method for building low loss multi-layer wiring for superconducting microwave devices

    Science.gov (United States)

    Dunsworth, A.; Barends, R.; Chen, Yu; Chen, Zijun; Chiaro, B.; Fowler, A.; Foxen, B.; Jeffrey, E.; Kelly, J.; Klimov, P. V.; Lucero, E.; Mutus, J. Y.; Neeley, M.; Neill, C.; Quintana, C.; Roushan, P.; Sank, D.; Vainsencher, A.; Wenner, J.; White, T. C.; Neven, H.; Martinis, John M.; Megrant, A.

    2018-02-01

    Complex integrated circuits require multiple wiring layers. In complementary metal-oxide-semiconductor processing, these layers are robustly separated by amorphous dielectrics. These dielectrics would dominate energy loss in superconducting integrated circuits. Here, we describe a procedure that capitalizes on the structural benefits of inter-layer dielectrics during fabrication and mitigates the added loss. We use a deposited inter-layer dielectric throughout fabrication and then etch it away post-fabrication. This technique is compatible with foundry level processing and can be generalized to make many different forms of low-loss wiring. We use this technique to create freestanding aluminum vacuum gap crossovers (airbridges). We characterize the added capacitive loss of these airbridges by connecting ground planes over microwave frequency λ/4 coplanar waveguide resonators and measuring resonator loss. We measure a low power resonator loss of ˜3.9 × 10-8 per bridge, which is 100 times lower than that of dielectric supported bridges. We further characterize these airbridges as crossovers, control line jumpers, and as part of a coupling network in gmon and fluxmon qubits. We measure qubit characteristic lifetimes (T1s) in excess of 30 μs in gmon devices.

  20. Influence of the spacer dielectric processes on PMOS junction properties

    International Nuclear Information System (INIS)

    Morin, Pierre; Wacquant, Francois; Juhel, Marc; Laviron, Cyrille; Lenoble, D.

    2005-01-01

    In this paper, the interaction observed in PMOS transistor between the boron lightly doped drain (LDD) extensions and the spacer oxide and nitride dielectrics have been studied, with a simple experimental methodology. Low thermal budget oxide obtained by sub-atmospheric chemical vapor deposition (SACVD) and nitride deposited by plasma process have been evaluated as spacer layers. The influence of the oxide liner hydrogen content is shown to be critical for the p type shallow junction. Indeed, during the activation anneal, hydrogen content increases the boron out diffusion from the extension into the oxide liner and yield to a significant dose loss in this area. Nitride porosity has also been studied. A lower boron dose loss is observed with a porous layer because hydrogen can degas out significantly from the oxide, during anneal, through the porous nitride film. These results confirm the model of boron out diffusion based on oxide hydrogen content proposed by Kohli. Finally, a boron diffusion mechanism driven by chemistry and enhanced by hydrogen defects is proposed

  1. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    Science.gov (United States)

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  2. Carbon nanotube transistors with graphene oxide films as gate dielectrics

    Institute of Scientific and Technical Information of China (English)

    2010-01-01

    Carbon nanomaterials,including the one-dimensional(1-D) carbon nanotube(CNT) and two-dimensional(2-D) graphene,are heralded as ideal candidates for next generation nanoelectronics.An essential component for the development of advanced nanoelectronics devices is processing-compatible oxide.Here,in analogy to the widespread use of silicon dioxide(SiO2) in silicon microelectronic industry,we report the proof-of-principle use of graphite oxide(GO) as a gate dielectrics for CNT field-effect transistor(FET) via a fast and simple solution-based processing in the ambient condition.The exceptional transistor characteristics,including low operation voltage(2 V),high carrier mobility(950 cm2/V-1 s-1),and the negligible gate hysteresis,suggest a potential route to the future all-carbon nanoelectronics.

  3. On the possibility of superluminal energy propagation in a hyperbolic metamaterial of metal-dielectric layers

    Directory of Open Access Journals (Sweden)

    Pi-Gang Luan

    2018-01-01

    Full Text Available The energy propagation of electromagnetic fields in the effective medium of a one-dimensional photonic crystal consisting of dielectric and metallic layers is investigated. We show that the medium behaves like Drude and Lorentz medium, respectively, when the electric field is parallel and perpendicular to the layers. For arbitrary time-varying electromagnetic fields in this medium, the energy density formula is derived. We prove rigorously that the group velocity of any propagating mode obeying the hyperbolic dispersion must be slower than the speed of light in vacuum, taking into account the frequency dependence of the permittivity tensor. That is, it is not possible to have superluminal propagation in this dispersive hyperbolic medium consisting of real dielectric and metallic material layers. The propagation velocity of a wave packet is also studied numerically. This packet velocity is very close to the velocity of the propagating mode having the central frequency and central wave vector of the wave packet. When the frequency spread of the wave packet is not narrow enough, small discrepancy between these two velocities manifests, which is caused by the non-penetration effect of the evanescent modes. This work reveals that no superluminal phenomenon can happen in a dispersive anisotropic metamaterial medium made of real materials.

  4. Fabrication and characterization of iron oxide dextran composite layers

    Science.gov (United States)

    Iconaru, S. L.; Predoi, S. A.; Beuran, M.; Ciobanu, C. S.; Trusca, R.; Ghita, R.; Negoi, I.; Teleanu, G.; Turculet, S. C.; Matei, M.; Badea, Monica; Prodan, A. M.

    2018-02-01

    Super paramagnetic iron oxide nanoparticles such as maghemite have been shown to exhibit antimicrobial properties [1-5]. Moreover, the iron oxide nanoparticles have been proposed as a potential magnetically controllable antimicrobial agent which could be directed to a specific infection [3-5]. The present research has focused on studies of the surface and structure of iron oxide dextran (D-IO) composite layers surface and structure. These composite layers were deposited on Si substrates. The structure of iron oxide dextran composite layers was investigated by X-Ray Diffraction (XRD) and Fourier Transform Infrared Spectroscopy (FTIR) while the surface morphology was evaluated by Scanning Electron Microscopy (SEM). The structural characterizations of the iron oxide dextran composite layers revealed the basic constituents of both iron and dextran structure. Furthermore, the in vitro evaluation of the antifungal effect of the complex layers, which have been shown revealed to be active against C. albicans cells at distinct intervals of time, is exhibited. Our research came to confirm the fungicidal effect of iron oxide dextran composite layers. Also, our results suggest that iron oxide dextran surface may be used for medical treatment of biofilm associated Candida infections.

  5. Electromagnetic Pulse Generated by a Horizontal Electric Dipole over a Perfect Conductor Covered with a Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Zheng Juan

    2018-01-01

    Full Text Available In this paper, the electromagnetic pulse due to a delta-function current excitation has been derived on the planar surface of a perfect conductor coated by a dielectric layer. The approximate expression of wave component is obtained when both the transmitting source and the receiving antennas are located on the surface of the dielectric. When the thickness of the intermediate layer is subjected to the condition of k1l<<0.6, this physical model is applied to the microstrip circuit. Analysis and computations of the wave components are carried out on the microstrip circuit, including the surface trapped wave vector which had been ignored in former studies. It is shown that the trapped-surface-wave terms should have been taken into consideration as the main contribution in total transient field in the far-field radiations.

  6. Surface patterned dielectrics by direct writing of anodic oxides using scanning droplet cell microscopy

    International Nuclear Information System (INIS)

    Siket, Christian M.; Mardare, Andrei Ionut; Kaltenbrunner, Martin; Bauer, Siegfried; Hassel, Achim Walter

    2013-01-01

    Highlights: • Scanning droplet cell microscopy was applied for local gate oxide writing. • Sharp lines are obtained at the highest writing speed of 1 mm min −1 . • 13.4 kC cm −3 was found as charge per volume for aluminium oxide. • High field constant of 24 nm V −1 and dielectric constant of 12 were determined for Al 2 O 3 by CV and EIS. -- Abstract: Scanning droplet cell microscopy was used for patterning of anodic oxide lines on the surface of Al thin films by direct writing. The structural modifications of the written oxide lines as a function of the writing speed were studied by analyzing the relative error of the line widths. Sharper lines were obtained for writing speeds faster than 1 mm min −1 . An increase in sharpness was observed for higher writing speeds. A theoretical model based on the Faraday law is proposed to explain the constant anodisation current measured during the writing process and yielded a charge per volume of 13.4 kC cm −3 for Al 2 O 3 . From calculated oxide film thicknesses the high field constant was found to be 24 nm V −1 . Electrochemical impedance spectroscopy revealed an increase of the electrical permittivity up to ε = 12 with the decrease of the writing speed of the oxide line. Writing of anodic oxide lines was proven to be an important step in preparing capacitors and gate dielectrics in plastic electronics

  7. Artificial dispersion via high-order homogenization: magnetoelectric coupling and magnetism from dielectric layers

    Science.gov (United States)

    Liu, Yan; Guenneau, Sébastien; Gralak, Boris

    2013-01-01

    We investigate a high-order homogenization (HOH) algorithm for periodic multi-layered stacks. The mathematical tool of choice is a transfer matrix method. Expressions for effective permeability, permittivity and magnetoelectric coupling are explored by frequency power expansions. On the physical side, this HOH uncovers a magnetoelectric coupling effect (odd-order approximation) and artificial magnetism (even-order approximation) in moderate contrast photonic crystals. Comparing the effective parameters' expressions of a stack with three layers against that of a stack with two layers, we note that the magnetoelectric coupling effect vanishes while the artificial magnetism can still be achieved in a centre-symmetric periodic structure. Furthermore, we numerically check the effective parameters through the dispersion law and transmission property of a stack with two dielectric layers against that of an effective bianisotropic medium: they are in good agreement throughout the low-frequency (acoustic) band until the first stop band, where the analyticity of the logarithm function of the transfer matrix () breaks down. PMID:24101891

  8. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  9. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  10. Heat transfer enhancement induced by electrically generated convection in a plane layer of dielectric liquid

    International Nuclear Information System (INIS)

    Traoré, P; Wu, J; Romat, H; Louste, C; Perez, A; Koulova, D

    2012-01-01

    The electro-thermo-convective motion in a plane horizontal dielectric liquid layer subjected to simultaneous action of electric field and thermal gradient is numerically investigated. We consider the case of a strong unipolar charge injection C = 10 from above or below. Therefore in this context, we only take into account the Coulomb force, disregarding the dielectric one. The effect of the electric field on the heat transfer is analyzed through the characterization of the time history of the Nusselt number as well as its evolution according to the characteristic dimensionless electric parameter T. It is demonstrated that the electric effects dominate the buoyancy ones resulting in an electrically induced convection which significantly enhance the heat transfer.

  11. Frequency dispersion analysis of thin dielectric MOS capacitor in a five-element model

    Science.gov (United States)

    Zhang, Xizhen; Zhang, Sujuan; Zhu, Huichao; Pan, Xiuyu; Cheng, Chuanhui; Yu, Tao; Li, Xiangping; Cheng, Yi; Xing, Guichao; Zhang, Daming; Luo, Xixian; Chen, Baojiu

    2018-02-01

    An Al/ZrO2/IL/n-Si (IL: interface layer) MOS capacitor has been fabricated by metal organic decomposition of ZrO2 and thermal deposition Al. We have measured parallel capacitance (C m) and parallel resistance (R m) versus bias voltage curves (C m, R m-V) at different AC signal frequency (f), and C m, R m-f curves at different bias voltage. The curves of C m, R m-f measurements show obvious frequency dispersion in the range of 100 kHz-2 MHz. The energy band profile shows that a large voltage is applied on the ZrO2 layer and IL at accumulation, which suggests possible dielectric polarization processes by some traps in ZrO2 and IL. C m, R m-f data are used for frequency dispersion analysis. To exclude external frequency dispersion, we have extracted the parameters of C (real MOS capacitance), R p (parallel resistance), C IL (IL capacitance), R IL (IL resistance) and R s (Si resistance) in a five-element model by using a three-frequency method. We have analyzed intrinsic frequency dispersion of C, R p, C IL, R IL and R s by studying the dielectric characteristics and Si surface layer characteristics. At accumulation, the dispersion of C and R p is attributed to dielectric polarization such as dipolar orientation and oxide traps. The serious dispersion of C IL and R IL are relative to other dielectric polarization, such as border traps and fixed oxide traps. The dispersion of R s is mainly attributed to contact capacitance (C c) and contact resistance (R c). At depletion and inversion, the frequency dispersion of C, R p, C IL, R IL, and R s are mainly attributed to the depletion layer capacitance (C D). The interface trap capacitance (C it) and interface trap resistance (R it) are not dominant for the dispersion of C, R p, C IL, R IL, and R s.

  12. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  13. Transmission electron microscopy characterization of Zircaloy-4 and ZIRLO™ oxide layers

    International Nuclear Information System (INIS)

    Gabory, Benoit de; Motta, Arthur T.; Wang, Ke

    2015-01-01

    Waterside corrosion of zirconium alloy nuclear fuel cladding varies markedly from one alloy to another. In addition, for a given alloy, the corrosion rate evolves during the corrosion process, most notably when the oxide loses its stability at the oxide transition. In an effort to understand the mechanism resulting in the variations of corrosion rate observed at the oxide transition, oxide layers formed on Zircaloy-4 and ZIRLO™ in high temperature water autoclave environments, and archived before and after the transition, are characterized using transmission electron microscopy. The study characterizes and compares the oxide morphology in both alloys at different times during the corrosion process, in an effort to understand the oxide growth mechanism for these alloys. Results show that the oxide is mainly composed of monoclinic ZrO 2 , with a preponderance of columnar oxide grains which extend to the oxide/metal interface. The oxide formed right after the transition has occurred, exhibits a 150 nm-wide layer of small equiaxed grains with high tetragonal oxide fraction. This layer has a similar morphology and structure as the first oxide layer formed (observed near the oxide/water interface). A study of the oxygen-rich region near the oxide/metal interface reveals a complex structure of different phases at different stages of corrosion. The interface exhibits an intermediate layer, identified as ZrO, a discontinuous layer of “blocky” Zr 3 O grains embedded in the ZrO layer, and a suboxide layer corresponding to an oxygen saturated solid solution in the metal matrix side. The thickness of this interfacial layer decreased markedly at the transition. Hydrides are also observed in that region, with a definite orientation relationship with the matrix. The observations of the oxide/metal interface are qualitatively similar for the two alloys but quantitatively different. The incorporation of intermetallic precipitates into the oxide layer is also studied, and

  14. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti

    2017-06-08

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  15. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti; Alshammari, Fwzah H.; Salama, Khaled N.; Alshareef, Husam N.

    2017-01-01

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  16. Compensation of propagation loss of surface plasmon polaritons with a finite-thickness dielectric gain layer

    International Nuclear Information System (INIS)

    Zhang, Xin; Liu, Haitao; Zhong, Ying

    2012-01-01

    We theoretically study the compensation of propagation loss of surface plasmon polaritons (SPPs) with the use of a finite-thickness dielectric layer with optical gain. The impacts of the gain coefficient, the gain-layer thickness and the wavelength on the loss compensation and the field distribution of the SPP mode are systematically explored with a fully vectorial method. Abnormal behaviors for the loss compensation as the gain-layer thickness increases are found and explained. Critical values of the gain coefficient and of the corresponding gain-layer thickness for just compensating the propagation loss are provided. Our results show that as the SPP propagation loss is fully compensated with a gain coefficient at a reasonably low level, the gain layer is still thin enough to ensure a large exterior SPP field at the gain-layer/air interface, which is important for achieving a strong light–matter interaction for applications such as bio-chemical sensing. (paper)

  17. Two-dimensional optical simulation on a visible ray passing through inter-metal dielectric layers of CMOS image sensor device

    International Nuclear Information System (INIS)

    Lee, Wan-Gyu; Kim, Jun-Seok; Kim, Hee-Jeen; Kim, Sang-Young; Hwang, Sung-Bo; Lee, Jeong-Gun

    2005-01-01

    Two-dimensional optical simulation has been performed for investigating light propagation through a micro lens and inter-metal dielectric (IMD) layers in an Al and Cu back-end of line (BEOL) onto a Si photodiode, and its effects on the wave power, as well as optical carriers generated by a visible ray in the silicon substrate area, i.e. photodiode of a CMOS image sensor pixel. The number of optically generated carriers in an Al-BEOL has been compared to a Cu-BEOL. It is shown that more optical carriers are generated in the Cu-BEOL for the red color because a higher permittivity dielectric material like SiC is used in the Cu-BEOL to prevent Cu from diffusing into the dielectric material, resulting in higher optical loss in the higher- permittivity dielectric layers. Thus, the optical power density arriving in the silicon substrate is higher in the Al-BEOL than in the Cu-BEOL when the wavelength is blue (470 nm) or green (550 nm) in the visible ray spectrum. In conclusion, the structure of a Cu-BEOL in a CMOS image sensor has to be optimized for generating more optical carriers through lower-permittivity IMD materials or by reducing the permittivity difference between SiC (or SiN) and IMD materials, without deteriorating the capability as a barrier to Cu diffusion.

  18. Life estimation and analysis of dielectric strength, hydrocarbon backbone and oxidation of high voltage multi stressed EPDM composites

    Science.gov (United States)

    Khattak, Abraiz; Amin, Muhammad; Iqbal, Muhammad; Abbas, Naveed

    2018-02-01

    Micro and nanocomposites of ethylene propylene diene monomer (EPDM) are recently studied for different characteristics. Study on life estimation and effects of multiple stresses on its dielectric strength and backbone scission and oxidation is also vital for endorsement of these composites for high voltage insulation and other outdoor applications. In order to achieve these goals, unfilled EPDM and its micro and nanocomposites are prepared at 23 phr micro silica and 6 phr nanosilica loadings respectively. Prepared samples are energized at 2.5 kV AC voltage and subjected for a long time to heat, ultraviolet radiation, acid rain, humidity and salt fog in accelerated manner in laboratory. Dielectric strength, leakage current and intensity of saturated backbone and carbonyl group are periodically measured. Loss in dielectric strength, increase in leakage current and backbone degradation and oxidation were observed in all samples. These effects were least in the case of EPDM nanocomposite. The nanocomposite sample also demonstrated longest shelf life.

  19. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    Science.gov (United States)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  20. Processes for multi-layer devices utilizing layer transfer

    Science.gov (United States)

    Nielson, Gregory N; Sanchez, Carlos Anthony; Tauke-Pedretti, Anna; Kim, Bongsang; Cederberg, Jeffrey; Okandan, Murat; Cruz-Campa, Jose Luis; Resnick, Paul J

    2015-02-03

    A method includes forming a release layer over a donor substrate. A plurality of devices made of a first semiconductor material are formed over the release layer. A first dielectric layer is formed over the plurality of devices such that all exposed surfaces of the plurality of devices are covered by the first dielectric layer. The plurality of devices are chemically attached to a receiving device made of a second semiconductor material different than the first semiconductor material, the receiving device having a receiving substrate attached to a surface of the receiving device opposite the plurality of devices. The release layer is etched to release the donor substrate from the plurality of devices. A second dielectric layer is applied over the plurality of devices and the receiving device to mechanically attach the plurality of devices to the receiving device.

  1. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  2. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    Science.gov (United States)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  3. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  4. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  5. Cation Effects on the Layer Structure of Biogenic Mn-Oxides

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, M.; Ginder-Vogel, M; Parikh, S; Feng, X; Sparks, D

    2010-01-01

    Biologically catalyzed Mn(II) oxidation produces biogenic Mn-oxides (BioMnO{sub x}) and may serve as one of the major formation pathways for layered Mn-oxides in soils and sediments. The structure of Mn octahedral layers in layered Mn-oxides controls its metal sequestration properties, photochemistry, oxidizing ability, and topotactic transformation to tunneled structures. This study investigates the impacts of cations (H{sup +}, Ni(II), Na{sup +}, and Ca{sup 2+}) during biotic Mn(II) oxidation on the structure of Mn octahedral layers of BioMnO{sub x} using solution chemistry and synchrotron X-ray techniques. Results demonstrate that Mn octahedral layer symmetry and composition are sensitive to previous cations during BioMnO{sub x} formation. Specifically, H{sup +} and Ni(II) enhance vacant site formation, whereas Na{sup +} and Ca{sup 2+} favor formation of Mn(III) and its ordered distribution in Mn octahedral layers. This study emphasizes the importance of the abiotic reaction between Mn(II) and BioMnO{sub x} and dependence of the crystal structure of BioMnO{sub x} on solution chemistry.

  6. Development of examination technique for oxide layer thickness measurement of irradiated fuel rods

    International Nuclear Information System (INIS)

    Koo, D. S.; Park, S. W.; Kim, J. H.; Seo, H. S.; Min, D. K.; Kim, E. K.; Chun, Y. B.; Bang, K. S.

    1999-06-01

    Technique for oxide layer thickness measurement of irradiated fuel rods was developed to measure oxide layer thickness and study characteristic of fuel rods. Oxide layer thickness of irradiated fuels were measured, analyzed. Outer oxide layer thickness of 3 cycle-irradiated fuel rods were 20 - 30 μm, inner oxide layer thickness 0 - 10 μm and inner oxide layer thickness on cracked cladding about 30 μm. Oxide layer thickness of 4 cycle-irradiated fuel rods were about 2 times as thick as those of 1 cycle-irradiated fuel rods. Oxide layer on lower region of irradiated fuel rods was thin and oxide layer from lower region to upper region indicated gradual increase in thickness. Oxide layer thickness from 2500 to 3000 mm showed maximum and oxide layer thickness from 3000 to top region of irradiated fuel rods showed decreasing trend. Inner oxide layer thicknesses of 4 cycle-irradiated fuel rod were about 8 μm at 750 - 3500 mm from the bottom end of fuel rod. Outer oxide layer thickness were about 8 μm at 750 - 1000 mm from the bottom end of fuel rod. These indicated gradual increase up to upper region from the bottom end of fuel rod. These indicated gradual increase up to upper region from the bottom end of fuel. Oxide layer thickness technique will apply safety evaluation and study of reactor fuels. (author). 6 refs., 14 figs

  7. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.; Wang, H.; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2012-01-01

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  8. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-09-10

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  9. Al2O3 dielectric layers on H-terminated diamond: Controlling surface conductivity

    Science.gov (United States)

    Yang, Yu; Koeck, Franz A.; Dutta, Maitreya; Wang, Xingye; Chowdhury, Srabanti; Nemanich, Robert J.

    2017-10-01

    This study investigates how the surface conductivity of H-terminated diamond can be preserved and stabilized by using a dielectric layer with an in situ post-deposition treatment. Thin layers of Al2O3 were grown by plasma enhanced atomic layer deposition (PEALD) on H-terminated undoped diamond (100) surfaces. The changes of the hole accumulation layer were monitored by correlating the binding energy of the diamond C 1s core level with electrical measurements. The initial PEALD of 1 nm Al2O3 resulted in an increase of the C 1s core level binding energy consistent with a reduction of the surface hole accumulation and a reduction of the surface conductivity. A hydrogen plasma step restored the C 1s binding energy to the value of the conductive surface, and the resistance of the diamond surface was found to be within the range for surface transfer doping. Further, the PEALD growth did not appear to degrade the surface conductive layer according to the position of the C 1s core level and electrical measurements. This work provides insight into the approaches to establish and control the two-dimensional hole-accumulation layer of the H-terminated diamond and improve the stability and performance of H-terminated diamond electronic devices.

  10. Low leakage stoichiometric SrTiO{sub 3} dielectric for advanced metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Popovici, Mihaela; Kaczer, Ben; Redolfi, Augusto; Elshocht, Sven van; Jurczak, Malgorzata [imec Belgium, Leuven (Belgium); Afanas' ev, Valeri V. [Department of Physics and Astronomy, KU Leuven (Belgium); Sereni, Gabriele [DISMI, Universita degli Studi di Modena e Reggio Emilia, (Italy); Larcher, Luca [DISMI, Universita degli Studi di Modena e Reggio Emilia, (Italy); MDLab, Saint Christophe (Italy)

    2016-05-15

    Metal-insulator-metal capacitors (MIMCAP) with stoichiometric SrTiO{sub 3} dielectric were deposited stacking two strontium titanate (STO) layers, followed by intermixing the grain determining Sr-rich STO seed layer, with the Ti-rich STO top layer. The resulted stoichiometric SrTiO{sub 3} would have a structure with less defects as demonstrated by internal photoemission experiments. Consequently, the leakage current density is lower compared to Sr-rich STO which allow further equivalent oxide thickness downscaling. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Significance of the double-layer capacitor effect in polar rubbery dielectrics and exceptionally stable low-voltage high transconductance organic transistors.

    Science.gov (United States)

    Wang, Chao; Lee, Wen-Ya; Kong, Desheng; Pfattner, Raphael; Schweicher, Guillaume; Nakajima, Reina; Lu, Chien; Mei, Jianguo; Lee, Tae Hoon; Wu, Hung-Chin; Lopez, Jeffery; Diao, Ying; Gu, Xiaodan; Himmelberger, Scott; Niu, Weijun; Matthews, James R; He, Mingqian; Salleo, Alberto; Nishi, Yoshio; Bao, Zhenan

    2015-12-14

    Both high gain and transconductance at low operating voltages are essential for practical applications of organic field-effect transistors (OFETs). Here, we describe the significance of the double-layer capacitance effect in polar rubbery dielectrics, even when present in a very low ion concentration and conductivity. We observed that this effect can greatly enhance the OFET transconductance when driven at low voltages. Specifically, when the polar elastomer poly(vinylidene fluoride-co-hexafluoropropylene) (e-PVDF-HFP) was used as the dielectric layer, despite a thickness of several micrometers, we obtained a transconductance per channel width 30 times higher than that measured for the same organic semiconductors fabricated on a semicrystalline PVDF-HFP with a similar thickness. After a series of detailed experimental investigations, we attribute the above observation to the double-layer capacitance effect, even though the ionic conductivity is as low as 10(-10) S/cm. Different from previously reported OFETs with double-layer capacitance effects, our devices showed unprecedented high bias-stress stability in air and even in water.

  12. Significance of the double-layer capacitor effect in polar rubbery dielectrics and exceptionally stable low-voltage high transconductance organic transistors

    Science.gov (United States)

    Wang, Chao; Lee, Wen-Ya; Kong, Desheng; Pfattner, Raphael; Schweicher, Guillaume; Nakajima, Reina; Lu, Chien; Mei, Jianguo; Lee, Tae Hoon; Wu, Hung-Chin; Lopez, Jeffery; Diao, Ying; Gu, Xiaodan; Himmelberger, Scott; Niu, Weijun; Matthews, James R.; He, Mingqian; Salleo, Alberto; Nishi, Yoshio; Bao, Zhenan

    2015-01-01

    Both high gain and transconductance at low operating voltages are essential for practical applications of organic field-effect transistors (OFETs). Here, we describe the significance of the double-layer capacitance effect in polar rubbery dielectrics, even when present in a very low ion concentration and conductivity. We observed that this effect can greatly enhance the OFET transconductance when driven at low voltages. Specifically, when the polar elastomer poly(vinylidene fluoride-co-hexafluoropropylene) (e-PVDF-HFP) was used as the dielectric layer, despite a thickness of several micrometers, we obtained a transconductance per channel width 30 times higher than that measured for the same organic semiconductors fabricated on a semicrystalline PVDF-HFP with a similar thickness. After a series of detailed experimental investigations, we attribute the above observation to the double-layer capacitance effect, even though the ionic conductivity is as low as 10–10 S/cm. Different from previously reported OFETs with double-layer capacitance effects, our devices showed unprecedented high bias-stress stability in air and even in water. PMID:26658331

  13. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  14. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  15. Electronic transport and dielectric properties of low-dimensional structures of layered transition metal dichalcogenides

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Ashok, E-mail: ashok.1777@yahoo.com; Ahluwalia, P.K., E-mail: pk_ahluwalia7@yahoo.com

    2014-02-25

    Graphical abstract: We present electronic transport and dielectric response of layered transition metal dichalcogenides nanowires and nanoribbons. Illustration 1: Conductance (G) and corresponding local density of states(LDOS) for LTMDs wires at applied bias. I–V characterstics are shown in lowermost panels. Highlights: • The studied configurations show metallic/semiconducting nature. • States around the Fermi energy are mainly contributed by the d orbitals of metal atoms. • The studied configurations show non-linear current–voltage (I–V) characteristics. • Additional plasmonic features at low energy have been observed for both wires and ribbons. • Dielectric functions for both wires and ribbons are anisotropic (isotropic) at low (high) energy range. -- Abstract: We present first principle study of the electronic transport and dielectric properties of nanowires and nanoribbons of layered transition metal dichalcogenides (LTMDs), MX{sub 2} (M = Mo, W; X = S, Se, Te). The studied configuration shows metallic/semiconducting nature and the states around the Fermi energy are mainly contributed by the d orbitals of metal atoms. Zero-bias transmission show 1G{sub 0} conductance for the ribbons of MoS{sub 2} and WS{sub 2}; 2G{sub 0} conductance for MoS{sub 2}, WS{sub 2}, WSe{sub 2} wires, and ribbons of MoTe{sub 2} and WTe{sub 2}; and 3G{sub 0} conductance for WSe{sub 2} ribbon. The studied configurations show non-linear current–voltage (I–V) characteristics. Negative differential conductance (NDC) has also been observed for the nanoribbons of the selenides and tellurides of both Mo and W. Furthermore, additional plasmonic features below 5 eV energy have been observed for both wires and ribbons as compared to the corresponding monolayers, which is found to be red-shifted on going from nanowires to nanoribbons.

  16. Three-dimensional periodic dielectric structures having photonic Dirac points

    Science.gov (United States)

    Bravo-Abad, Jorge; Joannopoulos, John D.; Soljacic, Marin

    2015-06-02

    The dielectric, three-dimensional photonic materials disclosed herein feature Dirac-like dispersion in quasi-two-dimensional systems. Embodiments include a face-centered cubic (fcc) structure formed by alternating layers of dielectric rods and dielectric slabs patterned with holes on respective triangular lattices. This fcc structure also includes a defect layer, which may comprise either dielectric rods or a dielectric slab with patterned with holes. This defect layer introduces Dirac cone dispersion into the fcc structure's photonic band structure. Examples of these fcc structures enable enhancement of the spontaneous emission coupling efficiency (the .beta.-factor) over large areas, contrary to the conventional wisdom that the .beta.-factor degrades as the system's size increases. These results enable large-area, low-threshold lasers; single-photon sources; quantum information processing devices; and energy harvesting systems.

  17. Three-dimensional periodic dielectric structures having photonic Dirac points

    Energy Technology Data Exchange (ETDEWEB)

    Bravo-Abad, Jorge; Joannopoulos, John D.; Soljacic, Marin

    2015-06-02

    The dielectric, three-dimensional photonic materials disclosed herein feature Dirac-like dispersion in quasi-two-dimensional systems. Embodiments include a face-centered cubic (fcc) structure formed by alternating layers of dielectric rods and dielectric slabs patterned with holes on respective triangular lattices. This fcc structure also includes a defect layer, which may comprise either dielectric rods or a dielectric slab with patterned with holes. This defect layer introduces Dirac cone dispersion into the fcc structure's photonic band structure. Examples of these fcc structures enable enhancement of the spontaneous emission coupling efficiency (the .beta.-factor) over large areas, contrary to the conventional wisdom that the .beta.-factor degrades as the system's size increases. These results enable large-area, low-threshold lasers; single-photon sources; quantum information processing devices; and energy harvesting systems.

  18. Simulation of Natural Convection in the Oxide Layer of Three-Layer Corium Pool in an IVR

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Su-Hyeon; Park, Hae-Kyun; Chung, Bum-Jin [Kyung Hee University, Yongin (Korea, Republic of)

    2016-10-15

    This paper describes the three-layer phenomena and preliminary plan to simulate the oxide layer experimentally. We will perform the mass transfer experiments using a copper sulfate-sulfuric acid (CuSO{sub 4}-H{sub 2}SO{sub 4}) electroplating system based on the heat and mass transfer analogy concept. By performing the mass transfer experiments, we can achieve the high buoyancy condition with small facilities. The test facility is semicircular whose bottom is chopped, simulating the oxide pool above the heavy metal layer in a three-layer configuration. We will measure the heat flux at the top plate, side wall and bottom plate, and compare these results with those for a two-layer pool. In a three-layer configuration, the upper light metal layer becomes thinner, increasing the focusing effect. Thus, it is important to evaluate the heat flux from the oxide pool to the upper metallic layer. However, there is few heat transfer studies for a three-layer configuration. This paper is to discuss and to make a plan for the heat transfer experiments of oxide pool in a three- layer system. We will perform the mass transfer experiments based on the heat and mass transfer analogy concept. The test results will be analyzed phenomenologically and compared with two-layer results.

  19. Effect of interfacial layers on dielectric properties in very thin SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Moon, Bum-Ki; Isobe, Chiharu; Hironaka, Katsuyuki; Hishikawa, Shinichi

    2001-01-01

    The effect of interfacial layers on the dielectric properties in very thin SrBi 2 Ta 2 O 9 (SBT) capacitors has been investigated using static measurements. Total permittivity (ε t ) decreased as the film thickness was reduced in both Pt/SBT/Pt and Ir/SBT/Pt capacitors. The contribution of the interfacial capacitance (C int ) and bulk capacitance to the total capacitance indicates that C int of the Ir/SBT/Pt structure was lower than that of the Pt/SBT/Pt structure, while the bulk permittivity (ε b ) was essentially the same. The dispersion of all capacitors followed the power law, while the Ir/SBT/Pt capacitor showed a larger dispersion of C int . These results suggest that the Pt/SBT/Pt capacitor is preferred for obtaining the high performance with less effect of the interfacial layers on the dielectric properties. [copyright] 2001 American Institute of Physics

  20. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  1. Study on thermo-oxide layers of uranium-niobium alloy

    International Nuclear Information System (INIS)

    Luo Lizhu; Yang Jiangrong; Zhou Ping

    2010-01-01

    Surface oxides structure of uranium-niobium alloys which were annealed under different temperatures (room temperature, 100, 200, 300 degree C, respectively)in air were studied by X-ray photoelectron spectroscopy (XPS) analysis and depth profile. Thickness of thermo-oxide layers enhance with the increasing oxide temperature, and obvious changes to oxides structure are observed. Under different delt temperatures, Nb 2 O 5 are detected on the initial surface of U-Nb alloys, and a layer of NbO mixed with some NbO x (0 2 O 5 and Nb metal. Dealing samples in air from room temperature to 200 degree C, non-stoichiometric UO 2+x (UO 2 + interstitial oxygen, P-type semiconductor) are found on initial surface of U-Nb alloys, which has 0.7 eV shift to lower binding energy of U 4f 7/2 characteristics comparing to that of UO 2 . Under room temperature, UO 2 are commonly detected in the oxides layer, while under temperature of 100 and 200 degree C, some P-type UO 2+x are found in the oxide layers,which has a satellite at binding energy of 396.6 eV. When annealing at 300 degree C, higher valence oxides, such as U 3 O 8 or UO x (2 5/2 and U 4f 7/2 peaks are 392.2 and 381.8 eV, respectively. UO 2 mixed uranium metal are the main compositions in the oxide layers. From the results, influence of temperature to oxidation of uranium is more visible than to niobium in uranium-niobium alloys. (authors)

  2. Growth and characterization of oxide layers on zirconium alloys

    International Nuclear Information System (INIS)

    Maroto, A.J.G.; Bordoni, R.; Villegas, M.; Olmedo, A.M.; Blesa, M.A.; Iglesias, A.; Koenig, P.

    1996-01-01

    In the range 265-435 C Zr-2.5Nb corrosion takes place in two stages, as opposed to the cyclic behaviour of Zry-4. The Zry-4 corrosion stages are described by a single equation, in terms of the dense oxide layer thickness that decreases sharply at each transition. Tetragonal zirconia is present in the oxide layers of both alloys. In Zry-4, its volume fraction decreases as the oxide grows; it is barely discernible in Zr-2.5Nb in films below 1 μm, to later increase up to the transition. In both alloys, compressive stresses are developed associated with the oxide growth. Their relaxation at the transition correlates with the transformation of ZrO 2 (t) to ZrO 2 (m) and with the decrease of the dense oxide layer. In Zr-2.5Nb, oxide ridges form on the β-Zr phase filaments, at the very onset of film growth. The cyclic behaviour associated with the periodical breakdown of the dense oxide layer is therefore blurred, although optical microscopy shows that the scale retains the multilayered structure typical of Zry-4. (orig.)

  3. Influence of Magnetite Nanoparticles on the Dielectric Properties of Metal Oxide/Polymer Nanocomposites Based on Polypropylene

    Science.gov (United States)

    Maharramov, A. A.; Ramazanov, M. A.; Di Palma, Luca; Shirinova, H. A.; Hajiyeva, F. V.

    2018-01-01

    Structure and dielectric properties of polymer nanocomposites based on isotactic polypropylene and iron oxide (Fe3O4) nanoparticles are studied. Distribution of magnetite nanoparticles in a polymer matrix was studied by scanning electron microscopy (SEM, Carl Zeiss). Dielectric properties of nanocomposites were examined by means of E7-21 impedance spectrometer in the frequency range of 102-106 Hz and temperature interval of 298-433 K. The frequency and temperature dependences of the dielectric permittivity ɛ, as well as the temperature dependence of log (ρ) were constructed. It is shown that introduction of the magnetite (Fe3O4) nanoparticles into a polypropylene matrix increases the dielectric permittivity of nanocomposites. An increase in the dielectric permittivity is explained by the increase in the polarization ability of nanocomposites. It is found that a decrease in the specific resistance with increasing temperature up to 318 K is associated with an increase in the ionic conductivity of nanocomposites. An increase in the resistance at temperatures higher than 358 K is due to the destruction of the crystalline phase of the polymer, as a result of which the distance between the Fe3O4 nanoparticles increases.

  4. Dielectric function of semiconductor superlattice

    International Nuclear Information System (INIS)

    Qin Guoyi.

    1990-08-01

    We present a calculation of the dielectric function for semiconductor GaAs/Ga 1-x Al x As superlattice taking account of the extension of the electron envelope function and the difference of both the dielectric constant and width between GaAs and Ga 1-x Al x As layers. In the appropriate limits, our results exactly reduce to the well-known results of the quasi two-dimensional electron gas obtained by Lee and Spector and of the period array of two-dimensional electron layers obtained by Das Sarma and Quinn. By means of the dielectric function of the superlattice, the dispersion relation of the collective excitation and the screening property of semiconductor superlattice are discussed and compared with the results of the quasi two-dimensional system and with the results of the periodic array of the two-dimensional electron layers. (author). 4 refs, 3 figs

  5. Zn(3)(4-OOCC(6)H(4)PO(3))(2): A polar metal phosphonate with pillared layered structure showing SHG-activity and large dielectric anisotropy.

    Science.gov (United States)

    Li, Jin-Tang; Cao, Deng-Ke; Akutagawa, Tomoyuki; Zheng, Li-Min

    2010-10-07

    A new metal phosphonate Zn(3)(4-OOCC(6)H(4)PO(3))(2) (1) is reported which crystallizes in orthorhombic space group Pca2(1). It shows a pillared layered structure in which the {ZnO(4)}, {ZnO(5)} and {PO(3)C} polyhedra are connected through corner- or edge-sharing to form an inorganic layer in the ab plane which contains 4- and 5-member rings. These layers are pillared by the uni-oriented 4-carboxylatephenylphosphonate ligands, thus leading to a polar 3D architecture. The dielectric anisotropy measurements of a single crystal of 1 reveal that dielectric constant along the inter-layer is larger than that along the intra-layer with a ratio of about 2.3. Second harmonic generation (SHG) activity is observed.

  6. ALD TiO x as a top-gate dielectric and passivation layer for InGaZnO115 ISFETs

    Science.gov (United States)

    Pavlidis, S.; Bayraktaroglu, B.; Leedy, K.; Henderson, W.; Vogel, E.; Brand, O.

    2017-11-01

    The suitability of atomic layer deposited (ALD) titanium oxide (TiO x ) as a top gate dielectric and passivation layer for indium gallium zinc oxide (InGaZnO115) ion sensitive field effect transistors (ISFETs) is investigated. TiO x is an attractive barrier material, but reports of its use for InGaZnO thin film transistor (TFT) passivation have been conflicting thus far. In this work, it is found that the passivated TFT’s behavior depends on the TiO x deposition temperature, affecting critical device characteristics such as threshold voltage, field-effect mobility and sub-threshold swing. An O2 annealing step is required to recover TFT performance post passivation. It is also observed that the positive bias stress response of the passivated TFTs improves compared the original bare device. Secondary ion mass spectroscopy excludes the effects of hydrogen doping and inter-diffusion as sources of the temperature-dependent performance change, therefore indicating that oxygen gettering induced by TiO x passivation is the likely source of oxygen vacancies and, consequently, carriers in the InGaZnO film. It is also shown that potentiometric sensing using ALD TiO x exhibits a near Nernstian response to pH change, as well as minimizes V TH drift in TiO x passivated InGaZnO TFTs immersed in an acidic liquid. These results add to the understanding of InGaZnO passivation effects and underscore the potential for low-temperature fabricated InGaZnO ISFETs to be used as high-performance mobile chemical sensors.

  7. Partial oxidation of methane in a temperature-controlled dielectric barrier discharge reactor

    KAUST Repository

    Zhang, Xuming

    2015-01-01

    We studied the relative importance of the reduced field intensity and the background reaction temperature in the partial oxidation of methane in a temperature-controlled dielectric barrier discharge reactor. We obtained important mechanistic insight from studying high-temperature and low-pressure conditions with similar reduced field intensities. In the tested range of background temperatures (297 < T < 773 K), we found that the conversion of methane and oxygen depended on both the electron-induced chemistry and the thermo-chemistry, whereas the chemical pathways to the products were overall controlled by the thermo-chemistry at a given temperature. We also found that the thermo-chemistry enhanced the plasma-assisted partial oxidation process. Our findings expand our understanding of the plasma-assisted partial oxidation process and may be helpful in the design of cost-effective plasma reformers. © 2014 The Combustion Institute.

  8. Method for fabrication of crack-free ceramic dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Beihai; Narayanan, Manoj; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan

    2017-12-05

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprises the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. The process provides a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  9. Method for fabrication of crack-free ceramic dielectric films

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan; Narayanan, Manoj

    2014-02-11

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprise the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. Also provided was a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  10. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    Science.gov (United States)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  11. High Temperature Oxidation Behavior of Zirconium Alloy with Nano structured Oxide Layer in Air Environment

    International Nuclear Information System (INIS)

    Park, Y. J.; Kim, J. W.; Park, J. W.; Cho, S. O.

    2016-01-01

    If the temperature of the cladding materials increases above 1000 .deg. C, which can be caused by a loss of coolant accident (LOCA), Zr becomes an auto-oxidation catalyst and hence produces a huge amount of hydrogen gas from water. Therefore, many investigations are being carried out to prevent (or reduce) the hydrogen production from Zr-based cladding materials in the nuclear reactors. Our team has developed an anodization technique by which nanostructured oxide can be formed on various flat metallic elements such as Al, Ti, and Zr-based alloy. Anodization is a simple electrochemical technique and requires only a power supply and an electrolyte. In this study, Zr-based alloys with nanostructured oxide layers were oxidized by using Thermogravimetry analysis (TGA) and compared with the pristine one. It reveals that the nanostructured oxide layer can prevent oxidation of substrate metal in air. Oxidation behavior of the pristine Zr-Nb-Sn alloy and the Zr-Nb-Sn alloy with nanostructured oxide layer evaluated by measuring weight gain (TGA). In comparison with the pristine Zr-Nb-Sn alloy, weight gain of the Zr-Nb-Sn alloy with nanostructured oxide layer is lower than 10% even for 12 hours oxidation in air.

  12. Investigation of NOx Reduction by Low Temperature Oxidation Using Ozone Produced by Dielectric Barrier Discharge

    DEFF Research Database (Denmark)

    Stamate, Eugen; Irimiea, Cornelia; Salewski, Mirko

    2013-01-01

    NOx reduction by low temperature oxidation using ozone produced by a dielectric barrier discharge generator is investigated for different process parameters in a 6m long reactor in serpentine arrangement using synthetic dry flue gas with NOx levels below 500 ppm, flows up to 50 slm and temperatures...

  13. Experimental Investigation of an X-Band Tunable Dielectric Accelerating Structure

    CERN Document Server

    Kanareykin, Alex; Karmanenko, Sergei F; Nenasheva, Elisaveta; Power, John G; Schoessow, Paul; Semenov, Alexei

    2005-01-01

    Experimental study of a new scheme to tune the resonant frequency for dielectric based accelerating structure (driven either by the wakefield of a beam or an external rf source) is underway. The structure consists of a single layer of conventional dielectric surrounded by a very thin layer of ferroelectric material situated on the outside. Carefully designed electrodes are attached to a thin layer of ferroelectric material. A DC bias can be applied to the electrodes to change the permittivity of the ferroelectric layer and therefore, the dielectric overall resonant frequency can be tuned. In this paper, we present the test results for an 11.424 GHz rectangular DLA prototype structure that the ferroelectric material's dielectric constant of 500 and show that a frequency tuning range of 2% can be achieved. If successful, this scheme would compensate for structure errors caused by ceramic waveguide machining tolerances and dielectric constant heterogeneity.

  14. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  15. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  16. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  17. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    Science.gov (United States)

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  18. Light-emitting diodes based on solution-processed nontoxic quantum dots: oxides as carrier-transport layers and introducing molybdenum oxide nanoparticles as a hole-inject layer.

    Science.gov (United States)

    Bhaumik, Saikat; Pal, Amlan J

    2014-07-23

    We report fabrication and characterization of solution-processed quantum dot light-emitting diodes (QDLEDs) based on a layer of nontoxic and Earth-abundant zinc-diffused silver indium disulfide (AIZS) nanoparticles as an emitting material. In the QDLEDs fabricated on indium tin oxide (ITO)-coated glass substrates, we use layers of oxides, such as graphene oxide (GO) and zinc oxide (ZnO) nanoparticles as a hole- and electron-transport layer, respectively. In addition, we introduce a layer of MoO3 nanoparticles as a hole-inject one. We report a comparison of the characteristics of different device architectures. We show that an inverted device architecture, ITO/ZnO/AIZS/GO/MoO3/Al, yields a higher electroluminescence (EL) emission, compared to direct ones, for three reasons: (1) the GO/MoO3 layers introduce barriers for electrons to reach the Al electrode, and, similarly, the ZnO layers acts as a barrier for holes to travel to the ITO electrode; (2) the introduction of a layer of MoO3 nanoparticles as a hole-inject layer reduces the barrier height for holes and thereby balances charge injection in the inverted structure; and (3) the wide-bandgap zinc oxide next to the ITO electrode does not absorb the EL emission during its exit from the device. In the QDLEDs with oxides as carrier inject and transport layers, the EL spectrum resembles the photoluminescence emission of the emitting material (AIZS), implying that excitons are formed in the quaternary nanocrystals and decay radiatively.

  19. Semi-insulating Sn-Zr-O: Tunable resistance buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Barnes, Teresa M.; Burst, James M.; Reese, Matthew O.; Perkins, Craig L. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-03-02

    Highly resistive and transparent (HRT) buffer layers are critical components of solar cells and other opto-electronic devices. HRT layers are often undoped transparent conducting oxides. However, these oxides can be too conductive to form an optimal HRT. Here, we present a method to produce HRT layers with tunable electrical resistivity, despite the presence of high concentrations of unintentionally or intentionally added dopants in the film. This method relies on alloying wide-bandgap, high-k dielectric materials (e.g., ZrO{sub 2}) into the host oxide to tune the resistivity. We demonstrate Sn{sub x}Zr{sub 1−x}O{sub 2}:F films with tunable resistivities varying from 0.001 to 10 Ω cm, which are controlled by the Zr mole fraction in the films. Increasing Zr suppresses carriers by expanding the bandgap almost entirely by shifting the valence-band position, which allows the HRT layers to maintain good conduction-band alignment for a low-resistance front contact.

  20. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  1. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    Science.gov (United States)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  2. Fabrication of oxide layer on zirconium by micro-arc oxidation: Structural and antimicrobial characteristics

    International Nuclear Information System (INIS)

    Fidan, S.; Muhaffel, F.; Riool, M.; Cempura, G.; Boer, L. de; Zaat, S.A.J.; Filemonowicz, A. Czyrska -; Cimenoglu, H.

    2017-01-01

    The aim of this study was to cover the surfaces of zirconium (Zr) with an antimicrobial layer for biomedical applications. For this purpose, the micro-arc oxidation (MAO) process was employed in a sodium silicate and sodium hydroxide containing base electrolyte with and without addition of silver acetate (AgC 2 H 3 O 2 ). In general, synthesized MAO layers were composed of zirconium oxide (ZrO 2 ) and zircon (ZrSiO 4 ). Addition of AgC 2 H 3 O 2 into the base electrolyte caused homogenous precipitation of silver-containing particles in the MAO layer, which exhibited excellent antibacterial efficiency against methicillin-resistant Staphylococcus aureus (MRSA) as compared to the untreated and MAO-treated Zr. - Highlights: • Micro-arc oxidation process was applied on zirconium in an electrolyte containing silver acetate. • Silver incorporated in the oxide layer in the form of nanoparticles. • 0.45 wt.% silver incorporation provided excellent antibacterial activity.

  3. Fabrication of oxide layer on zirconium by micro-arc oxidation: Structural and antimicrobial characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Fidan, S.; Muhaffel, F. [Department of Metallurgical and Materials Engineering, Istanbul Technical University, Sariyer, 34469 Istanbul (Turkey); Riool, M. [Department of Medical Microbiology, Center for Infection and Immunity Amsterdam (CINIMA), Academic Medical Center, University of Amsterdam, Meibergdreef 15, 1105, AZ, Amsterdam (Netherlands); Cempura, G. [International Centre of Electron Microscopy for Materials Science, AGH University of Science and Technology, PL, 30-059 Kraków (Poland); Boer, L. de; Zaat, S.A.J. [Department of Medical Microbiology, Center for Infection and Immunity Amsterdam (CINIMA), Academic Medical Center, University of Amsterdam, Meibergdreef 15, 1105, AZ, Amsterdam (Netherlands); Filemonowicz, A. Czyrska - [International Centre of Electron Microscopy for Materials Science, AGH University of Science and Technology, PL, 30-059 Kraków (Poland); Cimenoglu, H., E-mail: cimenogluh@itu.edu.tr [Department of Metallurgical and Materials Engineering, Istanbul Technical University, Sariyer, 34469 Istanbul (Turkey)

    2017-02-01

    The aim of this study was to cover the surfaces of zirconium (Zr) with an antimicrobial layer for biomedical applications. For this purpose, the micro-arc oxidation (MAO) process was employed in a sodium silicate and sodium hydroxide containing base electrolyte with and without addition of silver acetate (AgC{sub 2}H{sub 3}O{sub 2}). In general, synthesized MAO layers were composed of zirconium oxide (ZrO{sub 2}) and zircon (ZrSiO{sub 4}). Addition of AgC{sub 2}H{sub 3}O{sub 2} into the base electrolyte caused homogenous precipitation of silver-containing particles in the MAO layer, which exhibited excellent antibacterial efficiency against methicillin-resistant Staphylococcus aureus (MRSA) as compared to the untreated and MAO-treated Zr. - Highlights: • Micro-arc oxidation process was applied on zirconium in an electrolyte containing silver acetate. • Silver incorporated in the oxide layer in the form of nanoparticles. • 0.45 wt.% silver incorporation provided excellent antibacterial activity.

  4. Theory of differential and integral scattering of laser radiation by a dielectric surface taking a defect layer into account

    NARCIS (Netherlands)

    Azarova, VV; Dmitriev, VG; Lokhov, YN; Malitskii, KN

    The differential and integral light scattering by dielectric surfaces is studied theoretically taking a thin nearsurface defect layer into account. The expressions for the intensities of differential and total integral scattering are found by the Green function method. Conditions are found under

  5. SHI induced modification in structural, optical, dielectric and thermal properties of poly ethylene oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Gnansagar B.; Bhavsar, Shilpa [Department of Physics, The M.S. University of Baroda, Vadodara 390002 (India); Singh, N.L., E-mail: nl.singh-phy@msubaroda.ac.in [Department of Physics, The M.S. University of Baroda, Vadodara 390002 (India); Singh, F.; Kulriya, P.K. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India)

    2016-07-15

    Poly ethylene oxide (PEO) films were synthesized by solution cast method. These self-standing films were exposed with 60 MeV C{sup +5} ion and 100 MeV Ni{sup +7} ion at different fluences. SHI induced effect was investigated by employing various techniques. The crystalline size decreased upon irradiation as observed from XRD analysis. FTIR analysis reveals the decrement in the peak intensity upon irradiation. Tauc’s method was used to determine the optical band gap (E{sub g}), which shows decreasing trends with increase of fluence. The dielectric properties were investigated in the frequency range 10 Hz to 10 MHz for unirradiated and irradiated films. The dielectric constant remains same for the broad-spectrum of frequency and increases at lower frequency. The dielectric loss also moderately influence as a function of frequency due to irradiation. DSC analysis validated the results of XRD. Scanning electron microscopy (SEM) reveals that there is significant change in the surface morphology due to irradiation.

  6. Negative Refraction Using Frequency-Tuned Oxide Multilayer Structure

    Directory of Open Access Journals (Sweden)

    Yalin Lu

    2008-01-01

    Full Text Available An oxide-based multilayer structure was proposed to realize negative refraction. The multilayer composes of alternative layers having negative permittivity and negative permeability, respectively. In order to realize negative refraction, their dielectric and magnetic resonances of layers will be tuned to the frequency as close as possibly via changing their temperature, composition, structure, and so forth. Such oxide-based NIMs are attractive for their potential applications as optical super lenses, imagers, optical cloaking, sensors, and so forth, those are required with low-loss, low-cost, and good fabrication flexibility.

  7. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  8. Enhanced optical-to-THz conversion efficiency of photoconductive antenna using dielectric nano-layer encapsulation

    Science.gov (United States)

    Gupta, Abhishek; Rana, Goutam; Bhattacharya, Arkabrata; Singh, Abhishek; Jain, Ravikumar; Bapat, Rudheer D.; Duttagupta, S. P.; Prabhu, S. S.

    2018-05-01

    Photoconductive antennas (PCAs) are among the most conventional devices used for emission as well as detection of terahertz (THz) radiation. However, due to their low optical-to-THz conversion efficiencies, applications of these devices in out-of-laboratory conditions are limited. In this paper, we report several factors of enhancement in THz emission efficiency from conventional PCAs by coating a nano-layer of dielectric (TiO2) on the active area between the electrodes of a semi-insulating GaAs-based device. Extensive experiments were done to show the effect of thicknesses of the TiO2 layer on the THz power enhancement with different applied optical power and bias voltages. Multiphysics simulations were performed to elucidate the underlying physics behind the enhancement of efficiency of the PCA. Additionally, this layer increases the robustness of the electrode gaps of the PCAs with high electrical insulation as well as protect it from external dust particles.

  9. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2016-12-20

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  10. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2017-12-05

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  11. Inverse scattering of a layered and dispersionless dielectric half-space - 1. reflection data from plane waves at normal incidence

    International Nuclear Information System (INIS)

    Coen, S.

    1981-01-01

    The theory given by Moses and deRidder is modified so that the derivative of the solution of the Gelfand-Levitan integral equation is not required. Based on this modification, a numerical procedure is developed which approximately constructs the dielectric profile of the layered half-space from the impulse response. Moreover, an inverse scattering theory is developed for a Goupillaud-type dielectric medium, and a fast numerical procedure based on the Berryman and Greene algorithm is presented. The performance of the numerical algorithms is examined by applying them to pecise and imprecise artificial impulse response data. 11 refs

  12. On interaction of P-waves with one-dimensional photonic crystal consisting of weak conducting matter and transparent dielectric layers

    Science.gov (United States)

    Yushkanov, A. A.; Zverev, N. V.

    2018-03-01

    An influence of quantum and spatial dispersion properties of the non-degenerate electron plasma on the interaction of electromagnetic P-waves with one-dimensional photonic crystal consisting of conductor with low carrier electron density and transparent dielectric matter, is studied numerically. It is shown that at the frequencies of order of the plasma frequency and at small widths of the conducting and dielectric layers of the photonic crystal, optical coefficients in the quantum non-degenerate plasma approach differ from the coefficients in the classical electron gas approach. And also, at these frequencies one observes a temperature dependence of the optical coefficients.

  13. Effects of stress on the oxide layer thickness and post-oxidation creep strain of zircaloy-4

    International Nuclear Information System (INIS)

    Lim, Sang Ho; Yoon, Young Ku

    1986-01-01

    Effects of compressive stress generated in the oxide layer and its subsequent relief on oxidation rate and post-oxidation creep characteristics of zircaloy-4 were investigated by oxidation studies in steam with and without applied tensile stress and by creep testing at 700 deg C in high purity argon. The thickness of oxide layer increased with the magnitude of tensile stress applied during oxidation at 650 deg C in steam whereas similar phenomenon was not observed during oxidation at 800 deg C. Zircaloy-4 specimens oxidized at 600 deg C in steam without applied stress exhibited higher creep strain than that shown by unoxidized specimens when creep-tested in argon. Zircaloy-4 specimens oxidized at 600 deg C steam under the applied stress of 8.53MPa and oxidized at 800 deg C under the applied stress of 0 and 8.53MPa exhibited lower strain than that shown by unoxidized specimen. The above experimental results were accounted for on the basis of interactions among applied stress during oxidation, compressive stress generated in the oxide layer and elasticity of zircaloy-4 matrix. (Author)

  14. Interface Controlled Oxidation States in Layered Cobalt Oxide Nanoislands on Gold

    DEFF Research Database (Denmark)

    Walton, Alexander; Fester, Jakob; Bajdich, Michal

    2015-01-01

    Layered cobalt oxides have been shown to be highly active catalysts for the oxygen evolution reaction (OER; half of the catalytic “water splitting” reaction), particularly when promoted with gold. However, the surface chemistry of cobalt oxides and in particular the nature of the synergistic effect...

  15. Melt front propagation in dielectrics upon femtosecond laser irradiation: Formation dynamics of a heat-affected layer

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Lechuga, Mario, E-mail: mario@io.cfmac.csic.es, E-mail: j.siegel@io.cfmac.csic.es; Solis, Javier; Siegel, Jan, E-mail: mario@io.cfmac.csic.es, E-mail: j.siegel@io.cfmac.csic.es [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain)

    2016-04-25

    Several studies in dielectrics have reported the presence of a thin heat-affected layer underneath the ablation crater produced by femtosecond laser irradiation. In this work, we present a time-resolved microscopy technique that is capable of monitoring the formation dynamics of this layer and apply it to the study of a phosphate glass exposed to single pulses below the ablation threshold. A few nanoseconds after laser excitation, a melt front interface can be detected, which propagates into the bulk, gradually slowing down its speed. By means of image analysis combined with optical modeling, we are able to determine the temporal evolution of the layer thickness and its refractive index. Initially, a strong transient decrease in the refractive index is observed, which partially recovers afterwards. The layer resolidifies after approximately 1 μs after excitation, featuring a maximum thickness of several hundreds of nanometers.

  16. Melt front propagation in dielectrics upon femtosecond laser irradiation: Formation dynamics of a heat-affected layer

    International Nuclear Information System (INIS)

    Garcia-Lechuga, Mario; Solis, Javier; Siegel, Jan

    2016-01-01

    Several studies in dielectrics have reported the presence of a thin heat-affected layer underneath the ablation crater produced by femtosecond laser irradiation. In this work, we present a time-resolved microscopy technique that is capable of monitoring the formation dynamics of this layer and apply it to the study of a phosphate glass exposed to single pulses below the ablation threshold. A few nanoseconds after laser excitation, a melt front interface can be detected, which propagates into the bulk, gradually slowing down its speed. By means of image analysis combined with optical modeling, we are able to determine the temporal evolution of the layer thickness and its refractive index. Initially, a strong transient decrease in the refractive index is observed, which partially recovers afterwards. The layer resolidifies after approximately 1 μs after excitation, featuring a maximum thickness of several hundreds of nanometers.

  17. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  18. Frequency Dependence of C-V Characteristics of MOS Capacitors Containing Nanosized High-κ Ta2O5 Dielectrics

    Directory of Open Access Journals (Sweden)

    Nenad Novkovski

    2017-01-01

    Full Text Available Capacitance of metal–insulator–Si structures containing high permittivity dielectric exhibits complicated behaviour when voltage and frequency dependencies are studied. From our study on metal (Al, Au, W–Ta2O5/SiO2–Si structures, we identify serial C-R measurement mode to be more convenient for use than the parallel one usually used in characterization of similar structures. Strong frequency dependence that is not due to real variations in the dielectric permittivity of the layers is observed. Very high capacitance at low frequencies is due to the leakage in Ta2O5 layer. We found that the above observation is mainly due to different leakage current mechanisms in the two different layers composing the stack. The effect is highly dependent on the applied voltage, since the leakage currents are strongly nonlinear functions of the electric field in the layers. Additionally, at low frequencies, transition currents influence the measured value of the capacitance. From the capacitance measurements several parameters are extracted, such as capacitance in accumulation, effective dielectric constant, and oxide charges. Extracting parameters of the studied structures by standard methods in the case of high-κ/interfacial layer stacks can lead to substantial errors. Some cases demonstrating these deficiencies of the methods are presented and solutions for obtaining better results are proposed.

  19. Breakdown of coupling dielectrics for Si microstrip detectors

    International Nuclear Information System (INIS)

    Candelori, A.; Paccagnella, A.; Padova Univ.; Saglimbeni, G.

    1999-01-01

    Double-layer coupling dielectrics for AC-coupled Si microstrip detectors have been electrically characterized in order to determine their performance in a radiation-harsh environment, with a focus on the dielectric breakdown. Two different dielectric technologies have been investigated: SiO 2 /TEOS and SiO 2 /Si 3 N 4 . Dielectrics have been tested by using a negative gate voltage ramp of 0.2 MV/(cm·s). The metal/insulator/Si I-V characteristics show different behaviours depending on the technology. The extrapolated values of the breakdown field for unirradiated devices are significantly higher for SiO 2 /Si 3 N 4 dielectrics, but the data dispersion is lower for SiO 2 /TEOS devices. No significant variation of the breakdown field has been measured after a 10 Mrad (Si) γ irradiation for SiO 2 /Si 3 N 4 dielectrics. Finally, the SiO 2 /Si 3 N 4 DC conduction is enhanced if a positive gate voltage ramp is applied with respect to the negative one, due to the asymmetric conduction of the double-layer dielectric

  20. Growth of micrometric oxide layers to explore laser decontamination of metallic surfaces

    Directory of Open Access Journals (Sweden)

    Carvalho Luisa

    2017-01-01

    Full Text Available The nuclear industry produces a wide range of radioactive waste in terms of hazard level, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop safe techniques for dismantling and for decontamination, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. In this paper we propose a method for the creation of oxide layers on stainless steel 304L with europium (Eu as contaminant. This technique consists in spraying an Eu-solution on stainless steel samples. The specimens are firstly treated with a pulsed nanosecond laser after which the steel samples are placed in a 873 K furnace for various durations in order to grow an oxide layer. The oxide structure and in-depth distribution of Eu in the oxide layer were analyzed by scanning electron microscopy coupled to an energy-dispersive X-ray microanalyzer, as well as by glow discharge optical emission or mass spectrometry. The oxide layers were grown to thicknesses in the range of 200 nm–4.5 μm depending on the laser treatment parameters and the heating duration. These contaminated oxides had a ‘duplex structure’ with a mean concentration of the order of 6 × 1016 atoms/cm2 (15 μg/cm2 of europium in the volume of the oxide layer. It appears that europium implementation prevented the oxide growth in the furnace. Nevertheless, the presence of the contamination had no impact on the thickness of the oxide layers obtained by preliminary laser treatment. These oxide layers were used to study the decontamination of metallic surfaces such as stainless steel 304L using a nanosecond pulsed laser.

  1. In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Meng-Chen [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Lee, Min-Hung [Institute of Electro-Optical Science and Technology, National Taiwan Normal University, Taipei 11677, Taiwan (China); Kuo, Chin-Lung; Lin, Hsin-Chih [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Chen, Miin-Jang, E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2016-11-30

    Highlights: • The structural and electrical characteristics of the ZrO{sub 2} high-K dielectrics, treated with the in situ atomic layer doping of nitrogen into the top and down regions (top and down nitridation, TN and DN, respectively), were investigated. • The amorphous DN sample has a lower leakage current density (J{sub g}) than the amorphous TN sample, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). • The crystalline TN sample exhibited a lower CET and a similar J{sub g} as compared with the crystalline DN sample, which can be ascribed to the suppression of IL regrowth. • The crystalline ZrO{sub 2} with in situ atomic layer doping of nitrogen into the top region exhibited superior scaling limit, electrical characteristics, and reliability. - Abstract: Amorphous and crystalline ZrO{sub 2} gate dielectrics treated with in situ atomic layer nitridation on the top and down regions (top and down nitridation, abbreviated as TN and DN) were investigated. In a comparison between the as-deposited amorphous DN and TN samples, the DN sample has a lower leakage current density (J{sub g}) of ∼7 × 10{sup −4} A/cm{sup 2} with a similar capacitance equivalent thickness (CET) of ∼1.53 nm, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). The post-metallization annealing (PMA) leads to the transformation of ZrO{sub 2} from the amorphous to the crystalline tetragonal/cubic phase, resulting in an increment of the dielectric constant. The PMA-treated TN sample exhibits a lower CET of 1.22 nm along with a similar J{sub g} of ∼1.4 × 10{sup −5} A/cm{sup 2} as compared with the PMA-treated DN sample, which can be ascribed to the suppression of IL regrowth. The result reveals that the nitrogen engineering in the top and down regions has a significant impact on the electrical characteristics of amorphous and crystalline ZrO{sub 2} gate dielectrics, and the nitrogen incorporation at the top of crystalline

  2. Characterization of SCC crack tips and surface oxide layers in alloy 600

    Energy Technology Data Exchange (ETDEWEB)

    Fujii, Katsuhiko; Fukuya, Koji [Inst. of Nuclear Safety System Inc., Mihama, Fukui (Japan)

    2002-09-01

    In order to investigate the mechanism of primary water stress corrosion cracking (SCC), direct observation of microstructures of SCC crack tips and surface oxide layers in alloy 600 were carried out. A focused-ion beam (FIB) micro-processing technique was applied to prepare electron transparent foils including the crack tip and the surface oxide layer without any damage to those microstructures. Transmission electron microscopy and analysis were used to characterize the crack tips and surface oxide layers. Cr-rich oxides and a metal-Ni phase were identified in the crack tips and grain boundaries ahead of the crack tips independent of dissolved hydrogen concentrations. >From the fact that the Cr-rich oxides and metal-Ni phase were observed in the inner surface oxide layer, the same oxidation mechanism as the surface is proposed for the crack tip region and internal oxidation accompanying selective Cr oxidation is suggested as the mechanism. (author)

  3. Plane-wave diffraction by periodic structures with artificial anisotropic dielectrics

    International Nuclear Information System (INIS)

    Kazerooni, Azadeh Semsar; Shahabadi, Mahmoud

    2010-01-01

    Periodic structures with artificial anisotropic dielectrics are studied. The artificial anisotropic dielectric material in this work is made of two alternating isotropic dielectric layers. By a proper choice of the dielectric constant of the layers, we can realize a uniaxial anisotropic medium with controllable anisotropy. The artificial anisotropic dielectric is then used in periodic structures. For these structures, the optical axis of the artificial dielectric is assumed to be parallel or perpendicular to the period of the structure. Diffraction of plane waves by these structures is analyzed by a fully vectorial rigorous matrix method based on a generalized transmission line (TL) formulation. The propagation constants and field distributions are computed and diffraction properties of such structures are studied to show that, by a proper choice of structural parameters, these periodic structures with artificial anisotropic dielectrics can be used as polarizers or polarizing mirrors

  4. Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction

    Energy Technology Data Exchange (ETDEWEB)

    Fong, S. W., E-mail: swfong@stanford.edu; Wong, H.-S. P. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); Sood, A. [Department of Material Science and Engineering, Stanford University, Stanford, California 94305 (United States); Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Chen, L. [School of Energy and Power Engineering, Xi' an Jiatong University, Xi' an, Shaanxi 710049 (China); Kumari, N.; Gibson, G. A. [Hewlett-Packard Labs, 1501 Page Mill Rd., Palo Alto, California 94304 (United States); Asheghi, M.; Goodson, K. E. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-07-07

    In this work, we investigate the temperature-dependent thermal conductivities of few nanometer thick alternating stacks of amorphous dielectrics, specifically SiO{sub 2}/Al{sub 2}O{sub 3} and SiO{sub 2}/Si{sub 3}N{sub 4}. Experiments using steady-state Joule-heating and electrical thermometry, while using a micro-miniature refrigerator over a wide temperature range (100–500 K), show that amorphous thin-film multilayer SiO{sub 2}/Si{sub 3}N{sub 4} and SiO{sub 2}/Al{sub 2}O{sub 3} exhibit through-plane room temperature effective thermal conductivities of about 1.14 and 0.48 W/(m × K), respectively. In the case of SiO{sub 2}/Al{sub 2}O{sub 3}, the reduced conductivity is attributed to lowered film density (7.03 → 5.44 × 10{sup 28 }m{sup –3} for SiO{sub 2} and 10.2 → 8.27 × 10{sup 28 }m{sup –3} for Al{sub 2}O{sub 3}) caused by atomic layer deposition of thin-films as well as a small, finite, and repeating thermal boundary resistance (TBR) of 1.5 m{sup 2} K/GW between dielectric layers. Molecular dynamics simulations reveal that vibrational mismatch between amorphous oxide layers is small, and that the TBR between layers is largely due to imperfect interfaces. Finally, the impact of using this multilayer dielectric in a dash-type phase-change memory device is studied using finite-element simulations.

  5. W-containing oxide layers obtained on aluminum and titanium by PEO as catalysts in thiophene oxidation

    Science.gov (United States)

    Rudnev, V. S.; Lukiyanchuk, I. V.; Vasilyeva, M. S.; Morozova, V. P.; Zelikman, V. M.; Tarkhanova, I. G.

    2017-11-01

    W-containing oxide layers fabricated on titanium and aluminum alloys by Plasma electrolytic oxidation (PEO) have been tested in the reaction of the peroxide oxidation of thiophene. Samples with two types of coatings have been investigated. Coatings I contained tungsten oxide in the matrix and on the surface of amorphous silica-titania or silica-alumina layers, while coatings II comprised crystalline WO3 and/or Al2(WO4)3. Aluminum-supported catalyst containing a smallest amount of transition metals in the form of tungsten oxides and manganese oxides in low oxidation levels showed high activity and stability.

  6. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  7. Note: On the dielectric constant of nanoconfined water

    OpenAIRE

    Zhang, Chao

    2018-01-01

    Investigations of dielectric properties of water in nanoconfinement are highly relevant for various applications. Here, using a simple capacitor model, we show that the low dielectric constant of nanoconfined water found in molecular dynamics simulations can be largely explained by the so-called dielectric dead-layer effect known for ferroelectric nanocapacitors.

  8. Electrode/Dielectric Strip For High-Energy-Density Capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S.

    1994-01-01

    Improved unitary electrode/dielectric strip serves as winding in high-energy-density capacitor in pulsed power supply. Offers combination of qualities essential for high energy density: high permittivity of dielectric layers, thinness, and high resistance to breakdown of dielectric at high electric fields. Capacitors with strip material not impregnated with liquid.

  9. Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process

    International Nuclear Information System (INIS)

    Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee

    2004-01-01

    We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions

  10. Optimized extraction conditions from high power-ECRIS by dedicated dielectric structures

    International Nuclear Information System (INIS)

    Schachter, L.; Dobrescu, S.; Stiebing, K.E.

    2012-01-01

    The MD-method of enhancing the ion output from ECR ion sources is well established and basically works via two mechanisms, the regenerative injection of cold electrons from an emissive dielectric layer on the plasma chamber walls and via the cutting of compensating wall currents, which results in an improved ion extraction from the plasma. As this extraction from the plasma becomes a more and more challenging issue for modern ECRIS installations with high microwave power input, a series of experiments was carried out at the 14 GHz ECRIS of the Institut fuer Kernphysik in Frankfurt/Main, Germany (IKF). In contrast to our earlier work, in these experiments emphasis was put on the second of the above mechanisms namely to influence the sheath potential at the extraction by structures with special dielectric properties. Two different types of dielectric structures, Tantalum-oxide and Aluminium oxide (the latter also being used for the MD-method) with dramatically different electrical properties were mounted on the extraction electrode of the IKF-ECRIS, facing the plasma. For both structures an increase of the extracted ion beam currents for middle and high charge states by 60-80 % was observed. The method can also be applied to other ECR ion sources for increasing the extracted ion beam performances. The paper is followed by the slides of the presentation. (authors)

  11. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  12. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  13. First-principles study of dielectric properties of cerium oxide

    International Nuclear Information System (INIS)

    Yamamoto, Takenori; Momida, Hiroyoshi; Hamada, Tomoyuki; Uda, Tsuyoshi; Ohno, Takahisa

    2005-01-01

    We have theoretically investigated the dielectric properties of fluorite CeO 2 as well as hexagonal and cubic Ce 2 O 3 by using first-principles pseudopotentials techniques within the local density approximation. Calculated electronic and lattice dielectric constants of CeO 2 are in good agreement with previous theoretical and experimental results. For Ce 2 O 3 , the hexagonal phase has a lattice dielectric constant comparable to that of CeO 2 , whereas the cubic phase has a much smaller one. We have concluded that the enhancement of the dielectric constant in CeO 2 epitaxially grown on Si is not due to its lattice expansion experimentally observed nor regular formation of oxygen vacancies in CeO 2

  14. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  15. Dielectric capacitors with three-dimensional nanoscale interdigital electrodes for energy storage.

    Science.gov (United States)

    Han, Fangming; Meng, Guowen; Zhou, Fei; Song, Li; Li, Xinhua; Hu, Xiaoye; Zhu, Xiaoguang; Wu, Bing; Wei, Bingqing

    2015-10-01

    Dielectric capacitors are promising candidates for high-performance energy storage systems due to their high power density and increasing energy density. However, the traditional approach strategies to enhance the performance of dielectric capacitors cannot simultaneously achieve large capacitance and high breakdown voltage. We demonstrate that such limitations can be overcome by using a completely new three-dimensional (3D) nanoarchitectural electrode design. First, we fabricate a unique nanoporous anodic aluminum oxide (AAO) membrane with two sets of interdigitated and isolated straight nanopores opening toward opposite planar surfaces. By depositing carbon nanotubes in both sets of pores inside the AAO membrane, the new dielectric capacitor with 3D nanoscale interdigital electrodes is simply realized. In our new capacitors, the large specific surface area of AAO can provide large capacitance, whereas uniform pore walls and hemispheric barrier layers can enhance breakdown voltage. As a result, a high energy density of 2 Wh/kg, which is close to the value of a supercapacitor, can be achieved, showing promising potential in high-density electrical energy storage for various applications.

  16. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  17. Oxidative kinetics of amino acids by peroxydisulfate: Effect of dielectric constant

    International Nuclear Information System (INIS)

    Khalid, Mohammad A. A.

    2008-01-01

    The kinetics and mechanism of oxidation of alanine, asparagines, cysteine, glutamic acid, lysine, phenylalanine and serine by peroxydisulfate ion have been studied in aqueous acidic (sulfuric acid) medium at the temperature range 60-80C. The rate shows first order dependence on peroxydisulfate concentration and zero order dependence on amino acid concentration. The rate law observed is: -d [S2O82-] /dt = Kobs [S2O82-] [amino acid]0. An autocatalytic effect has been observed in amino acids oxidation due to formation of Schiff's base between the formed aldehyde and parent amino acid. A decrease in the dielectric constant of the medium-adding acetic acid (5-15% v/v) results in a decrease in the rate in all cases studied. Reactions were carried out at different temperature (60-80C) and the thermodynamics parameters have been calculated. The logarithm of the rate constant is linearly interrelated to the square root of the ionic strength. (author)

  18. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  19. Mass transfer model for two-layer TBP oxidation reactions: Revision 1

    International Nuclear Information System (INIS)

    Laurinat, J.E.

    1994-01-01

    To prove that two-layer, TBP-nitric acid mixtures can be safely stored in the Canyon evaporators, it must be demonstrated that a runaway reaction between TBP and nitric acid will not occur. Previous bench-scale experiments showed that, at typical evaporator temperatures, this reaction is endothermic and therefore cannot run away, due to the loss of heat from evaporation of water in the organic layer. However, the reaction would be exothermic and could run away if the small amount of water in the organic layer evaporates before the nitric acid in this layer is consumed by the reaction. Provided that there is enough water in the aqueous layer, this would occur if the organic layer is sufficiently thick so that the rate of loss of water by evaporation exceeds the rate of replenishment due to mixing with the aqueous layer. Bubbles containing reaction products enhance the rate of transfer of water from the aqueous layer to the organic layer. These bubbles are generated by the oxidation of TBP and its reaction products in the organic layer and by the oxidation of butanol in the aqueous layer. Butanol is formed by the hydrolysis of TBP in the organic layer. For aqueous-layer bubbling to occur, butanol must transfer into the aqueous layer. Consequently, the rate of oxidation and bubble generation in the aqueous layer strongly depends on the rate of transfer of butanol from the organic to the aqueous layer. This report presents measurements of mass transfer rates for the mixing of water and butanol in two-layer, TBP-aqueous mixtures, where the top layer is primarily TBP and the bottom layer is comprised of water or aqueous salt solution. Mass transfer coefficients are derived for use in the modeling of two-layer TBP-nitric acid oxidation experiments

  20. Graphene oxide reinforced poly (4-styrenesulfonic acid)/polyvinyl alcohol blend composites with enhanced dielectric properties for portable and flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Deshmukh, Kalim, E-mail: deshmukh.kalim@gmail.com [Department of Physics, B.S. Abdur Rahman University, Chennai, 600048, TN (India); Ahamed, M. Basheer [Department of Physics, B.S. Abdur Rahman University, Chennai, 600048, TN (India); Sadasivuni, Kishor Kumar [Mechanical and Industrial Engineering Department, Qatar University, P.O. Box 2713, Doha (Qatar); Ponnamma, Deepalekshmi; AlMaadeed, Mariam Al-Ali [Center for Advanced Materials, Qatar University, P.O. Box 2713, Doha (Qatar); Khadheer Pasha, S.K. [Department of Physics, School of Advanced Sciences, VIT University, Vellore, 632014, TN (India); Deshmukh, Rajendra R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai, 400019 (India); Chidambaram, K. [Department of Physics, School of Advanced Sciences, VIT University, Vellore, 632014, TN (India)

    2017-01-15

    In this work, Graphene Oxide (GO) reinforced novel polymer composites comprising of poly (4-styrenesulfonic acid) (PSSA) and polyvinyl alcohol (PVA) blend matrix have been developed using colloidal processing technique. The properties and the structure of prepared composites were investigated using Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, X-ray diffraction (XRD), UV–vis spectroscopy (UV), Thermogravimetric analysis (TGA), Polarized optical microscopy (POM), Scanning electron microscopy (SEM) and Atomic force microscopy (AFM). The FTIR and Raman spectroscopy analysis indicate the strong interfacial interaction between GO and PSSA/PVA blend matrix. The XRD and SEM analysis confirm that GO was fully exfoliated into individual graphene sheets and dispersed homogeneously within the polymer matrix. The effective reinforcement of GO into PSSA/PVA blend matrix has resulted in the enhancement of dielectric constant. The dielectric constant has increased from 82.67 (50 Hz, 150 °C) for PSSA/PVA (50/50) blend to 297.91 (50 Hz, 150 °C) for PSSA/PVA/GO composites with 3 wt % GO loading. The dielectric loss (tan δ) has increased from 1.56 (50 KHz, 140 °C) for PSSA/PVA (50/50) blend to 2.64 (50 KHz, 140 °C) for PSSA/PVA/GO composites with 3 wt % GO loading. These findings provide a new insight to fabricate flexible, high-k dielectric composite as a promising material for energy storage applications. - Highlights: • Graphene Oxide was prepared from natural graphite using modified Hummers method. • Novel PSSA/PVA/GO composites were prepared by reinforcing GO into PSSA/PVA blend matrix. • Molecular level dispersion of GO in PSSA/PVA blend matrix was successfully achieved. • Enhancement in the dielectric constant was observed due to effective reinforcement of GO in PSSA/PVA blend matrix. • PSSA/PVA/GO composites with high dielectric performances can be considered for energy storage applications.

  1. From surface to volume plasmons in hyperbolic metamaterials: General existence conditions for bulk high-k waves in metal-dielectric and graphene-dielectric multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Sipe, John E.

    2014-01-01

    -dielectric and recently introduced graphene-dielectric stacks. We confirm that short-range surface plasmons in thin metal layers can give rise to hyperbolic metamaterial properties and demonstrate that long-range surface plasmons cannot. We also show that graphene-dielectric multilayers tend to support high- k waves...

  2. Compressibility effects on the non-linear receptivity of boundary layers to dielectric barrier discharges

    Science.gov (United States)

    Denison, Marie F. C.

    The reduction of drag and aerodynamic heating caused by boundary layer transition is of central interest for the development of hypersonic vehicles. Receptivity to flow perturbation in the form of Tollmien-Schlichting (TS) wave growth often determines the first stage of the transition process, which can be delayed by depositing specific excitations into the boundary layer. Weakly ionized Dielectric Barrier Discharge (DBD) actuators are being investigated as possible sources of such excitations, but little is known today about their interaction with high-speed flows. In this framework, the first part of the thesis is dedicated to a receptivity study of laminar compressible boundary layers over a flat plate by linear stability analysis following an adjoint operator formulation, under DBD representative excitations assumed independent of flow conditions. The second part of the work concentrates on the development of a coupled plasma-Navier and Stokes solver targeted at the study of supersonic flow and compressibility effects on DBD forcing and non-parallel receptivity. The linear receptivity study of quasi-parallel compressible flows reveals several interesting features such as a significant shift of the region of maximum receptivity deeper into the flow at high Mach number and strong wave amplitude reduction compared to incompressible flows. The response to DBD relevant excitation distributions and to variations of the base flow conditions and system length scales follows these trends. Observed absolute amplitude changes and relative sensitivity modifications between source types are related to the evolution of the offset between forcing peak profile and relevant adjoint mode maximum. The analysis highlights the crucial importance of designing and placing the actuator in a way that matches its force field to the position of maximum boundary layer receptivity for the specific flow conditions of interest. In order to address the broad time and length scale spectrum

  3. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    OpenAIRE

    Lee, Youngseok; Oh, Woongkyo; Dao, Vinh Ai; Hussain, Shahzada Qamar; Yi, Junsin

    2012-01-01

    It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT) solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO) process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0) which improves the efficie...

  4. Materials Fundamentals of Gate Dielectrics

    CERN Document Server

    Demkov, Alexander A

    2006-01-01

    This book presents materials fundamentals of novel gate dielectrics that are being introduced into semiconductor manufacturing to ensure the continuous scalling of the CMOS devices. This is a very fast evolving field of research so we choose to focus on the basic understanding of the structure, thermodunamics, and electronic properties of these materials that determine their performance in device applications. Most of these materials are transition metal oxides. Ironically, the d-orbitals responsible for the high dielectric constant cause sever integration difficulties thus intrinsically limiting high-k dielectrics. Though new in the electronics industry many of these materials are wel known in the field of ceramics, and we describe this unique connection. The complexity of the structure-property relations in TM oxides makes the use of the state of the art first-principles calculations necessary. Several chapters give a detailed description of the modern theory of polarization, and heterojunction band discont...

  5. Characterization of Zircaloy-4 oxide layers by impedance spectroscopy

    International Nuclear Information System (INIS)

    Barberis, P.

    1999-01-01

    Two Zircaloy-4 type alloys with different tin contents (0.5 and 1.2 wt%) have been oxidized in autoclave (400 C in steam) for several durations (1-140 days). The film has been characterized by electrochemical impedance spectroscopy (EIS). Several soaking times have been investigated (up to 40 days). The Cole-Cole representation has been used to display and study the data. A simple electrical model has been derived from the observed spectra: the electrical circuit includes two RC loops in series, whose capacitances are frequency dispersed. It is thoroughly related to the layer structure. It has been shown that even before the kinetic transition, the film is constituted of three parts: an inner layer which is compact, an outer layer subdivided in an external region immediately soaked by the electrolyte, and an internal one in which electrolyte diffusion processes can take place. The kinetic transition is interpreted in terms of an abrupt 'compacity' change, both layers degrading at this point. The alloy with high tin content exhibits higher dispersive properties of the oxide layer formed on it, in correlation with its faster oxidation kinetics. (orig.)

  6. Toward the Physical Basis of Complex Systems: Dielectric Analysis of Porous Silicon Nanochannels in the Electrical Double Layer Length Range

    Directory of Open Access Journals (Sweden)

    Radu Mircea Ciuceanu

    2011-01-01

    Full Text Available Dielectric analysis (DEA shows changes in the properties of
    a materials as a response to the application on it of a time dependent electric field. Dielectric measurements are extremely sensitive to small changes in materials properties, that molecular relaxation, dipole changes, local motions that involve the reorientation of dipoles, and so can be observed by DEA. Electrical double layer (EDL, consists in a shielding layer that is naturally created within the liquid near a charged surface. The thickness of the EDL is given by the characteristic Debye length what grows less with the ionic strength defined by half summ products of concentration with square of charge for all solvent
    ions (co-ions, counterions, charged molecules. The typical length scale for the Debye length is on the order of 1 nm, depending on the ionic contents in the solvent; thus, the EDL becomes significant for nano-capillaries that nanochannels. The electrokinetic e®ects in the nanochannels depend essentialy on the distribution of charged species in EDL, described by the Poisson-Boltzmann equation those solutions require the solvent dielectric permittivity. In this work we propose a model for solvent low-frequency permittivity and a DEA profile taking into account both the porous silicon electrode and aqueous solvent properties in the Debye length range.

  7. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  8. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  10. Ultra thin buried oxide layers formed by low dose Simox process

    Energy Technology Data Exchange (ETDEWEB)

    Aspar, B.; Pudda, C.; Papon, A.M. [CEA Centre d`Etudes de Grenoble, 38 (France). Lab. d`Electronique et d`Instrumentation; Auberton Herve, A.J.; Lamure, J.M. [SOITEC, 38 - Grenoble (France)

    1994-12-31

    Oxygen low dose implantation is studied for two implantation energies. For 190 keV, a continuous buried oxide layer is obtained with a high dislocation density in the top silicon layer due to SiO{sub 2} precipitates. For 120 keV, this silicon layer is free of SiO{sub 2} precipitate and has a low dislocation density. Low density of pin-holes is observed in the buried oxide. The influence of silicon islands in the buried oxide on the breakdown electric fields is discussed. (authors). 6 refs., 5 figs.

  11. Ultra thin buried oxide layers formed by low dose Simox process

    International Nuclear Information System (INIS)

    Aspar, B.; Pudda, C.; Papon, A.M.

    1994-01-01

    Oxygen low dose implantation is studied for two implantation energies. For 190 keV, a continuous buried oxide layer is obtained with a high dislocation density in the top silicon layer due to SiO 2 precipitates. For 120 keV, this silicon layer is free of SiO 2 precipitate and has a low dislocation density. Low density of pin-holes is observed in the buried oxide. The influence of silicon islands in the buried oxide on the breakdown electric fields is discussed. (authors). 6 refs., 5 figs

  12. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    Science.gov (United States)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  13. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  14. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  15. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    Science.gov (United States)

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  16. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  17. Atomic layer-by-layer oxidation of Ge (100) and (111) surfaces by plasma post oxidation of Al2O3/Ge structures

    International Nuclear Information System (INIS)

    Zhang, Rui; Huang, Po-Chin; Lin, Ju-Chin; Takenaka, Mitsuru; Takagi, Shinichi

    2013-01-01

    The ultrathin GeO x /Ge interfaces formed on Ge (100) and (111) surfaces by applying plasma post oxidation to thin Al 2 O 3 /Ge structures are characterized in detail using X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy. It is found that the XPS signals assigned to Ge 1+ and the 2+ states in the GeO x layers by post plasma oxidation have oscillating behaviors on Ge (100) surfaces in a period of ∼0.3 nm with an increase in the GeO x thickness. Additionally, the oscillations of the signals assigned to Ge 1+ and 2+ states show opposite phase to each other. The similar oscillation behaviors are also confirmed on Ge (111) surfaces for Ge 1+ and 3+ states in a period of ∼0.5 nm. These phenomena can be strongly regarded as an evidence of the atomic layer-by-layer oxidation of GeO x /Ge interfaces on Ge (100) and (111) surfaces.

  18. Dielectric Properties of PANI/CuO Nanocomposites

    Science.gov (United States)

    Ambalagi, Sharanabasamma M.; Devendrappa, Mahalesh; Nagaraja, Sannakki; Sannakki, Basavaraja

    2018-02-01

    The combustion method is used to prepare the Copper Oxide (CuO) nanoparticles. The nanocomposites of Polyaniline (PANI) by doping with copper oxide nanoparticles have synthesized at 10, 20, 30, 40 and 50 different weight percentages during the in-situ polymerization. The samples of nanocomposite of PANI-CuO were characterized by using X-Ray diffraction (XRD) technique. The physical properties such as dielectric constant, dielectric loss and A C conductivity of the nanocomposites are studied as a function of frequency in the range 5Hz-35MHz at room temperature. It is found that the dielectric constant decreases as the frequency increases. The dielectric constant it remains constant at higher frequencies and it is also observed that in particular frequency both the dielectric constant and dielectric loss are decreased as a weight percentage of CuO increased. In case of AC conductivity it is found that as the frequency increases the AC conductivity remains constant up to 3.56MHz and afterwards it increases as frequency increases. This is due to the increase in charge carriers through the hopping mechanism in the polymer nanocomposites. It is also observed that as a weight percentage of CuO increased the AC conductivity is also increasing at a particular frequency.

  19. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    Science.gov (United States)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  20. Electrical characteristics of AlO sub x N sub y prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    CERN Document Server

    Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S

    2000-01-01

    In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  1. Electrical characteristics of AlO{sub x}N{sub y} prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sang Hun; Jang, Hyeon Woo; Kim, Hyun Soo; Noh, Do Young; Hwang, Hyun Sang [Kwangju Institute of Science and Technology, Kwangju (Korea, Republic of)

    2000-12-01

    In this research, the feasibility of ultrathin AlO{sub x}N{sub y} prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO{sub x}N{sub y}, respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO{sub 2}. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO{sub 2}. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  2. Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors with sulfur passivation

    International Nuclear Information System (INIS)

    Tan Zhen; Zhao Lian-Feng; Wang Jing; Xu Jun

    2014-01-01

    Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors (MOSCAPs) with sulfur passivation were investigated and the chemical mechanisms of the sulfur passivation process were carefully studied. It was shown that the sulfur passivation treatment could reduce the interface trap density D it of the HfAlO/GaSb interface by 35% and reduce the equivalent oxide thickness (EOT) from 8 nm to 4 nm. The improved properties are due to the removal of the native oxide layer, as was proven by x-ray photoelectron spectroscopy measurements and high-resolution cross-sectional transmission electron microscopy (HRXTEM) results. It was also found that GaSb-based MOSCAPs with HfAlO gate dielectrics have interfacial properties superior to those using HfO 2 or Al 2 O 3 dielectric layers. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Two-Dimensional Layered Oxide Structures Tailored by Self-Assembled Layer Stacking via Interfacial Strain.

    Science.gov (United States)

    Zhang, Wenrui; Li, Mingtao; Chen, Aiping; Li, Leigang; Zhu, Yuanyuan; Xia, Zhenhai; Lu, Ping; Boullay, Philippe; Wu, Lijun; Zhu, Yimei; MacManus-Driscoll, Judith L; Jia, Quanxi; Zhou, Honghui; Narayan, Jagdish; Zhang, Xinghang; Wang, Haiyan

    2016-07-06

    Study of layered complex oxides emerge as one of leading topics in fundamental materials science because of the strong interplay among intrinsic charge, spin, orbital, and lattice. As a fundamental basis of heteroepitaxial thin film growth, interfacial strain can be used to design materials that exhibit new phenomena beyond their conventional forms. Here, we report a strain-driven self-assembly of bismuth-based supercell (SC) with a two-dimensional (2D) layered structure. With combined experimental analysis and first-principles calculations, we investigated the full SC structure and elucidated the fundamental growth mechanism achieved by the strain-enabled self-assembled atomic layer stacking. The unique SC structure exhibits room-temperature ferroelectricity, enhanced magnetic responses, and a distinct optical bandgap from the conventional double perovskite structure. This study reveals the important role of interfacial strain modulation and atomic rearrangement in self-assembling a layered singe-phase multiferroic thin film, which opens up a promising avenue in the search for and design of novel 2D layered complex oxides with enormous promise.

  4. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  5. Center for dielectric studies

    Science.gov (United States)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  6. Dielectric breakdown and healing of anodic oxide films on aluminium under single pulse anodizing

    International Nuclear Information System (INIS)

    Sah, Santosh Prasad; Tatsuno, Yasuhiro; Aoki, Yoshitaka; Habazaki, Hiroki

    2011-01-01

    Research highlights: → We examined dielectric breakdown of anodic alumina by single pulse anodizing. → Current transients and morphology of discharge channels are dependent upon electrolyte and voltage. → There is a good correlation between current transient and morphology of discharge channel. → Healing of open discharge pores occurs in alkaline silicate, but not in pentaborate electrolyte. - Abstract: Single pulse anodizing of aluminium micro-electrode has been employed to study the behaviour of dielectric breakdown and subsequent oxide formation on aluminium in alkaline silicate and pentaborate electrolytes. Current transients during applying pulse voltage have been measured, and surface has been observed by scanning electron microscopy. Two types of current transients are observed, depending on the electrolyte and applied voltage. There is a good correlation between the current transient behaviour and the shape of discharge channels. In alkaline silicate electrolyte, circular open pores are healed by increasing the pulse width, but such healing is not obvious in pentaborate electrolyte.

  7. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  8. Synthesis of titanium oxide nanoparticles using DNA-complex as template for solution-processable hybrid dielectric composites

    Energy Technology Data Exchange (ETDEWEB)

    Ramos, J.C. [Center for Sustainable Materials Chemistry, 153 Gilbert Hall, Oregon State University, Corvallis, OR (United States); Mejia, I.; Murphy, J.; Quevedo, M. [Department of Materials Science and Engineering, University of Texas at Dallas, Dallas, TX (United States); Garcia, P.; Martinez, C.A. [Engineering and Technology Institute, Autonomous University of Ciudad Juarez, Ciudad Juarez, Chihuahua (Mexico)

    2015-09-15

    Highlights: • We developed a synthesis method to produce TiO{sub 2} nanoparticles using a DNA complex. • The nanoparticles were anatase phase (~6 nm diameter), and stable in alcohols. • Composites showed a k of 13.4, 4.6 times larger than the k of polycarbonate. • Maximum processing temperature was 90 °C. • Low temperature enables their use in low-voltage, low-cost, flexible electronics. - Abstract: We report the synthesis of TiO{sub 2} nanoparticles prepared by the hydrolysis of titanium isopropoxide (TTIP) in the presence of a DNA complex for solution processable dielectric composites. The nanoparticles were incorporated as fillers in polycarbonate at low concentrations (1.5, 5 and 7 wt%) to produce hybrid dielectric films with dielectric constant higher than thermally grown silicon oxide. It was found that the DNA complex plays an important role as capping agent in the formation and suspension stability of nanocrystalline anatase phase TiO{sub 2} at room temperature with uniform size (∼6 nm) and narrow distribution. The effective dielectric constant of spin-cast polycarbonate thin-films increased from 2.84 to 13.43 with the incorporation of TiO{sub 2} nanoparticles into the polymer host. These composites can be solution processed with a maximum temperature of 90 °C and could be potential candidates for its application in low-cost macro-electronics.

  9. Deuterium permeation behavior of HTUPS4 steel with thermal oxidation layer

    International Nuclear Information System (INIS)

    Xu, Yu-Ping; Liu, Feng; Zhao, Si-Xiang; Li, Xiao-Chun; Wang, Jing; An, Zhong-Qing; Lu, Tao; Liu, Hao-Dong; Ding, Fang; Zhou, Hai-Shan; Luo, Guang-Nan

    2016-01-01

    The permeation behavior of creep-resistant, Al 2 O 3 -forming HTUPS austenitic stainless steels was studied using a gas driven permeation (GDP) device. The steel samples were first thermal oxidized at air condition, followed by GDP experiments. The permeability and diffusion coefficients of oxidized samples and bare 316L steels were derived and compared. In order to characterize the oxide layer, X-ray photoelectron spectroscopy was performed. An oxide layer with a thickness of 200 nm which mainly consists of Al 2 O 3 was detected.

  10. Quenching Mo optical losses in CIGS solar cells by a point contacted dual-layer dielectric spacer: a 3-D optical study.

    Science.gov (United States)

    Rezaei, Nasim; Isabella, Olindo; Vroon, Zeger; Zeman, Miro

    2018-01-22

    A 3-D optical modelling was calibrated to calculate the light absorption and the total reflection of fabricated CIGS solar cells. Absorption losses at molybdenum (Mo) / CIGS interface were explained in terms of plasmonic waves. To quench these losses, we assumed the insertion of a lossless dielectric spacer between Mo and CIGS, whose optical properties were varied. We show that such a spacer with low refractive index and proper thickness can significantly reduce absorption in Mo in the long wavelength regime and improve the device's rear reflectance, thus leading to enhanced light absorption in the CIGS layer. Therefore, we optimized a realistic two-layer MgF 2 / Al 2 O 3 dielectric spacer to exploit (i) the passivation properties of ultra-thin Al 2 O 3 on the CIGS side for potential high open-circuit voltage and (ii) the low refractive index of MgF 2 on the Mo side to reduce its optical losses. Combining our realistic spacer with optically-optimized point contacts increases the implied photocurrent density of a 750 nm-thick CIGS layer by 10% for the wavelengths between 700 and 1150 nm with respect to the reference cell. The elimination of plasmonic resonances in the new structure leads to a higher electric field magnitude at the bottom of CIGS layer and justifies the improved optical performance.

  11. Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications

    Science.gov (United States)

    Schroeder, T.; Lupina, G.; Sohal, R.; Lippert, G.; Wenger, Ch.; Seifarth, O.; Tallarida, M.; Schmeisser, D.

    2007-07-01

    Engineered dielectrics combined with compatible metal electrodes are important materials science approaches to scale three-dimensional trench dynamic random access memory (DRAM) cells. Highly insulating dielectrics with high dielectric constants were engineered in this study on TiN metal electrodes by partly substituting Al in the wide band gap insulator Al2O3 by Pr cations. High quality PrAlO3 metal-insulator-metal capacitors were processed with a dielectric constant of 19, three times higher than in the case of Al2O3 reference cells. As a parasitic low dielectric constant interface layer between PrAlO3 and TiN limits the total performance gain, a systematic nondestructive synchrotron x-ray photoelectron spectroscopy study on the interface chemistry of PrxAl2-xO3 (x =0-2) dielectrics on TiN layers was applied to unveil its chemical origin. The interface layer results from the decreasing chemical reactivity of PrxAl2-xO3 dielectrics with increasing Pr content x to reduce native Ti oxide compounds present on unprotected TiN films. Accordingly, PrAlO3 based DRAM capacitors require strict control of the surface chemistry of the TiN electrode, a parameter furthermore of importance to engineer the band offsets of PrxAl2-xO3/TiN heterojunctions.

  12. Characterization Of Oxide Layers Produced On The AISI 321 Stainless Steel After Annealing

    Directory of Open Access Journals (Sweden)

    Bochnowski W.

    2015-09-01

    Full Text Available In this study, the structure, chemical composition and topography of oxide layers produced on the surface of the AISI 321 austenitic steel in the annealing process were analyzed. Heat treatment was done at 980°C temperature for 1 hour time in different conditions. The annealing was done in a ceramic furnace in oxidation atmosphere and in vacuum furnaces with cylindrical molybdenum and graphite chambers. The analysis was carried out using the following methods: a scanning electron microscope (SEM equipped with an energy-dispersive X-ray spectrometer (EDX, a transmission electron microscope (TEM equipped with an energy-dispersive X-ray spectrometer (EDX, an X-ray diffractometer (XRD, a secondary ion mass spectrometer with time-of-flight mass analyzer (TOF SIMS and an atomic force microscope (AFM. The oxide layer formed during annealing of the AISI 321 steel at 980°C consisted of sub-layers, diversified in the chemical composition. The thickness of the oxidized layer is depended on the annealing conditions. In a ceramic furnace in oxidation atmosphere, the thickness of the oxide layer was of 300-500 nm, in a vacuum furnace with molybdenum and graphite heating chambers, it ranged from 40 to 300 nm and from a few to 50 nm, respectively. TOF SIMS method allows to get average (for the surface of 100 μm × 100 μm depth profiles of concentration of particular elements and elements combined with oxygen. In oxide layers formed in vacuum furnaces there are no iron oxides. Titanium, apart from being bounded with carbon in carbides, is a component of the oxide layer formed on the surface of the AISI 321 steel.

  13. Growth of micrometric oxide layers for the study of metallic surfaces decontamination by laser

    Science.gov (United States)

    Carvalho, Luisa; Pacquentin, Wilfried; Tabarant, Michel; Maskrot, Hicham; Semerok, Alexandre

    2017-09-01

    The nuclear industry produces a wide range of radioactive waste in term of level of hazard, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop techniques for dismantling and for decontamination in a safe way, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. We propose a method of formation of oxide layer on stainless steel 304L with europium (Eu) as contaminant marker. In this method, an Eu-solution is sprayed on the stainless steel samples. The specimen are firstly treated with a pulsed nanosecond laser and secondly the steel samples are exposed to a 600°C furnace for various durations in order to grow an oxide layer. The oxide structure and in-depth distribution of Eu in the oxide layer are analysed by scanning electron microscopy coupled with energy dispersive X-ray microanalyzer, and by glow discharge optical emission or mass spectrometry. The oxide layers were grown to thicknesses in the range of 200 nm to 4.5 μm regarding to the laser treatment parameters and the heating duration. These contaminated oxides have a `duplex structure' with a mean weight percentage of 0.5% of europium in the volume of the oxide layer. It appears that europium implementation prevents the oxide growth by furnace but has no impact on laser heating. These oxide layers are used to study the decontamination of metallic surfaces such as stainless steel 304L using a nanosecond pulsed laser.

  14. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  15. Structure and nano-mechanical characteristics of surface oxide layers on a metallic glass.

    Science.gov (United States)

    Caron, A; Qin, C L; Gu, L; González, S; Shluger, A; Fecht, H-J; Louzguine-Luzgin, D V; Inoue, A

    2011-03-04

    Owing to their low elastic moduli, high specific strength and excellent processing characteristics in the undercooled liquid state, metallic glasses are promising materials for applications in micromechanical systems. With miniaturization of metallic mechanical components down to the micrometer scale, the importance of a native oxide layer on a glass surface is increasing. In this work we use TEM and XPS to characterize the structure and properties of the native oxide layer grown on Ni(62)Nb(38) metallic glass and their evolution after annealing in air. The thickness of the oxide layer almost doubled after annealing. In both cases the oxide layer is amorphous and consists predominantly of Nb oxide. We investigate the friction behavior at low loads and in ambient conditions (i.e. at T = 295 K and 60% air humidity) of both as-cast and annealed samples by friction force microscopy. After annealing the friction coefficient is found to have significantly increased. We attribute this effect to the increase of the mechanical stability of the oxide layer upon annealing.

  16. The optical properties of transferred graphene and the dielectrics grown on it obtained by ellipsometry

    Science.gov (United States)

    Kasikov, Aarne; Kahro, Tauno; Matisen, Leonard; Kodu, Margus; Tarre, Aivar; Seemen, Helina; Alles, Harry

    2018-04-01

    Graphene layers grown by chemical vapour deposition (CVD) method and transferred from Cu-foils to the oxidized Si-substrates were investigated by spectroscopic ellipsometry (SE), Raman and X-Ray Photoelectron Spectroscopy (XPS) methods. The optical properties of transferred CVD graphene layers do not always correspond to the ones of the exfoliated graphene due to the contamination from the chemicals used in the transfer process. However, the real thickness and the mean properties of the transferred CVD graphene layers can be found using ellipsometry if a real thickness of the SiO2 layer is taken into account. The pulsed laser deposition (PLD) and atomic layer deposition (ALD) methods were used to grow dielectric layers on the transferred graphene and the obtained structures were characterized using optical methods. The approach demonstrated in this work could be useful for the characterization of various materials grown on graphene.

  17. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  18. Layer Dependence of Graphene for Oxidation Resistance of Cu Surface

    Institute of Scientific and Technical Information of China (English)

    Yu-qing Song; Xiao-ping Wang

    2017-01-01

    We studied the oxidation resistance of graphene-coated Cu surface and its layer dependence by directly growing monolayer graphene with different multilayer structures coexisted,diminishing the influence induced by residue and transfer technology.It is found that the Cu surface coated with the monolayer graphene demonstrate tremendous difference in oxidation pattern and oxidation rate,compared to that coated with the bilayer graphene,which is considered to be originated from the strain-induced linear oxidation channel in monolayer graphene and the intersection of easily-oxidized directions in each layer of bilayer graphene,respectively.We reveal that the defects on the graphene basal plane but not the boundaries are the main oxidation channel for Cu surface under graphene protection.Our finding indicates that compared to putting forth efforts to improve the quality of monolayer graphene by reducing defects,depositing multilayer graphene directly on metal is a simple and effective way to enhance the oxidation resistance of graphene-coated metals.

  19. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  20. Heterojunction PbS nanocrystal solar cells with oxide charge-transport layers.

    Science.gov (United States)

    Hyun, Byung-Ryool; Choi, Joshua J; Seyler, Kyle L; Hanrath, Tobias; Wise, Frank W

    2013-12-23

    Oxides are commonly employed as electron-transport layers in optoelectronic devices based on semiconductor nanocrystals, but are relatively rare as hole-transport layers. We report studies of NiO hole-transport layers in PbS nanocrystal photovoltaic structures. Transient fluorescence experiments are used to verify the relevant energy levels for hole transfer. On the basis of these results, planar heterojunction devices with ZnO as the photoanode and NiO as the photocathode were fabricated and characterized. Solution-processed devices were used to systematically study the dependence on nanocrystal size and achieve conversion efficiency as high as 2.5%. Optical modeling indicates that optimum performance should be obtained with thinner oxide layers than can be produced reliably by solution casting. Room-temperature sputtering allows deposition of oxide layers as thin as 10 nm, which enables optimization of device performance with respect to the thickness of the charge-transport layers. The best devices achieve an open-circuit voltage of 0.72 V and efficiency of 5.3% while eliminating most organic material from the structure and being compatible with tandem structures.

  1. Significantly Elevated Dielectric and Energy Storage Traits in Boron Nitride Filled Polymer Nano-composites with Topological Structure

    Science.gov (United States)

    Feng, Yefeng; Zhang, Jianxiong; Hu, Jianbing; Li, Shichun; Peng, Cheng

    2018-03-01

    Interface induced polarization has a prominent influence on dielectric properties of 0-3 type polymer based composites containing Si-based semi-conductors. The disadvantages of composites were higher dielectric loss, lower breakdown strength and energy storage density, although higher permittivity was achieved. In this work, dielectric, conductive, breakdown and energy storage properties of four nano-composites have been researched. Based on the cooperation of fluoropolymer/alpha-SiC layer and fluoropolymer/hexagonal-BN layer, it was confirmed constructing the heterogeneous layer-by-layer composite structure rather than homogeneous mono-layer structure could significantly reduce dielectric loss, promote breakdown strength and increase energy storage density. The former worked for a larger dielectric response and the latter layer acted as a robust barrier of charge carrier transfer. The best nano-composite could possess a permittivity of 43@100 Hz ( 3.3 times of polymer), loss of 0.07@100 Hz ( 37% of polymer), discharged energy density of 2.23 J/cm3@249 kV/cm ( 10 times of polymer) and discharged energy efficiency of 54%@249 kV/cm ( 5 times of polymer). This work might enlighten a facile route to achieve the promising high energy storage composite dielectrics by constructing the layer-by-layer topological structure.

  2. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    OpenAIRE

    Beloborodov, Roman; Pervukhina, Marina; Han, Tongcheng; Josh, Matthew

    2017-01-01

    High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for t...

  3. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  4. Fabrication of oxide layer on zirconium by micro-arc oxidation: Structural and antimicrobial characteristics.

    Science.gov (United States)

    Fidan, S; Muhaffel, F; Riool, M; Cempura, G; de Boer, L; Zaat, S A J; Filemonowicz, A Czyrska-; Cimenoglu, H

    2017-02-01

    The aim of this study was to cover the surfaces of zirconium (Zr) with an antimicrobial layer for biomedical applications. For this purpose, the micro-arc oxidation (MAO) process was employed in a sodium silicate and sodium hydroxide containing base electrolyte with and without addition of silver acetate (AgC 2 H 3 O 2 ). In general, synthesized MAO layers were composed of zirconium oxide (ZrO 2 ) and zircon (ZrSiO 4 ). Addition of AgC 2 H 3 O 2 into the base electrolyte caused homogenous precipitation of silver-containing particles in the MAO layer, which exhibited excellent antibacterial efficiency against methicillin-resistant Staphylococcus aureus (MRSA) as compared to the untreated and MAO-treated Zr. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  6. Dielectric material in lead-based perovskite and fabrication process for multilayer ceramic capacitor with copper internal electrode

    International Nuclear Information System (INIS)

    Kato, J.; Yokotani, Y.; Kagata, H.; Nakatani, S.; Kugimiya, K.

    1990-01-01

    This paper reports on the development of a multilayer ceramic capacitor with copper internal electrodes. Dielectric materials of the capacitor is lead- based perovskite (Pb a Ca b ) (Mg 1/3 Nb 2/3 ) x Ti y (Ni 1/2 W 1/2 ) z O 2 + a + b where a + b gt 1 and x + y + z = 1. The materials can be fired below 1000 degrees C and have high resistivity even when fired in the atmosphere below the equilibrium oxygen partial pressure of copper and CuO. The fabrication process of the capacitor has following features. The electrode paste is composed of copper oxide to prevent breaking of the laminated body in a burn out process. Then the copper oxide is first metalized and fired in a controlled atmosphere. The obtained capacitor of 20 dielectric layers of 17 micron meter meets to Z5U specification and has low loss tangent of 0.6% and stability under d.c. bias voltage and high a.c. field

  7. Growth of micrometric oxide layers for the study of metallic surfaces decontamination by laser

    Directory of Open Access Journals (Sweden)

    Carvalho Luisa

    2017-01-01

    Full Text Available The nuclear industry produces a wide range of radioactive waste in term of level of hazard, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop techniques for dismantling and for decontamination in a safe way, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. We propose a method of formation of oxide layer on stainless steel 304L with europium (Eu as contaminant marker. In this method, an Eu-solution is sprayed on the stainless steel samples. The specimen are firstly treated with a pulsed nanosecond laser and secondly the steel samples are exposed to a 600°C furnace for various durations in order to grow an oxide layer. The oxide structure and in-depth distribution of Eu in the oxide layer are analysed by scanning electron microscopy coupled with energy dispersive X-ray microanalyzer, and by glow discharge optical emission or mass spectrometry. The oxide layers were grown to thicknesses in the range of 200 nm to 4.5 μm regarding to the laser treatment parameters and the heating duration. These contaminated oxides have a ‘duplex structure’ with a mean weight percentage of 0.5% of europium in the volume of the oxide layer. It appears that europium implementation prevents the oxide growth by furnace but has no impact on laser heating. These oxide layers are used to study the decontamination of metallic surfaces such as stainless steel 304L using a nanosecond pulsed laser.

  8. Zirconium titanate thin film prepared by surface sol-gel process and effects of thickness on dielectric property

    CERN Document Server

    Kim, C H

    2002-01-01

    Single phase of multicomponent oxide ZrTiO sub 4 film could be prepared through surface sol-gel route simply by coating the mixture of 100mM zirconium butoxide and titanium butoxide on Pt/Ti/SiO sub 2 /Si(100) substrate, following pyrolysis at 450 .deg. C, and annealing it at 770 .deg. C. The dielectric constant of the film was reduced as the film thickness decreased due to of the interfacial effects caused by layer/electrode and a few voids inside the multilayer. However, the dielectric property was independent of applied dc bias sweeps voltage (-2 to +2 V). The dielectric constant of bulk film, 31.9, estimated using series-connected capacitor model was independent of film thickness and frequency in the measurement range, but theoretical interfacial thickness, t sub i , was dependent on the frequency. It reached a saturated t sub i value, 6.9 A, at high frequency by extraction of some capacitance component formed at low frequency range. The dielectric constant of bulk ZrTiO sub 4 pellet-shaped material was 3...

  9. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  10. Plasmon analysis and homogenization in plane layered photonic crystals and hyperbolic metamaterials

    Energy Technology Data Exchange (ETDEWEB)

    Davidovich, M. V., E-mail: davidovichmv@info.sgu.ru [Saratov State University (Russian Federation)

    2016-12-15

    Dispersion equations are obtained and analysis and homogenization are carried out in periodic and quasiperiodic plane layered structures consisting of alternating dielectric layers, metal and dielectric layers, as well as graphene sheets and dielectric (SiO{sub 2}) layers. Situations are considered when these structures acquire the properties of hyperbolic metamaterials (HMMs), i.e., materials the real parts of whose effective permittivity tensor have opposite signs. It is shown that the application of solely dielectric layers is more promising in the context of reducing losses.

  11. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  12. Enhanced energy storage and suppressed dielectric loss in oxide core-shell-polyolefin nanocomposites by moderating internal surface area and increasing shell thickness

    Energy Technology Data Exchange (ETDEWEB)

    Fredin, Lisa A.; Li, Zhong; Ratner, Mark A.; Marks, Tobin J. [Department of Chemistry Northwestern University, 2145 Sheridan Road, Evanston, IL 60208 (United States); Lanagan, Michael T. [Center for Dielectric Studies, Materials Research Institute, The Pennsylvania State University, University Park, PA 16802-4800 (United States)

    2012-11-20

    Dielectric loss in metal oxide core/Al{sub 2}O{sub 3} shell polypropylene nanocomposites scales with the particle surface area. By moderating the interfacial surface area between the phases and using increasing shell thicknesses, dielectric loss is significantly reduced, and thus the energy stored within, and recoverable from, capacitors fabricated from these materials is significantly increased, to as high as 2.05 J/cm{sup 3}. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Characterization of vertical strain silicon MOSFET incorporating dielectric pocket (SDP-VMOSFET)

    Energy Technology Data Exchange (ETDEWEB)

    Napiah, Z. A. F. M., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Makhtar, N., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Othman, M. A., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Idris, M. I., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Arith, F., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Yasin, N. Y. M., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Taib, S. N., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com [Centre for Telecommunication Research and Innovation (CeTRI), Faculty of Electronic and Computer Engineering, Universiti Teknikal Malaysia Melaka, Hang Tuah Jaya, 76100 Durian Tunggal, Melaka (Malaysia)

    2014-02-24

    The vertical Metal-Oxide-Semiconductor Field-Effect-Transistor (MOSFET) leads to a double channel width that can increase the packaging density. The strained silicon MOSFET was introduced to modify the carrier transport properties of silicon in order to enhance transport of both electrons and holes within strained layer. Dielectric pocket was act to control encroachment of the drain doping into the channel and reduce short channel effects (SCE). SDP-VMOSFET which was a combination of those advantages was proposed to overcome the SCE in term of leakage current, threshold voltage roll-off also Drain Induce Barrier Lowering (DIBL). As a result, SDP-VMOSFET produces a better threshold voltage and DIBL compared to related structures. Meanwhile, it gives slightly increased for leakage current compared to Vertical MOSFET Incorporating Dielectric Pocket. The characteristics of the SDP-VMOSFET are analyzed in order to optimize the performance of the device and leading to the next generation of IC technology.

  14. Characterization of vertical strain silicon MOSFET incorporating dielectric pocket (SDP-VMOSFET)

    International Nuclear Information System (INIS)

    Napiah, Z. A. F. M.; Makhtar, N.; Othman, M. A.; Idris, M. I.; Arith, F.; Yasin, N. Y. M.; Taib, S. N.

    2014-01-01

    The vertical Metal-Oxide-Semiconductor Field-Effect-Transistor (MOSFET) leads to a double channel width that can increase the packaging density. The strained silicon MOSFET was introduced to modify the carrier transport properties of silicon in order to enhance transport of both electrons and holes within strained layer. Dielectric pocket was act to control encroachment of the drain doping into the channel and reduce short channel effects (SCE). SDP-VMOSFET which was a combination of those advantages was proposed to overcome the SCE in term of leakage current, threshold voltage roll-off also Drain Induce Barrier Lowering (DIBL). As a result, SDP-VMOSFET produces a better threshold voltage and DIBL compared to related structures. Meanwhile, it gives slightly increased for leakage current compared to Vertical MOSFET Incorporating Dielectric Pocket. The characteristics of the SDP-VMOSFET are analyzed in order to optimize the performance of the device and leading to the next generation of IC technology

  15. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  16. Preparation and dielectric properties of novel composites based on oxidized styrene-butadienestyrene copolymer and polyaniline modified exfoliated graphite nanoplates

    Science.gov (United States)

    Lv, Qun-Chen; Li, Ying; Zhong, Zhi-Kui; Wu, Hui-Jun; He, Fu-An; Lam, Kwok-Ho

    2018-05-01

    To improve the dielectric performance of high-dielectric-constant conductive filler/polymer composites, polyaniline was deposited on exfoliated graphite nanoplates (xGNPs) by in-situ polymerization method to form polyaniline (PANI) coated xGNPs (xGNPs@PANI) as the conductive filler for the oxidized styrene-butadienestyrene copolymer (SBS-FH) containing both hydroxyl and formyloxy groups. The results of TEM, SEM, FTIR, TGA, Raman spectrum, XPS, and WAXD showed that PANI had been coated onto the surface of xGNPs successfully. The xGNPs@PANI/SBS-FH composites were prepared by a simple solution-blending method and the homogenous distribution of xGNPs@PANI in the SBS-FH matrix was confirmed by SEM. The presence of xGNPs@PANI was found to significantly improve the dielectric properties of resultant composite compared to the unmodified xGNPs. For example, the xGNPs@PANI/SBS-FH composite near percolation threshold filled with 9.38 vol.% xGNPs@PANI showed a dielectric constant of 56.8 and a dielectric loss factor of 0.51 at 1000 Hz, while the corresponding values of xGNPs (1.19 vol.%)/SBS composite were 15.96 and 2.91 at 1000 Hz, respectively. In addition, the incorporation of xGNPs@PANI into SBS-FH could effectively enhance the thermal conductivity of resultant xGNPs@PANI/SBS-FH composite.

  17. Solution-processed high-LUMO-level polymers in n-type organic field-effect transistors: a comparative study as a semiconducting layer, dielectric layer, or charge injection layer

    International Nuclear Information System (INIS)

    Liu, Chuan; Xu, Yong; Liu, Xuying; Minari, Takeo; Sirringhaus, Henning; Noh, Yong-Young

    2015-01-01

    In solution-processed organic field-effect transistors (OFETs), the polymers with high level of lowest unoccupied molecular orbitals (LUMOs, > −3.5 eV) are especially susceptible to electron-trapping that causes low electron mobility and strong instability in successive operation. However, the role of high-LUMO-level polymers could be different depending on their locations relative to the semiconductor/insulator interface, or could even possibly benefit the device in some cases. We constructed unconventional polymer heterojunction n-type OFETs to control the location of the same polymer with a high LUMO level, to be in, under, or above the accumulation channel. We found that although the devices with the polymer in the channel suffer from dramatic instability, the same polymer causes much less instability when it acts as a dielectric modification layer or charge injection layer. Especially, it may even improve the device performance in the latter case. This result helps to improve our understanding of the electron-trapping and explore the value of these polymers in OFETs. (invited article)

  18. Heterojunction PbS Nanocrystal Solar Cells with Oxide Charge-Transport Layers

    KAUST Repository

    Hyun, Byung-Ryool

    2013-12-23

    Oxides are commonly employed as electron-transport layers in optoelectronic devices based on semiconductor nanocrystals, but are relatively rare as hole-transport layers. We report studies of NiO hole-transport layers in PbS nanocrystal photovoltaic structures. Transient fluorescence experiments are used to verify the relevant energy levels for hole transfer. On the basis of these results, planar heterojunction devices with ZnO as the photoanode and NiO as the photocathode were fabricated and characterized. Solution-processed devices were used to systematically study the dependence on nanocrystal size and achieve conversion efficiency as high as 2.5%. Optical modeling indicates that optimum performance should be obtained with thinner oxide layers than can be produced reliably by solution casting. Roomerature sputtering allows deposition of oxide layers as thin as 10 nm, which enables optimization of device performance with respect to the thickness of the charge-transport layers. The best devices achieve an open-circuit voltage of 0.72 V and efficiency of 5.3% while eliminating most organic material from the structure and being compatible with tandem structures. © 2013 American Chemical Society.

  19. Flexible FETs using ultrathin Si microwires embedded in solution processed dielectric and metal layers

    Science.gov (United States)

    Khan, S.; Yogeswaran, N.; Taube, W.; Lorenzelli, L.; Dahiya, R.

    2015-12-01

    This work presents a novel manufacturing route for obtaining high performance bendable field effect transistors (FET) by embedding silicon (Si) microwires (2.5 μm thick) in layers of solution-processed dielectric and metallic layers. The objective of this study is to explore heterogeneous integration of Si with polymers and to exploit the benefits of both microelectronics and printing technologies. Arrays of Si microwires are developed on silicon on insulator (SOI) wafers and transfer printed to polyimide (PI) substrate through a polydimethylsiloxane (PDMS) carrier stamp. Following the transfer printing of Si microwires, two different processing steps were developed to obtain top gate top contact and back gate top contact FETs. Electrical characterizations indicate devices having mobility as high as 117.5 cm2 V-1 s-1. The fabricated devices were also modeled using SILVACO Atlas. Simulation results show a trend in the electrical response similar to that of experimental results. In addition, a cyclic test was performed to demonstrate the reliability and mechanical robustness of the Si μ-wires on flexible substrates.

  20. Flexible FETs using ultrathin Si microwires embedded in solution processed dielectric and metal layers

    International Nuclear Information System (INIS)

    Khan, S; Yogeswaran, N; Lorenzelli, L; Taube, W; Dahiya, R

    2015-01-01

    This work presents a novel manufacturing route for obtaining high performance bendable field effect transistors (FET) by embedding silicon (Si) microwires (2.5 μm thick) in layers of solution-processed dielectric and metallic layers. The objective of this study is to explore heterogeneous integration of Si with polymers and to exploit the benefits of both microelectronics and printing technologies. Arrays of Si microwires are developed on silicon on insulator (SOI) wafers and transfer printed to polyimide (PI) substrate through a polydimethylsiloxane (PDMS) carrier stamp. Following the transfer printing of Si microwires, two different processing steps were developed to obtain top gate top contact and back gate top contact FETs. Electrical characterizations indicate devices having mobility as high as 117.5 cm 2 V −1 s −1 . The fabricated devices were also modeled using SILVACO Atlas. Simulation results show a trend in the electrical response similar to that of experimental results. In addition, a cyclic test was performed to demonstrate the reliability and mechanical robustness of the Si μ-wires on flexible substrates. (paper)

  1. Air-Impregnated Nanoporous Anodic Aluminum Oxide Layers for Enhancing the Corrosion Resistance of Aluminum.

    Science.gov (United States)

    Jeong, Chanyoung; Lee, Junghoon; Sheppard, Keith; Choi, Chang-Hwan

    2015-10-13

    Nanoporous anodic aluminum oxide layers were fabricated on aluminum substrates with systematically varied pore diameters (20-80 nm) and oxide thicknesses (150-500 nm) by controlling the anodizing voltage and time and subsequent pore-widening process conditions. The porous nanostructures were then coated with a thin (only a couple of nanometers thick) Teflon film to make the surface hydrophobic and trap air in the pores. The corrosion resistance of the aluminum substrate was evaluated by a potentiodynamic polarization measurement in 3.5 wt % NaCl solution (saltwater). Results showed that the hydrophobic nanoporous anodic aluminum oxide layer significantly enhanced the corrosion resistance of the aluminum substrate compared to a hydrophilic oxide layer of the same nanostructures, to bare (nonanodized) aluminum with only a natural oxide layer on top, and to the latter coated with a thin Teflon film. The hydrophobic nanoporous anodic aluminum oxide layer with the largest pore diameter and the thickest oxide layer (i.e., the maximized air fraction) resulted in the best corrosion resistance with a corrosion inhibition efficiency of up to 99% for up to 7 days. The results demonstrate that the air impregnating the hydrophobic nanopores can effectively inhibit the penetration of corrosive media into the pores, leading to a significant improvement in corrosion resistance.

  2. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  3. Analyzing the effect of gate dielectric on the leakage currents

    Directory of Open Access Journals (Sweden)

    Sakshi

    2016-01-01

    Full Text Available An analytical threshold voltage model for MOSFETs has been developed using different gate dielectric oxides by using MATLAB software. This paper explains the dependency of threshold voltage on the dielectric material. The variation in the subthreshold currents with the change in the threshold voltage sue to the change of dielectric material has also been studied.

  4. Effect of paramagnetic manganese ions doping on frequency and high temperature dependence dielectric response of layered Na1.9Li0.1Ti3O7 ceramics

    International Nuclear Information System (INIS)

    Pal, Dharmendra; Pandey, J.L.

    2010-01-01

    The manganese doped layered ceramic samples (Na 1.9 Li 0.1 )Ti 3 O 7 : XMn (0.01 ≤ X ≤ 0.1) have been prepared using high temperature solid state reaction. The room temperature electron paramagnetic resonance (EPR) investigations exhibit that at lower percentage of doping the substitution of manganese ions occur as Mn 3+ at Ti 4+ sites, whereas for higher percentage of doping Mn 2+ ions occupy the two different interlayer sodium/lithium sites. In both cases, the charge compensation mechanism should operate to maintain the overall charge neutrality of the lattice. The manganese doped derivatives of layered Na 1.9 Li 0. 1Ti 3 O 7 (SLT) ceramics have been investigated through frequency dependence dielectric spectroscopy in this work. The results indicate that the dielectric losses in these ceramics are the collective contribution of electric conduction, dipole orientation and space charge polarization. Smeared peaks in temperature dependence of permittivity plots suggest diffuse nature of high temperature ferroelectric phase transition. The light manganese doping in SLT enhances the dielectric constant. However, manganese doping decreases dielectric loss due to inhibition of domain wall motion, enhances electron-hopping conduction, and impedes the interlayer ionic conduction as well. Manganese doping also gives rise to contraction of interlayer space. (author)

  5. Infrared and THz spectroscopy of nanostructured dielectrics

    Directory of Open Access Journals (Sweden)

    Jan Petzelt

    2009-09-01

    Full Text Available Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized.

  6. Improved adhesion of metal oxide layer

    DEFF Research Database (Denmark)

    2012-01-01

    The present invention relates to: a method of preparing a coating ink for forming a zinc oxide layer, which method comprises the steps of: a) mixing zinc acetate and AlOH (OAc)2 in water or methanol and b) filtering out solids; a coating ink comprising zinc acetate and AlOH (OAc)2 in aqueous or m...

  7. Alkali resistant optical coatings for alkali lasers and methods of production thereof

    Science.gov (United States)

    Soules, Thomas F; Beach, Raymond J; Mitchell, Scott C

    2014-11-18

    In one embodiment, a multilayer dielectric coating for use in an alkali laser includes two or more alternating layers of high and low refractive index materials, wherein an innermost layer includes a thicker, >500 nm, and dense, >97% of theoretical, layer of at least one of: alumina, zirconia, and hafnia for protecting subsequent layers of the two or more alternating layers of high and low index dielectric materials from alkali attack. In another embodiment, a method for forming an alkali resistant coating includes forming a first oxide material above a substrate and forming a second oxide material above the first oxide material to form a multilayer dielectric coating, wherein the second oxide material is on a side of the multilayer dielectric coating for contacting an alkali.

  8. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  9. Mass transfer model for two-layer TBP oxidation reactions

    International Nuclear Information System (INIS)

    Laurinat, J.E.

    1994-01-01

    To prove that two-layer, TBP-nitric acid mixtures can be safely stored in the canyon evaporators, it must be demonstrated that a runaway reaction between TBP and nitric acid will not occur. Previous bench-scale experiments showed that, at typical evaporator temperatures, this reaction is endothermic and therefore cannot run away, due to the loss of heat from evaporation of water in the organic layer. However, the reaction would be exothermic and could run away if the small amount of water in the organic layer evaporates before the nitric acid in this layer is consumed by the reaction. Provided that there is enough water in the aqueous layer, this would occur if the organic layer is sufficiently thick so that the rate of loss of water by evaporation exceeds the rate of replenishment due to mixing with the aqueous layer. This report presents measurements of mass transfer rates for the mixing of water and butanol in two-layer, TBP-aqueous mixtures, where the top layer is primarily TBP and the bottom layer is comprised of water or aqueous salt solution. Mass transfer coefficients are derived for use in the modeling of two-layer TBP-nitric acid oxidation experiments. Three cases were investigated: (1) transfer of water into the TBP layer with sparging of both the aqueous and TBP layers, (2) transfer of water into the TBP layer with sparging of just the TBP layer, and (3) transfer of butanol into the aqueous layer with sparging of both layers. The TBP layer was comprised of 99% pure TBP (spiked with butanol for the butanol transfer experiments), and the aqueous layer was comprised of either water or an aluminum nitrate solution. The liquid layers were air sparged to simulate the mixing due to the evolution of gases generated by oxidation reactions. A plastic tube and a glass frit sparger were used to provide different size bubbles. Rates of mass transfer were measured using infrared spectrophotometers provided by SRTC/Analytical Development

  10. Oxide layers of Zr-1% Nb under PWR primary circuit conditions

    International Nuclear Information System (INIS)

    Nagy, Gabor; Kerner, Zsolt; Battistig, Gabor; Pinter-Csordas, Anna; Balogh, Janos; Pajkossy, Tamas

    2001-01-01

    Oxide layers were grown on Zr-1% Nb under conditions simulating those in VVER-type pressurised water reactors (PWRs), viz. in borate solutions in an autoclave at 290 deg. C. The layers were characterised by various methods: their respective thickness values were determined by weight gain measurements, Rutherford backscattering (RBS), nuclear reaction analysis (NRA) and scanning electron microscopy (SEM); the electrical properties were tested by electrochemical impedance spectroscopy. The results show that the oxide layer on Zr-1% Nb is homogeneous and somewhat thicker than that on Zircaloy-4

  11. Wet chemical preparation of YVO{sub 4}:Eu thin films as red-emitting phosphor layers for fully transparent flat dielectric discharge lamp

    Energy Technology Data Exchange (ETDEWEB)

    Klausch, A. [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany); Althues, H. [Fraunhofer Institute for Material and Beam Technology Winterbergstr. 28, 01309 Dresden (Germany); Freudenberg, T. [Leibniz Institute for Solid State and Materials Research, Helmholtzstrasse 20, 01069 Dresden (Germany); Kaskel, S., E-mail: Stefan.Kaskel@chemie.tu-dresden.de [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany)

    2012-04-30

    Highly transparent YVO{sub 4}:Eu thin films were deposited via dip coating of liquid nanoparticle dispersions on glass substrates. Annealing of the nanoparticle layers resulted in restructuring of the material into oriented crystalline films. The crystallinity was confirmed using powder X-ray diffraction. Film thickness was adjusted to 467 nm by multiple deposition. The resulting coatings show > 99% absorbance for wavelength below 300 nm and > 90% transmission in the visible spectral range. Under UV-light excitation a bright red photoluminescence with a quantum efficiency of 20% is observed. A planar, transparent dielectric barrier discharge lamp was constructed using YVO{sub 4}:Eu coated glasses and transparent electrodes made from antimony-doped tin dioxide thin films. - Highlights: Black-Right-Pointing-Pointer Preparation of highly transparent Eu{sup 3+} doped YVO{sub 4} phosphor thin films. Black-Right-Pointing-Pointer Improved crystallinity and optical properties through heat treatment. Black-Right-Pointing-Pointer Red emitting films on glass substrates were combined with antimony tin oxide thin films. Black-Right-Pointing-Pointer Fully transparent, planar gas discharge lamp as prototype for a light emitting window.

  12. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  13. Effects of electrolytes variation on formation of oxide layers of 6061 Al alloys by plasma electrolytic oxidation

    Institute of Scientific and Technical Information of China (English)

    Kai WANG; Bon-Heun KOO; Chan-Gyu LEE; Young-Joo KIM; Sung-Hun LEE; Eungsun BYON

    2009-01-01

    Plasma electrolytic oxidation(PEO) processes were carried out to produce ceramic layers on 6061 aluminum substrates in four kinds of electrolytes such as silicate and aluminate solution with and without sodium fluorosilicate. The PEO processes were carried out under a hybrid voltage (260 V DC combined with 200 V, 60 Hz AC amplitude) at room temperature for 5 min. The composition, microstructure and element distribution analyses of the PEO-treated layers were carried out by XRD and SEM & EDS. The effect of the electrolyte contents on the growth mechanism, element distribution and properties of oxide layers were studied. It is obvious that the layers generated in aluminate solutions show smoother surfaces than those in silicate solutions. Moreover, an addition of fluorine ion can effectively control the layer porosity; therefore, it can enhance the properties of the layers.

  14. Nondegradative Dielectric Coating of Graphene using Thermal Evaporation of SiO

    Science.gov (United States)

    Suzuki, Seiya; Lee, Chien-Chung; Nagamori, Takashi; Schibli, Thomas; Yoshimura, Masamichi

    2013-03-01

    Deposition of dielectrics onto graphene is a challenging technique due to the difficulties of fabricating high quality oxide on pristine graphene without introducing atomic defects. Here we report on a novel method to fabricate silicon oxide layer on graphene by vacuum thermal evaporation of silicon monoxide (SiO). Raman spectroscopy and mapping showed the present method did not degrade graphene, in contrast to the e-beam evaporated SiO2 coating method previously reported. We fabricated graphene field effect transistor devices with four metal electrodes to measure gate voltage dependence of sheet resistance of the graphene, and deposited a top coating of SiO on the graphene channel. The electrical measurements before and after the top-coating revealed that the top coating suppressed chemical shift of the graphene from strong p-dope to nearly undoped. Since SiO is transparent for visible and infrared light, the coating can be available as a protection layer for optical devices of graphene such as photodetectors and electro-optic modulators. Since the SiO top coating is a simple vacuum evaporation, it is much easier than atomic-layer-deposition which requires additional functionalization of graphene, and compatible with industrial use. This research was supported in part by Toyoaki Scholarship Foundation

  15. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  16. Evaluation of covalency of ions in lead-free perovskite-type dielectric oxides

    Directory of Open Access Journals (Sweden)

    Naohisa Takesue

    2017-10-01

    Full Text Available Electronic states of ions in lead-free perovskite-type dielectric oxides have been investigated with a first-principle cluster calculation. For this calculation a double-perovskite cluster model based upon the simple cubic ABO3 was used; A and B are both the cations, and O is the oxygen anion. Systematic variations of ionic species for A and B, and lengths of the model cube edge were given to the model. Results of charge transfers of the ions show that their magnitudes depend on the edge length; the lager length leads to the higher transfer magnitude. This tendency implies spatial tolerance of the ions to the clusters, and are expected to correlate with electric polarizability and dipole reversibility of this kind of oxides. The density of states and the overlap population indicate that the higher cation valence causes the higher covalency of the anions. Considering all results together provides us an idea to obtain lead-free high-performance ferroelectrics, as high as the lead-based solid solutions.

  17. Dielectric breakdown of ultrathin aluminum oxide films induced by scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Magtoto, N. P.; Niu, C.; Ekstrom, B. M.; Addepalli, S.; Kelber, J. A.

    2000-01-01

    Dielectric breakdown of 7-Aa-thick Al 2 O 3 (111) films grown on Ni 3 Al(111) under ultrahigh vacuum conditions is induced by increasing the bias voltage on the scanning tunneling microscopy tip under constant current feedback. Breakdown is marked by the precipitous retreat of the tip from the surface, and the formation of an elevated feature in the scanning tunneling microscopy image, typically greater than 5 nm high and ∼100 nm in diameter. Constant height measurements performed at tip/sample distances of 1 nm or less yield no tip/substrate physical interaction, indicating that such features do not result from mass transport. Consistent with this, current/voltage measurements within the affected regions indicate linear behavior, in contrast to a band gap of 1.5 eV observed at unaffected regions of the oxide surface. A threshold electric field value of 11±1 MV cm -1 is required to induce breakdown, in good agreement with extrapolated values from capacitance measurements on thicker oxides. (c) 2000 American Institute of Physics

  18. Topotactic oxidative and reductive control of the structures and properties of layered manganese oxychalcogenides.

    Science.gov (United States)

    Hyett, Geoffrey; Barrier, Nicolas; Clarke, Simon J; Hadermann, Joke

    2007-09-12

    Topotactic modification, by both oxidation and reduction, of the composition, structures, and magnetic properties of the layered oxychalcogenides Sr4Mn3O7.5Cu2Ch2 (Ch=S, Se) is described. These Mn3+ compounds are composed of alternating perovskite-type strontium manganese oxide slabs separated by anti-fluorite-type copper chalcogenide layers and are intrinsically oxide deficient in the central layer of the perovskite slabs. The systems are unusual examples of perovskite-related compounds that may topotactically be both oxidized by fluorination and reduced by deintercalation of oxygen from the oxide-deficient part of the structure. The compounds exhibit antiferromagnetic ordering of the manganese magnetic moments in the outer layers of the perovskite slabs, while the other moments, in the central layers, exhibit spin-glass-like behavior. Fluorination has the effect of increasing the antiferromagnetic ordering temperature and the size of the ordered moment, whereas reduction destroys magnetic long-range order by introducing chemical disorder which leads to both further disorder and frustration of the magnetic interactions in the manganese oxide slab.

  19. High temperature measurements of the microwave dielectric properties of ceramics

    International Nuclear Information System (INIS)

    Baeraky, T.A.

    1999-06-01

    Equipment has been developed for the measurement of dielectric properties at high temperature from 25 to 1700 deg. C in the microwave frequency range 614.97 to 3620.66 MHz using the cavity perturbation technique, to measure the permittivity of a range of ceramic materials. The complex permittivities of the standard materials, water and methanol, were measured at low temperature and compared with the other published data. A statistical analysis was made for the permittivity measurements of water and methanol using sample holders of different diameter. Also the measurements of these materials were used to compare the simple perturbation equation with its modifications and alternation correction methods for sample shape and the holes at the two endplates of the cavity. The dielectric properties of solid materials were investigated from the permittivity measurements on powder materials, shown in table 4.7, using the dielectric mixture equations. Two kinds of ceramics, oxide and nitrides, were selected for the high temperature dielectric measurements in microwave frequency ranges. Pure zirconia, yttria-stabilised zirconia, and Magnesia-stabilised zirconia are the oxide ceramics while aluminium nitride and silicon nitride are the nitride ceramics. A phase transformation from monoclinic to tetragonal was observed in pure zirconia in terms of the complex permittivity measurements, and the conduction mechanism in three regions of temperature was suggested to be ionic in the first region and a mixture of ionic and electronic in the second. The phase transition disappeared with yttria-stabilised zirconia but it was observed with magnesia-stabilised zirconia. Yttria doped zirconia was fully stabilised while magnesia stabilised was partially stabilised zirconia. The dielectric property measurements of aluminium nitride indicated that there is a transition from AIN to AlON, which suggested that the external layer of the AIN which was exposed to the air, contains alumina. It was

  20. Electrogeneration and study of oxide layer on AISI 316 L steel

    International Nuclear Information System (INIS)

    Otero, T.F.; Mateo, M.L.

    1989-01-01

    It has been studied by impedance technique the properties of oxide layers electrogenerated on a stainless steel by cyclic voltammetry. Also, it has been studied the behavior of these layers in chloride media applying a fast corrosion test. The results have been compared with such obtained in mild steel. UV - Vis reflectance and FTIR spectroscopies have been applied to know about the oxide composition [pt

  1. Structural and dielectric properties of four - layer Aurivillius - type Ba0.25Sr0.75Bi4Ti4O15 ceramics

    International Nuclear Information System (INIS)

    Goyal, Parveen K.; Khokhar, Anita; Sreenivas, K.

    2013-01-01

    In the present study, a barium strontium bismuth titanate (Ba 0.25 Sr 0.75 Bi 4 Ti 4 O 15 , BSBT) ceramic composition has been prepared by conventional solid-state reaction. In order to study the structure of as synthesized BSBT ceramics, the X-ray powder diffraction (XRD), Raman and FTIR studies have been carried out on the powdered sample. X-ray diffraction analysis confirms the formation of a single phase four-layer Aurivillius-type ceramics that crystallizes in an orthorhombic structure with A2 1 am space group. The dielectric properties of the ceramics have been studied in the temperature range 30 - 600℃ temperature range at various frequencies (100 Hz to 1 MHz). A sharp dielectric anomaly was observed at ∼ 485℃ at all the frequencies corresponding to the ferroelectric to paraelectric phase transition. The ferroelectric behavior is confirmed from the Curie-Weiss law fitting of the dielectric data. (author)

  2. Ablation of selected conducting layers by fiber laser

    Science.gov (United States)

    Pawlak, Ryszard; Tomczyk, Mariusz; Walczak, Maria

    2014-08-01

    Laser Direct Writing (LDW) are used in the manufacture of electronic circuits, pads, and paths in sub millimeter scale. They can also be used in the sensors systems. Ablative laser writing in a thin functional layer of material deposited on the dielectric substrate is one of the LDW methods. Nowadays functional conductive layers are composed from graphene paint or nanosilver paint, indium tin oxide (ITO), AgHTTM and layers containing carbon nanotubes. Creating conducting structures in transparent layers (ITO, AgHT and carbon nanotubes layers) may have special importance e.g. for flexi electronics. The paper presents research on the fabrication of systems of paths and appropriate pattern systems of paths and selected electronic circuits in AgHTTM and ITO layers deposited on glass and polymer substrates. An influence of parameters of ablative fiber laser treatment in nanosecond regime as well as an influence of scanning mode of laser beam on the pattern fidelity and on electrical parameters of a generated circuit was investigated.

  3. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  4. Sputter fabricated Nb-oxide-Nb josephson junctions incorporating post-oxidation noble metal layers

    International Nuclear Information System (INIS)

    Bain, R.J.P.; Donaldson, G.B.

    1985-01-01

    We present an extension, involving other metals, of the work of Hawkins and Clarke, who found that a thin layer of copper prevented the formation of the superconductive shorts which are an inevitable consequence of sputtering niobium counter-electrodes directly on top of niobium oxide. We find gold to be the most satisfactory, and that 0.3 nm is sufficient to guarantee short-free junctions of excellent electrical and mechanical stability, though high excess conductance means they are best suited to shunted-junction applications, as in SQUIDs. We present results for critical current dependence on oxide thickness and on gold thickness. Our data shows that thermal oxide growth is described by the Cabrera-Mott mechanism. We show that the protective effect of the gold layer can be understood in terms of the electro-chemistry of the Nb-oxide-Au structure, and that the reduced quasi-particle resistance of the junctions relative to goldfree junctions with evaporated counterelectrodes can be explained in terms of barrier shape modification, and not by proximity effect mechanisms. The performance of a DC SQUID based on these junctions is described

  5. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  6. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene-graphene composite layers for flexible thin film transistors with a polymer gate dielectric.

    Science.gov (United States)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-02-28

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene-graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene-graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm(2) V(-1) s(-1) and a threshold voltage of -0.7 V at V(gs) = -40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm(2) V(-1) s(-1) and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies.

  7. All-oxide-based synthetic antiferromagnets exhibiting layer-resolved magnetization reversal

    Science.gov (United States)

    Chen, Binbin; Xu, Haoran; Ma, Chao; Mattauch, Stefan; Lan, Da; Jin, Feng; Guo, Zhuang; Wan, Siyuan; Chen, Pingfan; Gao, Guanyin; Chen, Feng; Su, Yixi; Wu, Wenbin

    2017-07-01

    Synthesizing antiferromagnets with correlated oxides has been challenging, owing partly to the markedly degraded ferromagnetism of the magnetic layer at nanoscale thicknesses. Here we report on the engineering of an antiferromagnetic interlayer exchange coupling (AF-IEC) between ultrathin but ferromagnetic La2/3Ca1/3MnO3 layers across an insulating CaRu1/2Ti1/2O3 spacer. The layer-resolved magnetic switching leads to sharp steplike hysteresis loops with magnetization plateaus depending on the repetition number of the stacking bilayers. The magnetization configurations can be switched at moderate fields of hundreds of oersted. Moreover, the AF-IEC can also be realized with an alternative magnetic layer of La2/3Sr1/3MnO3 that possesses a Curie temperature near room temperature. The findings will add functionalities to devices with correlated-oxide interfaces.

  8. Dynamics of dielectric barrier discharges in coplanar arrangements

    International Nuclear Information System (INIS)

    Gibalov, Valentin I; Pietsch, Gerhard J

    2004-01-01

    The development of a discharge channel in coplanar dielectric barrier arrangements is investigated numerically. Its behaviour in oxygen, like the spatial and temporal distributions of the field strength, charged and neutral particles and energy density, is described in detail. It is found that the streamer development is mainly determined by photoemission. A cathode layer appears near the position where the cathode directed streamer touches the dielectric surface. Secondary electron emission by ion collisions becomes significant and the parameters of the cathode layer are near those of a normal glow discharge. The charge transfer and energy release happen in the conductive channel of the discharge, which appears on the dielectric surface as a result of the cathode streamer development. The field strength in the conductive channel is nearly constant and about 70-100 Td in oxygen and air

  9. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  10. Effects of interfacial layer on characteristics of TiN/ZrO2 structures.

    Science.gov (United States)

    Kim, Younsoo; Kang, Sang Yeol; Choi, Jae Hyoung; Lim, Jae Soon; Park, Min Young; Chung, Suk-Jin; Chung, Jaegwan; Lee, Hyung Ik; Kim, Ki Hong; Kyoung, Yong Koo; Heo, Sung; Yoo, Cha Young; Kang, Ho-Kyu

    2011-09-01

    To minimize the formation of unwanted interfacial layers, thin interfacial layer (ZrCN layer) was deposited between TiN bottom electrode and ZrO2 dielectric in TiN/ZrO2/TiN capacitor. Carbon and nitrogen were also involved in the layer because ZrCN layer was thermally deposited using TEMAZ without any reactant. Electrical characteristics of TiN/ZrO2/TiN capacitor were improved by insertion of ZrCN layer. The oxidation of TiN bottom electrode was largely inhibited at TiN/ZrCN/ZrO2 structure compared to TiN/ZrO2 structure. While the sheet resistance of TiN/ZrCN/ZrO2 structure was constantly sustained with increasing ZrO2 thickness, the large increase of sheet resistance was observed in TiN/ZrO2 structure after 6 nm ZrO2 deposition. When ZrO2 films were deposited on ZrCN layer, the deposition rate of ZrO2 also increased. It is believed that ZrCN layer acted both as a protection layer of TiN oxidation and a seed layer of ZrO2 growth.

  11. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  12. Deposition of thin layer (monoatomic layer) of barium on gold single crystal surfaces and studies of its oxidation employing X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ahmad, H.; Ahmad, R.; Khalid, M.; Alvi, R.A.

    2007-01-01

    Due to the high reactivity of barium with oxygen, some oxygen diffuse into the bulk to form bulk oxide and it is very difficult to differentiate the oxide over layer and the bulk oxide. To study the oxidation of barium surface layer, a thin layer (monolayer) of barium is developed over gold single crystal surface. Gold is selected as support because it is one of the least reactive metal in transition metal group and have very low probability of reaction with oxygen at room temperature (300K). Nitrous oxide (N/sub 2/O) was used as oxidant. Thin layer of barium was deposited on Au(100) surface. The barium coverage on gold surface was calculated that varied from 0.4 to 1.4 monolayer (ML). Photoelectron spectra for O(ls), N(ls), Ba (3d), and Au (4f) have been recorded on X-ray photoelectron spectrometer at different binding energy region specific for each element. The decomposition of nitrous oxide has been observed in all cases. It has found that nitrogen is evolved in the gaseous state and oxygen is adsorbed/chemisorbed on barium over layer. (author)

  13. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  14. Growth of micrometric oxide layers to explore laser decontamination of metallic surfaces

    OpenAIRE

    Carvalho Luisa; Pacquentin Wilfried; Tabarant Michel; Maskrot Hicham; Semerok Alexandre

    2017-01-01

    The nuclear industry produces a wide range of radioactive waste in terms of hazard level, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop safe techniques for dismantling and for decontamination, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. In this paper we propose a method for the creation of oxide layers on stai...

  15. Studies on Ba(2)YNbO(6) Buffer Layers for Subsequent YBa(2)Cu(3)O(7-x) Film Growth

    National Research Council Canada - National Science Library

    Sathiraju, Srinivas; Barnes, Paul N; Varanasi, Chakrapani; Wheeler, Robert

    2004-01-01

    In this paper, we are reporting a dielectric oxide buffer Ba(2)YNbO(6) (BYNO) and its performance on various substrates for a potential buffer layer for the growth of YBa(2)Cu(3)O(7-x) (YBCO) coated conductors. Ba(2)YNbO(6...

  16. Formation of Lamellar Structured Oxide Dispersion Strengthening Layers in Zircaloy-4

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Yang-Il; Park, Jung-Hwan; Park, Dong-Jun; Kim, Hyun-Gil; Yang, Jae-Ho; Koo, Yang-Hyun [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Lim, Yoon-Soo [Hanbat National University, Daejeon (Korea, Republic of)

    2016-10-15

    Korea Atomic Energy Research Institute (KAERI) is one of the leading organizations for developing ATF claddings. One concept is to form an oxidation-resistant layer on Zr cladding surface. The other is to increase high-temperature mechanical strength of Zr tube. The oxide dispersion strengthened (ODS) zirconium was proposed to increase the strength of the Zr-based alloy up to high temperatures. According to our previous investigations, the tensile strength of Zircaloy-4 was increased by up to 20% with the formation of a thin dispersed oxide layer with a thickness less than 10% of that of the Zircaloy-4 substrate. However, the tensile elongation of the samples decreased drastically. The brittle fracture was a major concern in development of the ODS Zircaloy-4. In this study, a lamellar structure of ODS layer was formed to increase ductility of the ODS Zircaloy-4. The mechanical properties were varied depending on the structure of ODS layer. For example, the partial formation of ODS layer with the thickness of 10% to the substrate thickness induced the increase in tensile strength up to about 20% than fresh Zircaloy-4.

  17. Enhanced dielectric-wall linear accelerator

    Science.gov (United States)

    Sampayan, Stephen E.; Caporaso, George J.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is enhanced by a high-voltage, fast e-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  18. Study of oxide layers in creep of Ti alloy

    International Nuclear Information System (INIS)

    Reis, D.A.P.; Moura Neto, C.; Machado, J.P.B.; Martins, G.V.; Barboza, M.J.R.

    2009-01-01

    The present study is about the effect of oxide layers in creep of Ti-6Al-4V alloy, in different atmospheres (air, nitrogen and argon). Ti-6Al-4V alloy was treated during 24 hours in a thermal treatment furnace at 600°C in different atmospheres (argon, nitrogen and air). The samples were analyzed by High Resolution X-Ray Diffraction, Scanning Electronic Microscopy (SEM), Atomic Force Microscopy (AFM) and microhardness test. The polished samples of Ti-6Al-4V alloy were treated during 24 hours at 600°C and the oxidation behavior in each case using argon, nitrogen and air atmospheres was observed. The oxidation was more aggressive in air atmosphere, forming TiO 2 film in the surface. The oxidation produced a weight gain through the oxide layer growth and hardening by oxygen dissolution. Ti-6Al-4V alloy specimens also were produced in order to test them in creep, at 250 MPa and 600 deg C, with argon, nitrogen and air atmospheres. When the Ti-6Al-4V alloy was tested under argon and nitrogen atmospheres oxidation effects are smaller and the behavior of the creep curves shows that the creep life time was better in atmospheres not so oxidant. It is observed a decreasing of steady state creep in function of the oxidation process reduction. It is shown that, for the Ti-6Al-4V alloy, their useful life is strongly affected by the atmosphere that is submitted, on account of the oxidation suffered by the material. (author)

  19. Formation and effect of orientation domains in layered oxide cathodes of lithium-ion batteries

    International Nuclear Information System (INIS)

    Jarvis, Karalee A.; Wang, Chih-Chieh; Knight, James C.; Rabenberg, Lew; Manthiram, Arumugam; Ferreira, Paulo J.

    2016-01-01

    We show that in layered oxides that are employed as cathodes in lithium-ion batteries, the cation layers can order on different {111} NaCl planes within a single particle, which makes the lithium layer discontinuous across a particle. The findings challenge previous assertions that lithium undergoes 2-D diffusion in layered oxides and the data provide new insights into the decrease in rate capabilities for some layered oxides. Therefore, it is critically important to understand how these discontinuities form and how the loss of 2-D diffusion impacts the overall performance of the layered oxide cathode materials. Employing X-ray diffraction (XRD) and aberration-corrected scanning transmission electron microscopy (STEM), we find that as the material transitions from a disordered to an ordered state, it forms four orientation variants corresponding to the four {111} NaCl planes. This transition is not intrinsic to all layered oxides and appears to be more strongly affected by nickel. Furthermore, with energy dispersive spectroscopy (EDS), we show that there is an increase in the nickel concentration at the interface between each orientation variant. This reduces the rate of lithium diffusion, negatively affects the rate capability, and could be contributing to the overall capacity fade.

  20. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  1. Design and Development of an Array of Dielectric Suspended Membranes for Microhotplate Applications

    Directory of Open Access Journals (Sweden)

    Mahanth Prasad

    2014-05-01

    Full Text Available The paper presents the design, fabrication and characterization of an array of suspended dielectric suspended membranes for microhotplate applications. A single cell membrane (100 µm ´ 100 µm made of two different dielectric layers: SiO2 and Si3N4 separately, was designed and simulated using ANSYS 10.0. The simulation of stress generated in different dielectric membranes as a function of temperature is reported. The thickness of both layers was taken as 0.3 µm. The membranes of both SiO2 and Si3N4 dielectrics were fabricated on silicon substrate by bulk micromachining technique using TMAH solution. The buckling of the beam and breakage of membranes made of high-stress Si3N4 film are reported. The simulated results were verified by experiments. The membrane made of SiO2 layer was found to be more suitable in comparison to high-stress Si3N4 layer for microhotplate applications. The present approach provides high yield at low cost for fabrication of microhotplates for gas sensing applications.

  2. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  3. Texture and microstructure analysis of epitaxial oxide layers prepared on textured Ni-12wt%Cr tapes

    Energy Technology Data Exchange (ETDEWEB)

    Huehne, R; Kursumovic, A; Tomov, R I; Glowacki, B A [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Holzapfel, B [Institut fuer Festkoerper- und Werkstoffforschung, Helmholtzstrasse 20, 01069 Dresden (Germany); Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2003-05-07

    Oxide layers for the preparation of YBa{sub 2}Cu{sub 3}O{sub 7-x} coated conductors were grown on highly textured Ni-12wt%Cr tapes in pure oxygen using surface oxidation epitaxy at temperatures between 1000 deg. C and 1300 deg. C. Microstructural investigations revealed a layered oxide structure. The upper layer consists mainly of dense cube textured NiO. This is followed by a porous layer containing NiO and NiCr{sub 2}O{sub 4} particles. A detailed texture analysis showed a cube-on-cube relationship of the NiCr{sub 2}O{sub 4} spinel to the metal substrate. Untextured Cr{sub 2}O{sub 3} particles in a nickel matrix were found in a third layer arising from internal oxidation of the alloy. A high surface roughness and mechanical instability of the oxide were observed, depending on oxidation temperature and film thickness. However, mechanically stable oxide layers have been prepared using an additional annealing step in a protective atmosphere. Additionally, mechanical polishing or a second buffer layer, which grows with a higher smoothness, may be applied to reduce the surface roughness for coated conductor applications.

  4. Considerably improved photovoltaic performance of carbon nanotube-based solar cells using metal oxide layers

    Science.gov (United States)

    Wang, Feijiu; Kozawa, Daichi; Miyauchi, Yuhei; Hiraoka, Kazushi; Mouri, Shinichiro; Ohno, Yutaka; Matsuda, Kazunari

    2015-02-01

    Carbon nanotube-based solar cells have been extensively studied from the perspective of potential application. Here we demonstrated a significant improvement of the carbon nanotube solar cells by the use of metal oxide layers for efficient carrier transport. The metal oxides also serve as an antireflection layer and an efficient carrier dopant, leading to a reduction in the loss of the incident solar light and an increase in the photocurrent, respectively. As a consequence, the photovoltaic performance of both p-single-walled carbon nanotube (SWNT)/n-Si and n-SWNT/p-Si heterojunction solar cells using MoOx and ZnO layers is improved, resulting in very high photovoltaic conversion efficiencies of 17.0 and 4.0%, respectively. These findings regarding the use of metal oxides as multifunctional layers suggest that metal oxide layers could improve the performance of various electronic devices based on carbon nanotubes.

  5. Considerably improved photovoltaic performance of carbon nanotube-based solar cells using metal oxide layers.

    Science.gov (United States)

    Wang, Feijiu; Kozawa, Daichi; Miyauchi, Yuhei; Hiraoka, Kazushi; Mouri, Shinichiro; Ohno, Yutaka; Matsuda, Kazunari

    2015-02-18

    Carbon nanotube-based solar cells have been extensively studied from the perspective of potential application. Here we demonstrated a significant improvement of the carbon nanotube solar cells by the use of metal oxide layers for efficient carrier transport. The metal oxides also serve as an antireflection layer and an efficient carrier dopant, leading to a reduction in the loss of the incident solar light and an increase in the photocurrent, respectively. As a consequence, the photovoltaic performance of both p-single-walled carbon nanotube (SWNT)/n-Si and n-SWNT/p-Si heterojunction solar cells using MoOx and ZnO layers is improved, resulting in very high photovoltaic conversion efficiencies of 17.0 and 4.0%, respectively. These findings regarding the use of metal oxides as multifunctional layers suggest that metal oxide layers could improve the performance of various electronic devices based on carbon nanotubes.

  6. Dielectric properties of water in Triton X-100 (nonionic detergent)-water mixtures

    International Nuclear Information System (INIS)

    Asami, Koji

    2007-01-01

    Dielectric measurements were carried out for mixtures of Triton X-100 (TX, a nonionic detergent with a poly(ethylene oxide) chain) and water with or without electrolytes over a frequency range of 1 MHz to 10 GHz to study the structure and dynamics of water molecules in the mixtures. Dielectric relaxation was found above 100 MHz, being assigned to the dielectric relaxation of water. The intensity of the dielectric relaxation was proportional to the water content above 0 deg. C. Below the freezing temperature of bulk water, the relaxation intensity decreased at TX concentrations (C TX ) below 50 wt% at -10 deg. Cand below 60 wt% at -20 deg. Cbecause frozen water shifts the dielectric relaxation to a frequency region far below 1 MHz. This indicated that there is no bulk water at C TX above 50 wt% and that at least two water molecules per ethylene oxide (EO) unit are tightly associated with the ethylene oxide chain. The low-frequency conductivity of the mixtures of TX and electrolyte solutions was well represented by Bruggeman's mixture equation at C TX below 40 wt%, if two water molecules per EO unit form an insulating shell surrounding TX micelles

  7. Fabrication of heterojunction solar cells by improved tin oxide deposition on insulating layer

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1980-01-01

    Highly efficient tin oxide-silicon heterojunction solar cells are prepared by heating a silicon substrate, having an insulating layer thereon, to provide a substrate temperature in the range of about 300.degree. C. to about 400.degree. C. and thereafter spraying the so-heated substrate with a solution of tin tetrachloride in a organic ester boiling below about 250.degree. C. Preferably the insulating layer is naturally grown silicon oxide layer.

  8. Structural characterization of oxidized allotaxially grown CoSi2 layers by x-ray scattering

    International Nuclear Information System (INIS)

    Kaendler, I. D.; Seeck, O. H.; Schlomka, J.-P.; Tolan, M.; Press, W.; Stettner, J.; Kappius, L.; Dieker, C.; Mantl, S.

    2000-01-01

    A series of buried CoSi 2 layers prepared by a modified molecular beam epitaxy process (allotaxy) and a subsequent wet-oxidation process was investigated by x-ray scattering. The oxidation time which determines the depth in which the CoSi 2 layers are located within the Si substrates has been varied during the preparation. The electron density profiles and the structure of the interfaces were extracted from specular reflectivity and diffuse scattering measurements. Crystal truncation rod investigations yielded the structure on an atomic level (crystalline quality). It turns out that the roughness of the CoSi 2 layers increases drastically with increasing oxidation time, i.e., with increasing depth of the buried layers. Furthermore, the x-ray data reveal that the oxidation growth process is diffusion limited. (c) 2000 American Institute of Physics

  9. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  10. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  11. Investigation of oxidation resistance of Ni-Ti film used as oxygen diffusion barrier layer

    International Nuclear Information System (INIS)

    Liu, B.T.; Yan, X.B.; Zhang, X.; Zhou, Y.; Guo, Y.N.; Bian, F.; Zhang, X.Y.

    2009-01-01

    Ni-Ti films prepared at 10 W and 70 W by rf magnetron sputtering are investigated as the oxygen diffusion barrier layer, it is found that crystallinity of Ni-Ti film does not greatly depend on the deposition power. X-ray photoelectron spectroscopy indicates that Ni is still in the form of metallic state from the binding energies of both Ni 2p 3/2 and Ni 2p 1/2 spectra for the sample with 10 W prepared Ni-Ti, however, Ni is oxidized for 70 W prepared Ni-Ti film. Moreover, the (La 0.5 Sr 0.5 )CoO 3 /Pb(Zr 0.40 Ti 0.60 )O 3 /(La 0.5 Sr 0.5 )CoO 3 capacitor grown on high power prepared Ni-Ti film is leaky, however, the capacitor on low power prepared Ni-Ti film possesses very promising physical properties (i.e. remnant polarization of ∼27 μC/cm 2 at 5 V and maximum dielectric constant of 940). Leakage current density of the capacitor grown on low power prepared Ni-Ti film is further investigated, it meets ohmic behavior ( 1.0 V).

  12. Optical properties and thermal stability of LaYbO3 ternary oxide for high-k dielectric application

    Science.gov (United States)

    Su, Wei-tao; Yang, Li; Li, Bin

    2011-01-01

    A new ternary rare oxide dielectric LaYbO3 film had been prepared on silicon wafers and quartz substrates by reactive sputtering method using a La-Yb metal target. A range of analysis techniques was performed to determine the optical band gap, thermal stability, and electrical property of the deposited samples. It was found the band gap of LaYbO3 film was about 5.8 eV. And the crystallization temperature for rapid thermal annealing (20 s) was between 900 and 950 °C. X-ray photoelectron spectroscopy results indicate the formation of the SiO2 and silicate in the interface between silicon wafer and LaYbO3 film. The dielectric constant is about 23 from the calculation of capacitance-voltage curve, which is comparable higher than previously reported La2O3 or Yb2O3 film.

  13. Perform Tests and Document Results and Analysis of Oxide Layer Effects and Comparisons

    Energy Technology Data Exchange (ETDEWEB)

    Collins, E. D. [ORNL; DelCul, G. D. [ORNL; Spencer, B. B. [ORNL; Hunt, R. D. [ORNL; Ausmus, C. [ORNL

    2014-08-30

    During the initial feasibility test using actual used nuclear fuel (UNF) cladding in FY 2012, an incubation period of 30–45 minutes was observed in the initial dry chlorination. The cladding hull used in the test had been previously oxidized in a dry air oxidation pretreatment prior to removal of the fuel. The cause of this incubation period was attributed to the resistance to chlorination of an oxide layer imparted by the dry oxidation pretreatment on the cladding. Subsequently in 2013, researchers at the Korea Atomic Energy Institute (KAERI) reported on their chlorination study [R1] on ~9-gram samples of unirradiated ZirloTM cladding tubes that had been previously oxidized in air at 500oC for various time periods to impart oxide layers of varying thickness. In early 2014, discussions with Indefinite Delivery, Indefinite Quantity (IDIQ) contracted technical consultants from Westinghouse described their previous development (and patents) [R2] on methods of chemical washing to remove some or all of the hydrous oxide layer imparted on UNF cladding during irradiation in light water reactors (LWRs) . Thus, the Oak Ridge National Laboratory (ORNL) study, described herein, was planned to extend the KAERI study on the effects of anhydrous oxide layers, but on larger ~100-gram samples of unirradiated zirconium alloy cladding tubes, and to investigate the effects of various methods of chemical pretreatment prior to chlorination with 100% chlorine on the average reaction rates and Cl2 usage efficiencies.

  14. Hysteresis behaviour of low-voltage organic field-effect transistors employing high dielectric constant polymer gate dielectrics

    International Nuclear Information System (INIS)

    Kim, Se Hyun; Yun, Won Min; Kwon, Oh-Kwan; Hong, Kipyo; Yang, Chanwoo; Park, Chan Eon; Choi, Woon-Seop

    2010-01-01

    Here, we report on the fabrication of low-voltage-operating pentacene-based organic field-effect transistors (OFETs) that utilize crosslinked cyanoethylated poly(vinyl alcohol) (CR-V) gate dielectrics. The crosslinked CR-V-based OFET could be operated successfully at low voltages (below 4 V), but abnormal behaviour during device operation, such as uncertainty in the field-effect mobility (μ) and hysteresis, was induced by the slow polarization of moieties embedded in the gate dielectric (e.g. polar functionalities, ionic impurities, water and solvent molecules). In an effort to improve the stability of OFET operation, we measured the dependence of μ and hysteresis on dielectric thickness, CR-V crosslinking conditions and sweep rate of the gate bias. The influence of the CR-V surface properties on μ, hysteresis, and the structural and morphological features of the pentacene layer grown on the gate dielectric was characterized and compared with the properties of pentacene grown on a polystyrene surface.

  15. Effect of gradient dielectric coefficient in a functionally graded material (FGM) substrate on the propagation behavior of love waves in an FGM-piezoelectric layered structure.

    Science.gov (United States)

    Cao, Xiaoshan; Shi, Junping; Jin, Feng

    2012-06-01

    The propagation behavior of Love waves in a layered structure that includes a functionally graded material (FGM) substrate carrying a piezoelectric thin film is investigated. Analytical solutions are obtained for both constant and gradient dielectric coefficients in the FGM substrate. Numerical results show that the gradient dielectric coefficient decreases phase velocity in any mode, and the electromechanical coupling factor significantly increases in the first- and secondorder modes. In some modes, the difference in Love waves' phase velocity between these two types of structure might be more than 1%, resulting in significant differences in frequency of the surface acoustic wave devices.

  16. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene–graphene composite layers for flexible thin film transistors with a polymer gate dielectric

    International Nuclear Information System (INIS)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-01-01

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene–graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene–graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm 2  V −1  s −1 and a threshold voltage of −0.7 V at V gs = −40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm 2  V −1  s −1 and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies. (paper)

  17. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    Science.gov (United States)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.; Lloyd, Matthew T.; Widjonarko, Nicodemus Edwin; Miedaner, Alexander; Curtis, Calvin J.; Ginley, David S.; Olson, Dana C.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  18. Influence of substrate preparation on the shaping of the topography of the surface of nanoceramic oxide layers

    Science.gov (United States)

    Bara, Marek; Kubica, Marek

    2014-02-01

    The paper discusses the shaping mechanism and changes occurring in the structure and topography of the surface of nanoceramic oxide layers during their formation. The paper presents the influence of substrate preparation on the surface topography of oxide layers. The layers were produced via hard anodizing on the EN AW-5251 aluminum alloy. The layers obtained were subjected to microscope examinations, image and chemical composition analyses, and stereometric examinations. Heredity of substrate properties in the topography of the surface of nanoceramic oxide layers formed as a result of electrochemical oxidation has been shown.

  19. Effect of oxide insertion layer on resistance switching properties of copper phthalocyanine

    Science.gov (United States)

    Joshi, Nikhil G.; Pandya, Nirav C.; Joshi, U. S.

    2013-02-01

    Organic memory device showing resistance switching properties is a next-generation of the electrical memory unit. We have investigated the bistable resistance switching in current-voltage (I-V) characteristics of organic diode based on copper phthalocyanine (CuPc) film sandwiched between aluminum (Al) electrodes. Pronounced hysteresis in the I-V curves revealed a resistance switching with on-off ratio of the order of 85%. In order to control the charge injection in the CuPc, nanoscale indium oxide buffer layer was inserted to form Al/CuPc/In2O3/Al device. Analysis of I-V measurements revealed space charge limited switching conduction at the Al/CuPc interface. The traps in the organic layer and charge blocking by oxide insertion layer have been used to explain the absence of resistance switching in the oxide buffer layered memory device cell. Present study offer potential applications for CuPc organic semiconductor in low power non volatile resistive switching memory and logic circuits.

  20. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  1. Synchrotron X-ray diffraction investigations on strains in the oxide layer of an irradiated Zircaloy fuel cladding

    Energy Technology Data Exchange (ETDEWEB)

    Chollet, Mélanie, E-mail: melanie.chollet@psi.ch [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland); Valance, Stéphane; Abolhassani, Sousan; Stein, Gene [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland); Grolimund, Daniel [Paul Scherrer Institute, SLS, 5232 Villigen (Switzerland); Martin, Matthias; Bertsch, Johannes [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland)

    2017-05-15

    For the first time the microstructure of the oxide layer of a Zircaloy-2 cladding after 9 cycles of irradiation in a boiling water reactor has been analyzed with synchrotron micro-X-ray diffraction. Crystallographic strains of the monoclinic and to some extent of the tetragonal ZrO{sub 2} are depicted through the thick oxide layer. Thin layers of sub-oxide at the oxide-metal interface as found for autoclave-tested samples and described in the literature, have not been observed in this material maybe resulting from irradiation damage. Shifts of selected diffraction peaks of the monoclinic oxide show that the uniform strain produced during oxidation is orientated in the lattice and displays variations along the oxide layer. Diffraction peaks and their shifts from families of diffracting planes could be translated into a virtual tensor. This virtual tensor exhibits changes through the oxide layer passing by tensile or compressive components. - Highlights: •A Zircaloy-2 cladding irradiated 9 cycles was investigated thanks to synchrotron X-ray diffraction. •Microstructure and uniform strain through the oxide layer is revealed. •The m-ZrO{sub 2} uniform strain is oriented presenting compression along the (−111) plane. •Virtual tensor is built based on reflecting planes of families of grains. •Tensor components vary from tensile to compressive along the oxide layer.

  2. Synchrotron X-ray diffraction investigations on strains in the oxide layer of an irradiated Zircaloy fuel cladding

    International Nuclear Information System (INIS)

    Chollet, Mélanie; Valance, Stéphane; Abolhassani, Sousan; Stein, Gene; Grolimund, Daniel; Martin, Matthias; Bertsch, Johannes

    2017-01-01

    For the first time the microstructure of the oxide layer of a Zircaloy-2 cladding after 9 cycles of irradiation in a boiling water reactor has been analyzed with synchrotron micro-X-ray diffraction. Crystallographic strains of the monoclinic and to some extent of the tetragonal ZrO 2 are depicted through the thick oxide layer. Thin layers of sub-oxide at the oxide-metal interface as found for autoclave-tested samples and described in the literature, have not been observed in this material maybe resulting from irradiation damage. Shifts of selected diffraction peaks of the monoclinic oxide show that the uniform strain produced during oxidation is orientated in the lattice and displays variations along the oxide layer. Diffraction peaks and their shifts from families of diffracting planes could be translated into a virtual tensor. This virtual tensor exhibits changes through the oxide layer passing by tensile or compressive components. - Highlights: •A Zircaloy-2 cladding irradiated 9 cycles was investigated thanks to synchrotron X-ray diffraction. •Microstructure and uniform strain through the oxide layer is revealed. •The m-ZrO 2 uniform strain is oriented presenting compression along the (−111) plane. •Virtual tensor is built based on reflecting planes of families of grains. •Tensor components vary from tensile to compressive along the oxide layer.

  3. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  4. Aging promotes todorokite formation from layered manganese oxide at near-surface conditions

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Haojie [Chinese Academy of Sciences, Xiamen (China). Key Lab. of Urban Environment and Health; Huazhong Agricultural Univ., Ministry of Agriculture, Wuhan (China). Key Lab. of Subtropical Agricultural Resources and Environment; Liu, Fan; Feng, Xionghan; Tan, Wenfeng [Huazhong Agricultural Univ., Ministry of Agriculture, Wuhan (China). Key Lab. of Subtropical Agricultural Resources and Environment; Wang, Ming Kuang [National Taiwan Univ., Taipei (China). Dept. of Agricultural Chemistry

    2010-12-15

    Todorokite is one common manganese oxide in soils and sediments and is commonly formed from layered Na-buserite. Aging processes can alter the physicochemical properties of freshly formed Na-buserite in natural environments. However, it is not clear whether and how aging affects the formation of todorokites. In the present paper, Na-buserite with aging treatment was employed to prepare todorokite at atmospheric pressure to investigate the effects of aging treatment of Na-buserite on the formation of todorokite. Four aged Na-buserite samples, which are produced through oxidation of Mn{sup 2+} in concentrated NaOH medium by O{sub 2} with aging for 3, 6, 9, and 12 months, were employed to investigate the effects of aging processes on the transformation from Na-buserite to todorokite by Mg{sup 2+}-templating reaction at atmospheric pressure. The manganese oxides were examined using X-ray diffraction (XRD), elemental analysis, determinations of the average manganese oxidation number, infrared spectroscopy (IR), and transmission electron microscopy (TEM). The XRD, IR, and elemental analyses indicate that aging treatment can alter the substructure of the freshly synthesized Na-buserite. During the aging process, some of the Mn(III) may migrate into the interlayer region or disproportionate to form Mn{sup 2+} and Mn{sup 4+} from the layer of Na-buserite and the concomitant formation of layer vacancies. The interlayer Mn{sup 3+} or Mn{sup 2+} occupied above or below the layer vacancy sites and become corner-sharing octahedral. XRD analyses and TEM clearly show that the transformation from Na-buserite to todorokite was promoted by aging treatments. The alterations of substructure of aged Na-buserites can promote the rearrangement of manganese to construct a tunnel structure during the transformation from layered manganese oxides to tunnel-structure todorokite at atmospheric pressure. The transformation from Na-buserite to todorokite was promoted by aging treatments at

  5. Dielectric function of two-phase colloid-polymer nanocomposite.

    Science.gov (United States)

    Mitzscherling, S; Cui, Q; Koopman, W; Bargheer, M

    2015-11-28

    The plasmon resonance of metal nanoparticles determines their optical response in the visible spectral range. Many details such as the electronic properties of gold near the particle surface and the local environment of the particles influence the spectra. We show how the cheap but highly precise fabrication of composite nanolayers by spin-assisted layer-by-layer deposition of polyelectrolytes can be used to investigate the spectral response of gold nanospheres (GNS) and gold nanorods (GNR) in a self-consistent way, using the established Maxwell-Garnett effective medium (MGEM) theory beyond the limit of homogeneous media. We show that the dielectric function of gold nanoparticles differs from the bulk value and experimentally characterize the shape and the surrounding of the particles thoroughly by SEM, AFM and ellipsometry. Averaging the dielectric functions of the layered surrounding by an appropriate weighting with the electric field intensity yields excellent agreement for the spectra of several nanoparticles and nanorods with various cover-layer thicknesses.

  6. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    Science.gov (United States)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  7. A novel and efficient oxidative functionalization of lignin by layer-by-layer immobilised Horseradish peroxidase.

    Science.gov (United States)

    Perazzini, Raffaella; Saladino, Raffaele; Guazzaroni, Melissa; Crestini, Claudia

    2011-01-01

    Horseradish peroxidase (HRP) was chemically immobilised onto alumina particles and coated by polyelectrolytes layers, using the layer-by-layer technique. The reactivity of the immobilised enzyme was studied in the oxidative functionalisation of softwood milled wood and residual kraft lignins and found higher than the free enzyme. In order to investigate the chemical modifications in the lignin structure, quantitative (31)P NMR was used. The immobilised HRP showed a higher reactivity with respect to the native enzyme yielding extensive depolymerisation of lignin. Copyright © 2010 Elsevier Ltd. All rights reserved.

  8. Dielectric strength of SiO2 in a CMOS transistor structure

    International Nuclear Information System (INIS)

    Soden, J.M.

    1979-01-01

    The distribution of experimental dielectric strengths of SiO 2 gate dielectric in a CMOS transistor structure is shown to be composed of a primary, statistically-normal distribution of high dielectric strength and a secondary distribution spread through the lower dielectric strength region. The dielectric strength was not significantly affected by high level (1 x 10 6 RADS (Si)) gamma radiation or high temperature (200 0 C) stress. The primary distribution breakdowns occurred at topographical edges, mainly at the gate/field oxide interface, and the secondary distribution breakdowns occurred at random locations in the central region of the gate

  9. Energetic basis of catalytic activity of layered nanophase calcium manganese oxides for water oxidation.

    Science.gov (United States)

    Birkner, Nancy; Nayeri, Sara; Pashaei, Babak; Najafpour, Mohammad Mahdi; Casey, William H; Navrotsky, Alexandra

    2013-05-28

    Previous measurements show that calcium manganese oxide nanoparticles are better water oxidation catalysts than binary manganese oxides (Mn3O4, Mn2O3, and MnO2). The probable reasons for such enhancement involve a combination of factors: The calcium manganese oxide materials have a layered structure with considerable thermodynamic stability and a high surface area, their low surface energy suggests relatively loose binding of H2O on the internal and external surfaces, and they possess mixed-valent manganese with internal oxidation enthalpy independent of the Mn(3+)/Mn(4+) ratio and much smaller in magnitude than the Mn2O3-MnO2 couple. These factors enhance catalytic ability by providing easy access for solutes and water to active sites and facile electron transfer between manganese in different oxidation states.

  10. Effect of combined external uniaxial stress and dc bias on the dielectric property of BaTiO3-based dielectrics in multilayer ceramic capacitor: thermodynamics and experiments

    International Nuclear Information System (INIS)

    Yang Gang; Yue Zhenxing; Sun Tieyu; Gou Huanlin; Li Longtu

    2008-01-01

    The dielectric properties of (Nb, Y)-doped BaTiO 3 in a multilayer ceramic capacitor (MLCC) under combined external uniaxial compressive stress and dc bias field were investigated at room temperature by using a modified Ginsburg-Landau-Devonshire thermodynamic theory and the dielectric measurement. It is found that although dc bias decreases the dielectric properties dominantly, the influence of the external uniaixial compressive stress should not be neglected. When applied along a direction perpendicular to the internal electrode layer in the MLCC, the external uniaixal compressive stress will strengthen the negative effect of dc bias. In contrast, the external uniaxial compressive stress along a direction parallel to the internal electrode layer in the MLCC will increase the dielectric permittivity under dc bias field, i.e. improve the ε-V response of the MLCC. Furthermore, although there is a difference between the calculated permittivity and the measured permittivity, the effects of the combined external uniaxial compressive stress and dc bias field on the dielectric permittivity described through two approaches are in good agreement

  11. Band gap determination of thin praseodymium oxide layers on aluminium oxynitride films

    Energy Technology Data Exchange (ETDEWEB)

    Bergholz, Matthias; Schmeisser, Dieter [Brandenburgische Technische Universitaet, Cottbus (Germany). Angewandte Physik - Sensorik

    2008-07-01

    High-k dielectrics are important as never before in semiconductor industry. We investigate Pr{sub 2}O{sub 3} as one representative of this group on silicon and silicon-aluminium oxynitride substrates. In earlier work we observed the positive influence of this AlO{sub x}N{sub y} intermediate layer on the electrical properties of the Pr{sub 2}O{sub 3} layer. Now we present in-situ EELS, XPS and UPS measurements of gradually grown thin Pr{sub 2}O{sub 3} on AlO{sub x}N{sub y}. From these measurements we determine the band structure and find a very fast change of the band gap for the first few A, coupled with n-type behaviour for the Pr{sub 2}O{sub 3} film. These results are compared with RIXS measurements of a 5 nm Pr{sub 2}O{sub 3} on a 1 nm thick AlO{sub x}N{sub y} layer.

  12. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  13. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  14. Effect of the number of iron oxide nanoparticle layers on the magnetic properties of nanocomposite LbL assemblies

    International Nuclear Information System (INIS)

    Dincer, Ilker; Tozkoparan, Onur; German, Sergey V.; Markin, Alexey V.; Yildirim, Oguz; Khomutov, Gennady B.; Gorin, Dmitry A.; Venig, Sergey B.; Elerman, Yalcin

    2012-01-01

    Aqueous colloidal suspension of iron oxide nanoparticles has been synthesized. Z-potential of iron oxide nanoparticles stabilized by citric acid was −35±3 mV. Iron oxide nanoparticles have been characterized by the light scattering method and transmission electron microscopy. The polyelectrolyte/iron oxide nanoparticle thin films with different numbers of iron oxide nanoparticle layers have been prepared on the surface of silicon substrates via the layer-by-layer assembly technique. The physical properties and chemical composition of nanocomposite thin films have been studied by atomic force microscopy, magnetic force microscopy, magnetization measurements, Raman spectroscopy. Using the analysis of experimental data it was established, that the magnetic properties of nanocomposite films depended on the number of iron oxide nanoparticle layers, the size of iron oxide nanoparticle aggregates, the distance between aggregates, and the chemical composition of iron oxide nanoparticles embedded into the nanocomposite films. The magnetic permeability of nanocomposite coatings has been calculated. The magnetic permeability values depend on the number of iron oxide nanoparticle layers in nanocomposite film. - Highlights: ► The magnetic properties of nanocomposite films depended on the number of iron oxide nanoparticle layers. ► The iron oxide nanoparticle phase in nanocomposite coatings is a mixture of magnetite and maghemite phases. ► The magnetite and maghemite phases depend on a number of iron oxide nanoparticle layers because the iron oxide nanoparticles are oxidized from magnetite to maghemite.

  15. Study on the influence of carbon monoxide to the surface oxide layer of uranium metal

    International Nuclear Information System (INIS)

    Wang Xiaolin; Duan Rongliang; Fu Yibei; Xie Renshou; Zuo Changming; Zhao Chunpei; Chen Hong

    1997-01-01

    The influence of carbon monoxide to the surface oxide layer of uranium metal has been studied by X-ray photoelectron spectroscopy (XPS) and gas chromatography (GC). Carbon monoxide adsorption on the oxide layer resulted in U4f peak shifting to the lower binding energy. The content of oxygen in the oxide is decreased and the atomic ratio (O/U) is decreased by 7.2%. The amount of carbon dioxide in the atmosphere after the surface reaction is increased by 11.0%. The investigation indicates that the surface layer can prevent the further oxidation uranium metal in the atmosphere of carbon monoxide

  16. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  17. STRUCTURAL CHARACTERISTICS & DIELECTRIC PROPERTIES OF TANTALUM OXIDE DOPED BARIUM TITANATE BASED MATERIALS

    Directory of Open Access Journals (Sweden)

    Md. Fakhrul Islam

    2013-01-01

    Full Text Available In this research, the causal relationship between the dielectric properties and the structural characteristics of 0.5 & 1.0 mole % Ta2O5 doped BaTiO3 based ceramic materials were investigated under different sintering conditions. Dielectric properties and microstructure of BaTio3 ceramics were significantly influenced by the addition of a small amount of Ta2O5. Dielectric properties were investigated by measuring the dielectric constant (k as a function of temperature and frequency. Percent theoretical density (%TD above 90 % was achieved for 0.5 and 1.0 mole %Ta2O5 doped BaTiO3. It was observed that the grain size decreased markedly above a doping concentration of 0.5 mole % Ta2O5. Although fine grain size down to 200 - 300 nm was attained, grain sizes in the range of 1-1.8µm showed the most alluring properties. The fine-grain quality and high density of the Ta2O5 doped BaTiO3 ceramic resulted in tenfold increase of dielectric constant. Stable value of dielectric constant as high as 13000 - 14000 was found in the temperature range of 55 to 80 °C, for 1.0 mole % Ta2O5 doped samples with corresponding shift of Curie point to ~82 °C. Experiments divulged that incorporation of a proper content of Ta2O5 in BaTiO3 could control the grain growth, shift the Curie temperature and hence significantly improve the dielectric property of the BaTiO3 ceramics.

  18. Investigation of Thin Layered Cobalt Oxide Nano-Islands on Gold

    Science.gov (United States)

    Bajdich, Michal; Walton, Alex S.; Fester, Jakob; Arman, Mohammad A.; Osiecki, Jacek; Knudsen, Jan; Vojvodic, Aleksandra; Lauritsen, Jeppe V.

    2015-03-01

    Layered cobalt oxides have been shown to be highly active catalysts for the oxygen evolution reaction (OER), but the synergistic effect of contact with gold is yet to be fully understood. The synthesis of three distinct types of thin-layered cobalt oxide nano-islands supported on a single crystal gold (111) substrate is confirmed by combination of STM and XAS methods. In this work, we present DFT+U theoretical investigation of above nano-islands using several previously known structural models. Our calculations confirm stability of two low-oxygen pressure phases: (a) rock-salt Co-O bilayer and (b) wurtzite Co-O quadlayer and single high-oxygen pressure phase: (c) O-Co-O trilayer. The optimized geometries agree with STM structures and calculated oxidation states confirm the conversion from Co2+ to Co3+ found experimentally in XAS. The O-Co-O trilayer islands have the structure of a single layer of CoOOH proposed to be the true active phase for OER catalyst. For that reason, the effect of water on the Pourbaix stabilities of basal planes and edge sites is fully investigated. Lastly, we also present the corresponding OER theoretical overpotentials.

  19. Physical and electrical properties of bilayer CeO{sub 2}/TiO{sub 2} gate dielectric stack

    Energy Technology Data Exchange (ETDEWEB)

    Chong, M.M.V. [School of Materials Science and Engineering, Nanyang Technological University of Singapore, Block N 4.1Nanyang Avenue, Singapore 639798 (Singapore); GlobalFoundries Singapore Private Limited, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore); Lee, P.S. [School of Materials Science and Engineering, Nanyang Technological University of Singapore, Block N 4.1Nanyang Avenue, Singapore 639798 (Singapore); Tok, A.I.Y., E-mail: MIYTOK@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University of Singapore, Block N 4.1Nanyang Avenue, Singapore 639798 (Singapore)

    2016-08-15

    Highlights: • A bilayer gate dielectric stack of CeO{sub 2}/TiO{sub 2} to study the dependency of film growth with varying annealing temperatures is proposed. • The study demonstrates CeO{sub 2}/TiO{sub 2} bilayer stack with comparable κ-value as that of HfO{sub 2} but with reduced leakage current density of 4 orders of magnitude. • Schottky emission is the dominant leakage conduction mechanism of annealed CeO{sub 2}/TiO{sub 2} stack due to thermionic effect of interface properties. - Abstract: This study demonstrates a bilayer gate oxide structure of cerium oxide deposited via pulsed laser deposition and titanium oxide using conventional atomic layer deposition. Samples were deposited on p-type Si (100) substrate and exhibit interesting physical and electrical properties such that 600 °C annealed CeO{sub 2}/TiO{sub 2} samples having κ-value of 18 whereas pure CeO{sub 2} deposited samples have dielectric constant of 17.1 with leakage current density of 8.94 × 10{sup −6} A/cm{sup 2} at 1 V applied voltage. The result shows promising usage of the synthesized rare earth oxides as gate dielectric where ideal κ-value and significant reduction of the leakage current by 5 orders of magnitude is achieved. Leakage current conduction mechanism for as-deposited sample is found to be dominated by Poole–Frenkel (PF) emission; the trap level is found to be at 1.29 eV whereas annealed samples (600 °C and 800 °C) exhibited Schottky emission with trap levels at 1.45 eV and 0.81 eV, respectively.

  20. Extraordinary refraction and self-collimation properties of multilayer metallic-dielectric stratified structures

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liwei, E-mail: zlwhpu@hotmail.com [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Chen, Liang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Zhang, Zhengren [School of Science, Chongqing Jiaotong University, Chongqing 400074 (China); Wang, Wusong [Guizhou Aerospace Institute of Measuring and Testing Technology, Guiyang 550009 (China); Zhao, Yuhuan; Song, Kechao; Kang, Chaoyang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China)

    2015-01-15

    The extraordinary refraction with negative or zero refraction angle of the layered metamaterial consisting of alternating dielectric and plasmonic layers is theoretically studied. It is shown that the electromagnetic properties can be tuned by the filling factor, the permittivity of the dielectric layer and the plasma frequency of the metallic layer. At different frequency, the layered structures possess different refraction properties with positive, zero or negative refraction angle. By choosing appropriate parameters, positive-to-zero-to-negative-to positive refraction at the desired frequency can be realized. At the frequency with flat equal frequency contour, self-collimation and slow light properties are also found. Such properties can be used in the performance of negative refraction, subwavelength imaging and information propagation.

  1. Anomalous positive flatband voltage shifts in metal gate stacks containing rare-earth oxide capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-03-09

    It is shown that the well-known negative flatband voltage (VFB) shift, induced by rare-earth oxide capping in metal gate stacks, can be completely reversed in the absence of the silicon overlayer. Using TaN metal gates and Gd2O3-doped dielectric, we measure a ∼350 mV negative shift with the Si overlayer present and a ∼110 mV positive shift with the Si overlayer removed. This effect is correlated to a positive change in the average electrostatic potential at the TaN/dielectric interface which originates from an interfacial dipole. The dipole is created by the replacement of interfacial oxygen atoms in the HfO2 lattice with nitrogen atoms from TaN.

  2. Middle Electrode in a Vertical Transistor Structure Using an Sn Layer by Thermal Evaporation

    Science.gov (United States)

    Nogueira, Gabriel Leonardo; da Silva Ozório, Maiza; da Silva, Marcelo Marques; Morais, Rogério Miranda; Alves, Neri

    2018-03-01

    We report a process for performing the middle electrode for a vertical field effect transistor (VOFET) by the evaporation of a tin (Sn) layer. Bare aluminum oxide (Al2O3), obtained by anodization, and Al2O3 covered with a polymethylmethacrylate (PMMA) layer were used as the gate dielectric. We measured the electrical resistance of Sn while the evaporation was carried out to find the best condition to prepare the middle electrode, that is, good lateral conduction associated with openings that give permeability to the electric field in a vertical direction. This process showed that 55 nm Sn thick is suitable for use in a VOFET, being easier to achieve optimal thickness when the Sn is evaporated onto PMMA than onto bare Al2O3. The addition of a PMMA layer on the Al2O3 surface modifies the morphology of the Sn layer, resulting in a lowering of the threshold voltage. The values of threshold voltage and electric field, VTH = - 8 V and ETH = 354.5 MV/m respectively, were calculated using an Al2O3 film 20 nm thick covered with a 14 nm PMMA layer as gate dielectric, while for bare Al2O3 these values were VTH = - 10 V and ETH = 500 MV/m.

  3. Middle Electrode in a Vertical Transistor Structure Using an Sn Layer by Thermal Evaporation

    Science.gov (United States)

    Nogueira, Gabriel Leonardo; da Silva Ozório, Maiza; da Silva, Marcelo Marques; Morais, Rogério Miranda; Alves, Neri

    2018-05-01

    We report a process for performing the middle electrode for a vertical field effect transistor (VOFET) by the evaporation of a tin (Sn) layer. Bare aluminum oxide (Al2O3), obtained by anodization, and Al2O3 covered with a polymethylmethacrylate (PMMA) layer were used as the gate dielectric. We measured the electrical resistance of Sn while the evaporation was carried out to find the best condition to prepare the middle electrode, that is, good lateral conduction associated with openings that give permeability to the electric field in a vertical direction. This process showed that 55 nm Sn thick is suitable for use in a VOFET, being easier to achieve optimal thickness when the Sn is evaporated onto PMMA than onto bare Al2O3. The addition of a PMMA layer on the Al2O3 surface modifies the morphology of the Sn layer, resulting in a lowering of the threshold voltage. The values of threshold voltage and electric field, VTH = - 8 V and ETH = 354.5 MV/m respectively, were calculated using an Al2O3 film 20 nm thick covered with a 14 nm PMMA layer as gate dielectric, while for bare Al2O3 these values were VTH = - 10 V and ETH = 500 MV/m.

  4. Solid oxide fuel cell cathode with oxygen-reducing layer

    Science.gov (United States)

    Surdoval, Wayne A.; Berry, David A.; Shultz, Travis

    2018-04-03

    The disclosure provides a SOFC comprised of an electrolyte, anode, and cathode, where the cathode comprises an MIEC and an oxygen-reducing layer. The oxygen-reducing layer is in contact with the MIEC, and the MIEC is generally between and separating the oxygen-reducing layer and the electrolyte. The oxygen-reducing layer is comprised of single element oxides, single element carbonates, or mixtures thereof, and has a thickness of less than about 30 nm. In a particular embodiment, the thickness is less than 5 nm. In another embodiment, the thickness is about 3 monolayers or less. The oxygen-reducing layer may be a continuous film or a discontinuous film with various coverage ratios. The oxygen-reducing layer at the thicknesses described may be generated on the MIEC surface using means known in the art such as, for example, ALD processes.

  5. Titanium modified with layer-by-layer sol-gel tantalum oxide and an organodiphosphonic acid: a coating for hydroxyapatite growth.

    Science.gov (United States)

    Arnould, C; Volcke, C; Lamarque, C; Thiry, P A; Delhalle, J; Mekhalif, Z

    2009-08-15

    Titanium and its alloys are widely used in surgical implants due to their appropriate properties like corrosion resistance, biocompatibility, and load bearing. Unfortunately when metals are used for orthopedic and dental implants there is the possibility of loosening over a long period of time. Surface modification is a good way to counter this problem. A thin tantalum oxide layer obtained by layer-by-layer (LBL) sol-gel deposition on top of a titanium surface is expected to improve biocorrosion resistance in the body fluid, biocompatibility, and radio-opacity. This elaboration step is followed by a modification of the tantalum oxide surface with an organodiphosphonic acid self-assembled monolayer, capable of chemically binding to the oxide surface, and also improving hydroxyapatite growth. The different steps of this proposed process are characterized by surfaces techniques like contact angle, X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM).

  6. Plasmonically sensitized metal-oxide electron extraction layers for organic solar cells.

    Science.gov (United States)

    Trost, S; Becker, T; Zilberberg, K; Behrendt, A; Polywka, A; Heiderhoff, R; Görrn, P; Riedl, T

    2015-01-16

    ZnO and TiOx are commonly used as electron extraction layers (EELs) in organic solar cells (OSCs). A general phenomenon of OSCs incorporating these metal-oxides is the requirement to illuminate the devices with UV light in order to improve device characteristics. This may cause severe problems if UV to VIS down-conversion is applied or if the UV spectral range (λ work, silver nanoparticles (AgNP) are used to plasmonically sensitize metal-oxide based EELs in the vicinity (1-20 nm) of the metal-oxide/organic interface. We evidence that plasmonically sensitized metal-oxide layers facilitate electron extraction and afford well-behaved highly efficient OSCs, even without the typical requirement of UV exposure. It is shown that in the plasmonically sensitized metal-oxides the illumination with visible light lowers the WF due to desorption of previously ionosorbed oxygen, in analogy to the process found in neat metal oxides upon UV exposure, only. As underlying mechanism the transfer of hot holes from the metal to the oxide upon illumination with hν < Eg is verified. The general applicability of this concept to most common metal-oxides (e.g. TiOx and ZnO) in combination with different photoactive organic materials is demonstrated.

  7. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    Science.gov (United States)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  8. Surface waves on metal-dielectric metamaterials

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    2016-01-01

    In this paper we analyze surface electromagnetic waves supported at an interface between an isotropic medium and an effective anisotropic material that can be realized by alternating conductive and dielectric layers with deep subwavelength thicknesses. This configuration can host various types...

  9. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Quesnel, David J. [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627 (United States)

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical properties of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of the

  10. Electrical transport through single-wall carbon nanotube-anodic aluminum oxide-aluminum heterostructures

    International Nuclear Information System (INIS)

    Kukkola, Jarmo; Rautio, Aatto; Sala, Giovanni; Pino, Flavio; Toth, Geza; Leino, Anne-Riikka; Maeklin, Jani; Jantunen, Heli; Uusimaeki, Antti; Kordas, Krisztian; Gracia, Eduardo; Terrones, Mauricio; Shchukarev, Andrey; Mikkola, Jyri-Pekka

    2010-01-01

    Aluminum foils were anodized in sulfuric acid solution to form thick porous anodic aluminum oxide (AAO) films of thickness ∼6 μm. Electrodes of carboxyl-functionalized single-wall carbon nanotube (SWCNT) thin films were inkjet printed on the anodic oxide layer and the electrical characteristics of the as-obtained SWCNT-AAO-Al structures were studied. Nonlinear current-voltage transport and strong temperature dependence of conduction through the structure was measured. The microstructure and chemical composition of the anodic oxide layer was analyzed using transmission and scanning electron microscopy as well as x-ray photoelectron spectroscopy. Schottky emission at the SWCNT-AAO and AAO-Al interfaces allowed by impurity states in the anodic aluminum oxide film together with ionic surface conduction on the pore walls of AAO gives a reasonable explanation for the measured electrical conduction. Calcined AAO is proposed as a dielectric material for SWCNT-field effect transistors.

  11. Layered assembly of graphene oxide and Co-Al layered double hydroxide nanosheets as electrode materials for supercapacitors.

    Science.gov (United States)

    Wang, Lei; Wang, Dong; Dong, Xin Yi; Zhang, Zhi Jun; Pei, Xian Feng; Chen, Xin Jiang; Chen, Biao; Jin, Jian

    2011-03-28

    An innovative strategy of fabricating electrode material by layered assembling two kinds of one-atom-thick sheets, carboxylated graphene oxide (GO) and Co-Al layered double hydroxide nanosheet (Co-Al LDH-NS) for the application as a pseudocapacitor is reported. The Co-Al LDH-NS/GO composite exhibits good energy storage properties.

  12. Physical and Chemical Properties of TiOxNy Prepared by Low-Temperature Oxidation of Ultrathin Metal Nitride Directly Deposited on SiO2

    Institute of Scientific and Technical Information of China (English)

    HAN Yue-Ping; HAN Yan

    2009-01-01

    Physical and chemical properties of titanium oxynitride (TiOxNy) formed by low-temperature oxidation of titanium nitride (TIN) layer are investigated for advanced metal-oxide--semiconductor (MOS) gate dielectric application.TiOx Ny exhibits polycrystalline properties after the standard thermal process for MOS device fabrication,showing the preferred orientation at [200].Superior electrical properties of TiOxNy can be maintained before and after the annealing,probably due to the nitrogen incorporation in the oxide bulk and at the interface.Naturally formed transition layer between TiOxNy and SiO2 is also confirmed.

  13. Oxide layer stability in lead-bismuth at high temperature

    Science.gov (United States)

    Martín, F. J.; Soler, L.; Hernández, F.; Gómez-Briceño, D.

    2004-11-01

    Materials protection by 'in situ' oxidation has been studied in stagnant lead-bismuth, with different oxygen levels (H 2/H 2O ratios of 0.3 and 0.03), at temperatures from 535 °C to 600 °C and times from 100 to 3000 h. The materials tested were the martensitic steels F82Hmod, EM10 and T91 and the austenitic stainless steels, AISI 316L and AISI 304L. The results obtained point to the existence of an apparent threshold temperature above which corrosion occurs and the formation of a protective and stable oxide layer is not possible. This threshold temperature depends on material composition, oxygen concentration in the liquid lead-bismuth and time. The threshold temperature is higher for the austenitic steels, especially for the AISI 304L, and it increases with the oxygen concentration in the lead-bismuth. The oxide layer formed disappear with time and, after 3000 h all the materials, except AISI 304L, suffer corrosion, more severe for the martensitic steels and at the highest temperature tested.

  14. Glow discharge mass spectrometry study of chemical impurities diffusion in zirconium oxide layers

    International Nuclear Information System (INIS)

    Actis-Dato, L.O.

    2000-02-01

    In the Pressurised Water Reactors (PWR) the primary cooling system is water at 350 deg C and 16 Mpa. In these extreme conditions the corrosion of the out-of-pile components of the reactor and in particular of the Zircaloy cladding containing the nuclear fuel pellets is accelerated. The formation of a growing oxide layer is observed on the elements of the nuclear reactor core. When the thickness of the oxide layer reaches a critical value, problems like structural malfunction and material failure can occur. At this stage the danger of the release of radioactivity in the coolant becomes effective. In this work a glow discharge mass spectrometer is used to study the diffusion of impurities like lithium, zinc and boron in oxide layers growing on Zircaloy samples. After a brief description of the different technique used the preparation and analysis of Zircaloy samples on which oxide layers of different thickness have been produced will be described. The analysis of these samples will allow the determination and evaluation the depth profiles of the impurities absorbed by the oxide. The analysis of the shape of the crater produced during the mass spectrometric analysis will give additional informations on the quality of the results obtained. The technique developed will finally be applied to the study of samples produced during reactor operation under real irradiation conditions. The results obtained show a lithium intake in the oxide layer and confirm the beneficial effect on the corrosion produced by boron. The influence of zinc on the corrosion behaviour of Zircaloy has not been established. The technique developed has also shown interesting capabilities concerning the analysis of irradiated samples. (author)

  15. Finite element analysis and validation of dielectric elastomer actuators used for active origami

    International Nuclear Information System (INIS)

    McGough, Kevin; Ahmed, Saad; Frecker, Mary; Ounaies, Zoubeida

    2014-01-01

    The field of active origami explores the incorporation of active materials into origami-inspired structures in order to serve as a means of actuation. Active origami-inspired structures capable of folding into complex three-dimensional (3D) shapes have the potential to be lightweight and versatile compared to traditional methods of actuation. This paper details the finite element analysis and experimental validation of unimorph actuators. Actuators are fabricated by adhering layers of electroded dielectric elastomer (3M VHB F9473PC) onto a passive substrate layer (3M Magic Scotch Tape). Finite element analysis of the actuators simulates the electromechanical coupling of the dielectric elastomer under an applied voltage by applying pressures to the surfaces of the dielectric elastomer where the compliant electrode (conductive carbon grease) is present. 3D finite element analysis of the bending actuators shows that applying contact boundary conditions to the electroded region of the active and passive layers provides better agreement to experimental data compared to modeling the entire actuator as continuous. To improve the applicability of dielectric elastomer-based actuators for active origami-inspired structures, folding actuators are developed by taking advantage of localized deformation caused by a passive layer with non-uniform thickness. Two-dimensional analysis of the folding actuators shows that agreement to experimental data diminishes as localized deformation increases. Limitations of using pressures to approximate the electromechanical coupling of the dielectric elastomer under an applied electric field and additional modeling considerations are also discussed. (paper)

  16. Structural Characteristics & Dielectric Properties of Tantalum Oxide Doped Barium Titanate Based Materials

    Directory of Open Access Journals (Sweden)

    Rubayyat Mahbub

    2012-11-01

    Full Text Available In this research, the causal relationship between the dielectric properties and the structural characteristics of 0.5 & 1.0 mol% Ta2O5 doped BaTiO3 based ceramic materials were investigated under different sintering conditions. Dielectric properties and microstructure of BaTio3 ceramics were significantly influenced by the addition of a small amount of Ta2O5. Dielectric properties were investigated by measuring the dielectric constant (k as a function of temperature and frequency. Percent theoretical density (%TD above 90% was achieved for 0.5 and 1.0 mol% Ta2O5 doped BaTiO3. It was observed that the grain size decreased markedly above a doping concentration of 0·5 mol% Ta2O5. Although fine grain size down to 200-300nm was attained, grain sizes in the range of 1-1.8µm showed the most alluring properties. The fine-grain quality and high density of the Ta2O5 doped BaTiO3 ceramic resulted in tenfold increase of dielectric constant. Stable value of dielectric constant as high as 13000-14000 was found in the temperature range of  55 to 80°C, for 1.0 mol% Ta2O5 doped samples with corresponding shift of Curie point to ~82°C. Experiments divulged that incorporation of a proper content of Ta2O5 in BaTiO3 could control the grain growth, shift the Curie temperature and hence significantly improve the dielectric property of the BaTiO3 ceramics.

  17. Bulk-heterojunction organic solar cells sandwiched by solution processed molybdenum oxide and titania nanosheet layers

    Science.gov (United States)

    Itoh, Eiji; Goto, Yoshinori; Fukuda, Katsutoshi

    2014-02-01

    The contributions of ultrathin titania nanosheet (TN) crystallites were studied in both an inverted bulk-heterojunction (BHJ) cell in an indium-tin oxide (ITO)/titania nanosheet (TN)/poly(3-hexylthiophene) (P3HT):phenyl-C61-butyric acid methylester (PCBM) active layer/MoOx/Ag multilayered photovoltaic device and a conventional BHJ cell in ITO/MoOx/P3HT:PCBM active layer/TN/Al multilayered photovoltaic device. The insertion of only one or two layers of poly(diallyldimethylammonium chloride) (PDDA) and TN multilayered film prepared by the layer-by-layer deposition technique effectively decreased the leakage current and increased the open circuit voltage (VOC), fill factor (FF), and power conversion efficiency (η). The conventional cell sandwiched between a solution-processed, partially crystallized molybdenum oxide hole-extracting buffer layer and a TN electron extracting buffer layer showed comparable cell performance to a device sandwiched between vacuum-deposited molybdenum oxide and TN layers, whereas the inverted cell with solution-processed molybdenum oxide showed a poorer performance probably owing to the increment in the leakage current across the film. The abnormal S-shaped curves observed in the inverted BHJ cell above VOC disappeared with the use of a polyfluorene-based cationic semiconducting polymer as a substitute for an insulating PDDA film, resulting in the improved cell performance.

  18. Usage of neural network to predict aluminium oxide layer thickness.

    Science.gov (United States)

    Michal, Peter; Vagaská, Alena; Gombár, Miroslav; Kmec, Ján; Spišák, Emil; Kučerka, Daniel

    2015-01-01

    This paper shows an influence of chemical composition of used electrolyte, such as amount of sulphuric acid in electrolyte, amount of aluminium cations in electrolyte and amount of oxalic acid in electrolyte, and operating parameters of process of anodic oxidation of aluminium such as the temperature of electrolyte, anodizing time, and voltage applied during anodizing process. The paper shows the influence of those parameters on the resulting thickness of aluminium oxide layer. The impact of these variables is shown by using central composite design of experiment for six factors (amount of sulphuric acid, amount of oxalic acid, amount of aluminium cations, electrolyte temperature, anodizing time, and applied voltage) and by usage of the cubic neural unit with Levenberg-Marquardt algorithm during the results evaluation. The paper also deals with current densities of 1 A · dm(-2) and 3 A · dm(-2) for creating aluminium oxide layer.

  19. Usage of Neural Network to Predict Aluminium Oxide Layer Thickness

    Directory of Open Access Journals (Sweden)

    Peter Michal

    2015-01-01

    Full Text Available This paper shows an influence of chemical composition of used electrolyte, such as amount of sulphuric acid in electrolyte, amount of aluminium cations in electrolyte and amount of oxalic acid in electrolyte, and operating parameters of process of anodic oxidation of aluminium such as the temperature of electrolyte, anodizing time, and voltage applied during anodizing process. The paper shows the influence of those parameters on the resulting thickness of aluminium oxide layer. The impact of these variables is shown by using central composite design of experiment for six factors (amount of sulphuric acid, amount of oxalic acid, amount of aluminium cations, electrolyte temperature, anodizing time, and applied voltage and by usage of the cubic neural unit with Levenberg-Marquardt algorithm during the results evaluation. The paper also deals with current densities of 1 A·dm−2 and 3 A·dm−2 for creating aluminium oxide layer.

  20. Electrostatic layer-by-layer a of platinum-loaded multiwall carbon nanotube multilayer: A tunable catalyst film for anodic methanol oxidation

    International Nuclear Information System (INIS)

    Yuan Junhua; Wang Zhijuan; Zhang Yuanjian; Shen Yanfei; Han Dongxue; Zhang Qixian; Xu Xiaoyu; Niu Li

    2008-01-01

    A simple layer-by-layer (LBL) electrostatic adsorption technique was developed for deposition of films composed of alternating layers of positively charged poly(diallyldimethylammonium chloride) (PDDA) and negatively charged multiwall carbon nanotubes bearing platinum nanoparticles (Pt-CNTs). PDDA/Pt-CNT film structure and morphology up to six layers were characterized by scanning electron microscopy and ultraviolet-visible spectroscopy, showing the Pt-CNT layers to be porous and uniformly deposited within the multilayer films. Electrochemical properties of the PDDA/Pt-CNT films, as well as electrocatalytic activity toward methanol oxidation, were investigated with cyclic voltammetry. Significant activity toward anodic methanol oxidation was observed and is readily tunable through changing film thickness and/or platinum-nanoparticle loading. Overall, the observed properties of these PDDA/Pt-CNT multilayer films indicated unique potential for application in direct methanol fuel cell

  1. Investigation of Vacuum Insulator Surface Dielectric Strength with Nanosecond Pulses

    International Nuclear Information System (INIS)

    Nunnally, W.C.; Krogh, M.; Williams, C.; Trimble, D.; Sampayan, S.; Caporaso, G.

    2003-01-01

    The maximum vacuum insulator surface dielectric strength determines the acceleration electric field gradient possible in a short pulse accelerator. Previous work has indicated that higher electric field strengths along the insulator-vacuum interface might be obtained as the pulse duration is decreased. In this work, a 250 kV, single ns wide impulse source was applied to small diameter, segmented insulators samples in a vacuum to evaluate the multi-layer surface dielectric strength of the sample construction. Resonances in the low inductance test geometry were used to obtain unipolar, pulsed electric fields in excess of 100 MV/m on the insulator surface. The sample construction, experimental arrangement and experimental results are presented for the initial data in this work. Modeling of the multi-layer structure is discussed and methods of improving insulator surface dielectric strength in a vacuum are proposed

  2. Highly Sensitive and Selective Sensor Chips with Graphene-Oxide Linking Layer

    DEFF Research Database (Denmark)

    Stebunov, Yury V.; Aftenieva, Olga A.; Arsenin, Aleksey V.

    2015-01-01

    sensor chip for SPR biosensors based on graphene-oxide linking layers. The biosensing assay model was based on a graphene oxide film containing streptavidin. The proposed sensor chip has three times higher sensitivity than the carboxymethylated dextran surface of a commercial sensor chip. Moreover...

  3. Physical and electrical characteristics of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with rare earth Er2O3 as a gate dielectric

    International Nuclear Information System (INIS)

    Lin, Ray-Ming; Chu, Fu-Chuan; Das, Atanu; Liao, Sheng-Yu; Chou, Shu-Tsun; Chang, Liann-Be

    2013-01-01

    In this study, the rare earth erbium oxide (Er 2 O 3 ) was deposited using an electron beam onto an AlGaN/GaN heterostructure to fabricate metal-oxide-semiconductor high-electron-mobility transistors (MOS–HEMTs) that exhibited device performance superior to that of a conventional HEMT. Under similar bias conditions, the gate leakage currents of these MOS–HEMT devices were four orders of magnitude lower than those of conventional Schottky gate HEMTs. The measured sub-threshold swing (SS) and the effective trap state density (N t ) of the MOS–HEMT were 125 mV/decade and 4.3 × 10 12 cm −2 , respectively. The dielectric constant of the Er 2 O 3 layer in this study was 14, as determined through capacitance–voltage measurements. In addition, the gate–source reverse breakdown voltage increased from –166 V for the conventional HEMT to –196 V for the Er 2 O 3 MOS–HEMT. - Highlights: ► GaN/AlGaN/Er 2 O 3 metal-oxide semiconductor high electron mobility transistor ► Physical and electrical characteristics are presented. ► Electron beam evaporated Er 2 O 3 with excellent surface roughness ► Device exhibits reduced gate leakage current and improved I ON /I OFF ratio

  4. Improvement of corrosion resistance of transparent conductive multilayer coating consisting of silver layers and transparent metal oxide layers

    International Nuclear Information System (INIS)

    Koike, Katsuhiko; Yamazaki, Fumiharu; Okamura, Tomoyuki; Fukuda, Shin

    2007-01-01

    An optical filter for plasma display panel (PDP) requires an electromagnetic shield with very high ability. The authors investigated a transparent conductive multilayer coating consisting of silver (Ag) layers and transparent metal oxide layers. The durability of the multilayer sputter coating, including the silver layer, is very sensitive to the surrounding atmosphere. For example, after an exposure test they found discolored points on the multilayer sputter coatings, possibly caused by migration of silver atoms in the silver layers. In their investigation, they modified the top surface of the multilayer sputter coatings with transition metals to improve the corrosion resistance of the multilayer coating. Specifically, they deposited transition metals 0.5-2 nm thick on the top surface of the multilayer coatings by sputtering. They chose indium tin oxide (ITO) as the transparent metal oxide. They applied the multilayer sputter coatings of seven layers to a polyethylene terephthalate (PET) film substrate. A cross-sectional structure of the film with the multilayer coatings is PET film/ITO/Ag/ITO/Ag/ITO/Ag/ITO. They evaluated the corrosion resistance of the films by a salt-water immersion test. In the test, they immersed the film with multilayer coatings into salt water, and then evaluated the appearance, transmittance, and electrical resistance of the multilayer coatings. They investigated several transition metals as the modifying material, and found that titanium and tantalum drastically improved the resistance of the multilayer coatings to the salt-water exposure without a significant decline in transmittance. They also investigated the relation between elapsed time after deposition of the modifying materials and resistance to the salt water. Furthermore, they investigated the effects of a heat treatment and an oxide plasma treatment on resistance to the salt water

  5. An unusual temperature dependence in the oxidation of oxycarbide layers on uranium

    Science.gov (United States)

    Ellis, Walton P.

    1981-09-01

    An anomalous temperature dependence has been observed for the oxidation kinetics of outermost oxycarbide layers on polycrystalline uranium metal. Normally, oxidation or corrosion reactions are expected to proceed more rapidly as the temperature is elevated. Thus, it came as a surprise when we observed that the removal of the outermost atomic layers of carbon from uranium oxycarbide by O 2 reproducibly proceeds at a much faster rate at 25°C than at 280°C.

  6. Optimized-geometry ARROW waveguides using TiO{sub 2} as anti-resonant layer

    Energy Technology Data Exchange (ETDEWEB)

    Carvalho, Daniel O.; Albertin, Katia F.; Alayo, Marco I. [PSI, University of Sao Paulo (Brazil)

    2010-04-15

    The simulation, fabrication and characterization of ARROW waveguides using dielectric films deposited by Plasma Enhanced Chemical Vapor Deposition (PECVD) and Sputtering techniques, are presented in this work. Amorphous titanium oxide (TiO{sub 2}) films were used as first cladding layer and silicon oxynitride (SiO{sub x}N{sub y}) films, as core layer. Furthermore, homemade routines based in two computational methods were used, for numerical simulations: Transfer Matrix Method (TMM) for the determination of the optimum thickness values of the Fabry-Perot layers, and the Finite Difference Method (FDM) for 2D design and determination of the maximum width that allows single-mode operation. The utilization of thermally grown silicon oxide as second anti-resonant layer, along with improvements in the Reactive Ion Etching conditions for the definition of sidewalls of the optical waveguides were responsible for diminishing optical attenuations. Optimization of the waveguide rib height was done both through FDM simulations and experimentally. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Multi-layer thin-film electrolytes for metal supported solid oxide fuel cells

    Science.gov (United States)

    Haydn, Markus; Ortner, Kai; Franco, Thomas; Uhlenbruck, Sven; Menzler, Norbert H.; Stöver, Detlev; Bräuer, Günter; Venskutonis, Andreas; Sigl, Lorenz S.; Buchkremer, Hans-Peter; Vaßen, Robert

    2014-06-01

    A key to the development of metal-supported solid oxide fuel cells (MSCs) is the manufacturing of gas-tight thin-film electrolytes, which separate the cathode from the anode. This paper focuses the electrolyte manufacturing on the basis of 8YSZ (8 mol.-% Y2O3 stabilized ZrO2). The electrolyte layers are applied by a physical vapor deposition (PVD) gas flow sputtering (GFS) process. The gas-tightness of the electrolyte is significantly improved when sequential oxidic and metallic thin-film multi-layers are deposited, which interrupt the columnar grain structure of single-layer electrolytes. Such electrolytes with two or eight oxide/metal layers and a total thickness of about 4 μm obtain leakage rates of less than 3 × 10-4 hPa dm3 s-1 cm-2 (Δp: 100 hPa) at room temperature and therefore fulfill the gas tightness requirements. They are also highly tolerant with respect to surface flaws and particulate impurities which can be present on the graded anode underground. MSC cell tests with double-layer and multilayer electrolytes feature high power densities more than 1.4 W cm-2 at 850 °C and underline the high potential of MSC cells.

  8. Controlling the interface charge density in GaN-based metal-oxide-semiconductor heterostructures by plasma oxidation of metal layers

    International Nuclear Information System (INIS)

    Hahn, Herwig; Kalisch, Holger; Vescan, Andrei; Pécz, Béla; Kovács, András; Heuken, Michael

    2015-01-01

    In recent years, investigating and engineering the oxide-semiconductor interface in GaN-based devices has come into focus. This has been driven by a large effort to increase the gate robustness and to obtain enhancement mode transistors. Since it has been shown that deep interface states act as fixed interface charge in the typical transistor operating regime, it appears desirable to intentionally incorporate negative interface charge, and thus, to allow for a positive shift in threshold voltage of transistors to realise enhancement mode behaviour. A rather new approach to obtain such negative charge is the plasma-oxidation of thin metal layers. In this study, we present transmission electron microscopy and energy dispersive X-ray spectroscopy analysis as well as electrical data for Al-, Ti-, and Zr-based thin oxide films on a GaN-based heterostructure. It is shown that the plasma-oxidised layers have a polycrystalline morphology. An interfacial amorphous oxide layer is only detectable in the case of Zr. In addition, all films exhibit net negative charge with varying densities. The Zr layer is providing a negative interface charge density of more than 1 × 10 13  cm –2 allowing to considerably shift the threshold voltage to more positive values

  9. ZIF-67-derived hollow nanocages with layered double oxides shell as high-Efficiency catalysts for CO oxidation

    Science.gov (United States)

    Kong, Wenpeng; Li, Jing; Chen, Yao; Ren, Yuqing; Guo, Yonghua; Niu, Shengli; Yang, Yanzhao

    2018-04-01

    Constructing non-precious hybrid metal oxides with specific morphology as cost-effective and highly efficient catalysts is a promising way for the automotive exhaust purification. In this work, we report a facile strategy for the fabrication of a unique hollow Co-Ni layered double oxides (HLDO) nanocages by using zeolitic imidazole frameworks (ZIFs) as template. The synthesis of intermediate core-shell and hollow Co-Ni layered double hydroxides (HLDH) nanoflakes as well as the corresponding Co-Ni oxides products were successfully controlled, and the formation process was also explained. Among ZIF-67-derived oxides, HLDO exhibits excellent catalytic activities (complete conversion of CO into CO2 at 118 °C) and long-term stability for CO oxidation. The remarkable catalytic activities of HLDO can be attributed to high surface area (258 m2 g-1) inherited from the HLDH, which could provide more active sites for CO oxidation. In addition, active oxygen species indicated by the O 1 s XPS spectrum and improved synergistic effect between NiO and Co3O4 reflected by H2-TPR, further explain the enhanced performance of the HLDO catalysts. The presented strategy for controlled design and synthesis of hollow multicomponent metal oxides will provide prospects in developing highly effective catalysts.

  10. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  11. Plasmon enhanced near-field radiative heat transfer for graphene covered dielectrics

    NARCIS (Netherlands)

    Svetovoy, Vitaly; van Zwol, P.J.; Chevrier, J.

    2012-01-01

    It is shown that a graphene layer on top of a dielectric slab can dramatically influence the ability of this dielectric for radiative heat exchange turning a poor heat emitter/absorber into a good one and vice versa. The effect of graphene is related to thermally excited plasmons. The frequency of

  12. Influence of annealing conditions on anodic tungsten oxide layers and their photoelectrochemical activity

    International Nuclear Information System (INIS)

    Syrek, Karolina; Zych, Marta; Zaraska, Leszek; Sulka, Grzegorz D.

    2017-01-01

    Highlights: • Effect of annealing temperature on the morphology and crystalline structure of anodic WO 3 was investigated. • Photoelectrochemical properties of WO 3 layers annealed at different temperatures were studied. • Edges of conduction and valence bands were estimated for tungsten oxide layers annealed at different temperatures. • Influence of annealing time on crystalline structure, morphology and photoelectrochemical performance was studied. - Abstract: The nanoporous tungsten oxide films having an amorphous structure were prepared in an electrolyte containing fluoride ions via an anodization process. The as-synthesized anodic oxide layers can be easily converted to the monoclinic WO 3 phase upon annealing in air. The as-synthesized and annealed WO 3 layers were investigated by using X-ray diffraction, scanning electron microscopy, and photocurrent spectroscopy. The effect of annealing temperature and annealing time on the oxide morphology, crystal structure and electrochemical properties were studied. The samples were annealed in air at the temperatures ranging from 400 to 600 °C, and it was found that the original porous morphology of oxide is completely lost after annealing at 600 °C. The changes in the average crystallite sizes upon annealing were confirmed by XRD measurements. The photoelectrochemical performance of the annealed WO 3 layers were studied under pulsed UV illumination, and the highest photocurrents were observed at the incident light wavelength of 350 nm for the sample annealed at 500 °C for 2 h. The band gap energy and the positions of conduction and valence band edges were determined for all studied samples.

  13. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  14. Characteristics of powdered activated carbon treated with dielectric barrier discharge for electric double-layer capacitors

    International Nuclear Information System (INIS)

    Tashima, Daisuke; Yoshitama, Hiromu; Sakoda, Tatsuya; Okazaki, Akihito; Kawaji, Takayuki

    2012-01-01

    Highlights: ► The specific capacitance of the EDLCs could be improved by oxygen plasma treatment. ► 15 s treated EDLCs showed a 20% increase in capacitance relative to untreated EDLCs. ► The plasma treatment yields EDLCs that are suitable for high-energy applications. - Abstract: The electrochemical properties of electric double-layer capacitors (EDLCs) made with plasma-treated powdered activated carbon (treated using a dielectric barrier discharge) were examined using cyclic voltammetry (CV), Cole–Cole plots, and X-ray photoelectron spectroscopy (XPS). The dielectric barrier discharge method, which operates at atmospheric pressure, dramatically reduces the processing time and does not require vacuum equipment, making it a more practical alternative than low-pressure plasma treatment. The experimental data indicate that the specific capacitance of the EDLCs could be improved by oxygen plasma treatment. Capacitance of EDLCs made with activated carbon treated for 15 s showed 193.5 F/g that 20% increase in the specific capacitance relative to untreated EDLCs. This result indicates that the plasma treatment yields EDLCs that are suitable for high-energy applications. The enhancement of capacitance was mainly attributed to an increase in the BET surface area of the activated carbon and the creation of carboxyl groups on the surface of the carbon. The carboxyl groups induced oxidation–reduction reactions in the presence of O 2 which was included in the operation gas. In addition, the carboxyl groups improved the penetration of the electrolyte solution into the carbon electrodes.

  15. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  16. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  17. Effects of Y incorporation in TaON gate dielectric on electrical performance of GaAs metal-oxide-semiconductor capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Li Ning; Choi, Hoi Wai; Lai, Pui To [Department of Electrical and Electronic Engineering, The University of Hong Kong (China); Xu, Jing Ping [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan (China)

    2016-09-15

    In this study, GaAs metal-oxide-semiconductor (MOS) capacitors using Y-incorporated TaON as gate dielectric have been investigated. Experimental results show that the sample with a Y/(Y + Ta) atomic ratio of 27.6% exhibits the best device characteristics: high k value (22.9), low interfacestate density (9.0 x 10{sup 11} cm{sup -2} eV{sup -1}), small flatband voltage (1.05 V), small frequency dispersion and low gate leakage current (1.3 x 10{sup -5}A/cm{sup 2} at V{sub fb} + 1 V). These merits should be attributed to the complementary properties of Y{sub 2}O{sub 3} and Ta{sub 2}O{sub 5}:Y can effectively passivate the large amount of oxygen vacancies in Ta{sub 2}O{sub 5}, while the positively-charged oxygen vacancies in Ta{sub 2}O{sub 5} are capable of neutralizing the effects of the negative oxide charges in Y{sub 2}O{sub 3}. This work demonstrates that an appropriate doping of Y content in TaON gate dielectric can effectively improve the electrical performance for GaAs MOS devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    Science.gov (United States)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  19. High-efficient light absorption of monolayer graphene via cylindrical dielectric arrays and the sensing application

    Science.gov (United States)

    Zhou, Peng; Zheng, Gaige

    2018-04-01

    The efficiency of graphene-based optoelectronic devices is typically limited by the poor absolute absorption of light. A hybrid structure of monolayer graphene with cylindrical titanium dioxide (TiO2) array and aluminum oxide (Al2O3) spacer layer on aluminum (Al) substrate has been proposed to enhance the absorption for two-dimensional (2D) materials. By combining dielectric array with metal substrate, the structure achieves multiple absorption peaks with near unity absorbance at near-infrared wavelengths due to the resonant effect of dielectric array. Completed monolayer graphene is utilized in the design without any demand of manufacture process to form the periodic patterns. Further analysis indicates that the near-field enhancement induced by surface modes gives rise to the high absorption. This favorable field enhancement and tunability of absorption not only open up new approaches to accelerate the light-graphene interaction, but also show great potential for practical applications in high-performance optoelectronic devices, such as modulators and sensors.

  20. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  1. Growth of micrometric oxide layers for the study of metallic surfaces decontamination by laser

    OpenAIRE

    Carvalho Luisa; Pacquentin Wilfried; Tabarant Michel; Maskrot Hicham; Semerok Alexandre

    2017-01-01

    The nuclear industry produces a wide range of radioactive waste in term of level of hazard, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop techniques for dismantling and for decontamination in a safe way, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. We propose a method of formation of oxide layer on stainless st...

  2. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Venkata Saravanan, K; James Raju, K C

    2014-01-01

    The surface chemical states of RF-magnetron sputtered Ba 0.5 Sr 0.5 TiO 3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O 2 − , adsorbed oxide ion O − and lattice oxide ion O 2− ) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP not ≦ 25%), whereas the films deposited in oxygen rich atmosphere (OMP not ≧ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O 2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ∼65% (@280 kV cm −1 ), with good ϵ r -E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications. (papers)

  3. Effect of annealing and oxide layer thickness on doping profiles shape of ''through-oxide'' implanted P+ ions in textured silicon

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; Galloni, R.

    1987-10-01

    Phosphorous ions at energies of 60+100 KeV, and doses (4+5)x10 15 atom/cm 2 have been implanted randomly through SiO 2 layers into textured silicon crystals. The penetration profiles of the P + ions have been determined by means of differential sheet resistivity and Hall-effect, together with the anodic oxidation stripping technique. The effect of the oxide layer thickness, annealing temperature on the junction properties has been studied. The damage produced by implantation, has also been investigated using transmission electron microscope (TEM). From the mobility measurements of the free carriers as a function of depth through the junction, two minima have been observed in through oxide implanted samples. The one nearer to the Si-SiO 2 interface (at about 200A from the interface) was related to the damage produced by the recoil oxygen atoms from the oxide layer into silicon. The deeper minimum is lying at ∼ 0.2μm from the interface and was attributed to the damage produced by the implanted P + ions, which caused clusters and defect loops after annealing. This damage was observed through TEM photographs. The optimum conditions for producing shallow junction without losing much of the implanted P + ions through the oxide layer were estimated. (author). 22 refs, 7 figs, 1 tab

  4. Fatigue in artificially layered Pb(Zr,Ti)O3 ferroelectric films

    Science.gov (United States)

    Jiang, A. Q.; Scott, J. F.; Dawber, M.; Wang, C.

    2002-12-01

    We have performed fatigue tests on lead zirconate titanate (PZT) multilayers having stacks of Pb(Zr0.8Ti0.2)O3/Pb(Zr0.2Ti0.8)O3 with repeated distances of 12 formula groups. The results are compared with single-layer n-type (0.5 at. % Ta-doped) PZT films. We conclude that fatigue is dominated by space-charge layers in each case, but that in the multilayer such space charge accumulates at the layer interfaces, rather than at the electrode-dielectric interface. The model, which includes both drift and diffusion, is quantitative and yields a rate-limiting mobility of 6.9±0.9×10-12 cm2/V s, in excellent agreement with the oxygen vacancy mobility for perovskite oxides obtained from Zafar et al.

  5. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    International Nuclear Information System (INIS)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.; Bersuker, G.; Brown, G. A.; Murto, R. W.; Jackson, M. D.; Huff, H. R.; Kraus, P.; Lopes, D.

    2001-01-01

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSG oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. [copyright] 2001 American Institute of Physics

  6. A comparative study on thermal, mechanical and dielectric characteristics of low density polyethylene crosslinked by radiation and chemical methods

    International Nuclear Information System (INIS)

    Kim, B.H.; Ling, D.Y.; Kim, J.S.

    1976-01-01

    A comparative study on thermal, static mechanical and dielectric characteristics were made over a temperature range of ca. 20 0 C to 320 0 C and a frequency range of KHZ band on low density polyethylene specimens crosslinked, respectively, by radiation and chemical method. The thermal property of both specimens shows that softening point appears to unchange by crosslinking however, melting and liquidizing temperatures attain rapid increase at the imitation of crosslinking. Mechanical properties show little difference to both specimens crosslinked by different method, further the behaviors were discussed in connection with the relaxation of molecular segments in amorphous phase. Dose dependent dielectric characteristics observed at ambient temperature under several fixed frequencies exhibit extremities at ca. 20 Mrad and the behaviors also were interpreted qualitatively by taking into consideration of dipole concentration change in amorphous phase together with the role of specimen geometry to the depth of oxidative layer. Observing frequency dependent dielectric characteristics, it was also proved that ionic conduction loss is appreciably greater in the specimen prepared by chemical method than that by radiation. (author)

  7. Dielectric properties investigation of Cu2O/ZnO heterojunction thin films by electrodeposition

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Mengmeng; Fan, Huiqing; Wang, Hairong; Peng, Biaolin; Long, Changbai; Zhai, Yuchun

    2013-01-01

    Highlights: ► Bottom-up self-assembly Cu 2 O/ZnO heterojunction was fabricated by electrochemical deposition on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET). ► The dielectric response of Cu 2 O/ZnO heterojunction thin films had been investigated. ► The universal dielectric response was used to investigate the hopping behavior in Cu 2 O/ZnO heterojunction. -- Abstract: Structures and morphologies of the Cu 2 O/ZnO heterojunction electrodeposited on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET) were investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), high resolution transmission electron microscopy (HRTEM), respectively. The dielectric response of bottom-up self-assembly Cu 2 O/ZnO heterojunction was investigated. The low frequency dielectric dispersion (LFDD) was observed. The universal dielectric response (UDR) was used to investigate the frequency dependence of dielectric response for Cu 2 O/ZnO heterojunction, which was attributed to the long range and the short range hopping charge carriers at the low frequency and the high frequency region, respectively

  8. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric

    International Nuclear Information System (INIS)

    Barchuk, I.P.; Kilchitskaya, V.I.; Lysenko, V.S.

    1997-01-01

    In this work SOI structures with buried SiO 2 -Si 3 N 4 -SiO 2 layers have been fabricated by the ZMR-technique with the aim of improving the total dose radiation hardness of the buried dielectric layer. To optimize the fabrication process, buried layers were investigated by secondary ion mass spectrometry before and after the ZMR process, and the obtained results were compared with electrical measurements. It is shown that optimization of the preparation processes of the initial buried dielectric layers provides ZMR SOI structures with multilayer buried isolation, which are of high quality for both Si film interfaces. Particular attention is paid to the investigation of radiation-induced charge trapping in buried insulators. Buried isolation structures with a nitride layer exhibit significant reduction of radiation-induced positive charge as compared to classical buried SiO 2 layers produced by either the ZMR or the SIMOX technique

  9. A model for the scattering of high-frequency electromagnetic fields from dielectrics exhibiting thermally-activated electrical losses

    Science.gov (United States)

    Hann, Raiford E.

    1991-01-01

    An equivalent circuit model (ECM) approach is used to predict the scattering behavior of temperature-activated, electrically lossy dielectric layers. The total electrical response of the dielectric (relaxation + conductive) is given by the ECM and used in combination with transmission line theory to compute reflectance spectra for a Dallenbach layer configuration. The effects of thermally-activated relaxation processes on the scattering properties is discussed. Also, the effect of relaxation and conduction activation energy on the electrical properties of the dielectric is described.

  10. Laser assisted green synthesis of free standing reduced graphene oxides at the water–air interface

    International Nuclear Information System (INIS)

    Compagnini, G; Russo, P; Tomarchio, F; Puglisi, O; D’Urso, L; Scalese, S

    2012-01-01

    A single step, scalable and green strategy has been developed to obtain reduced graphene oxide layers in water dispersion through nanosecond laser pulse irradiation of carbon targets. The layers spontaneously migrate at the water–air interface, forming sheets of several tens of micrometers and show intense ultraviolet photoluminescence. This unique condition offers an intriguing environment where opposing dielectric media meet and can be used in all those processes where molecular interactions such as hydrogen bonding and electrostatic interactions are greatly enhanced. (paper)

  11. Analysis of transmittance properties in 1D hybrid dielectric photonic crystal containing superconducting thin films

    Science.gov (United States)

    Soltani, Osswa; Zaghdoudi, Jihene; Kanzari, Mounir

    2018-06-01

    By means of two fluid model and transfer matrix method (TMM), we investigate theoretically the transmittance properties of a defective hybrid dielectric-dielectric photonic crystal that contains a superconducting material as a defect layer. The considered hybrid photonic structure is: H(LH) 7(HLSLH) P H(LH) 7 , where H is the high refractive index dielectric, L is the low refractive index dielectric, S is the superconducting material and P is the repetitive number. The results show that the variation of the number and the positions of the transmissions modes depend strongly on the repetitive number P, the temperature T and the thickness of the layer S. An improvement of the spectral response is obtained with the exponential gradation of layer thicknesses dj =d0 + βejα , where d0 is the initial thickness of the layer j, α and β are two particular constants for each material. In addition, the effect of the incident angle for both transverse electric (TE) and transverse magnetic (TM) polarizations on the transmittance spectrum is discussed. As a result, we propose a tunable narrow stop-band polychromatic filter that covers the visible wavelength.

  12. Electron-beam-induced conduction in dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Acris, F C; Davies, P M; Lewis, T J [University Coll. of North Wales, Bangor (UK). School of Electronic Engineering Science

    1976-03-14

    A model for the enhanced conduction induced in dielectric films under electron bombardment while electrically stressed is discussed. It is assumed that the beam produces a virtual electrode at the end of its range in the dielectric and, as a consequence, the induced conduction is shown to depend on the properties of that part of the dielectric beyond the range of the beam. This model has also been discussed recently by Nunes de Oliviera and Gross. In the present treatment, it is shown how the model permits investigation of beam scattering and carrier generation and recombination processes. Experiments on electron-bombardment-induced conduction of thin (72 to 360 nm) films of anodic tantalum oxide are reported and it is shown that the theoretical model provides a very satisfactory explanation of all features of the results including the apparent threshold energy for enhanced conduction.

  13. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    Directory of Open Access Journals (Sweden)

    Roman Beloborodov

    2017-01-01

    Full Text Available High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for the design of techniques for effective hydrocarbon extraction and production from unconventional reservoirs. Although applicability of dielectric measurements is intriguing, the data interpretation is very challenging due to many factors influencing the dielectric response. For instance, dielectric permittivity is determined by mineralogical composition of solid fraction, volumetric content and composition of saturating fluid, rock microstructure and geometrical features of its solid components and pore space, temperature, and pressure. In this experimental study, we investigate the frequency dependent dielectric properties of artificial shale rocks prepared from silt-clay mixtures via mechanical compaction. Samples are prepared with various clay contents and pore fluids of different salinity and cation compositions. Measurements of dielectric properties are conducted in two orientations to investigate the dielectric anisotropy as the samples acquire strongly oriented microstructures during the compaction process.

  14. Effects of concentration of Ag nanoparticles on surface structure and in vitro biological responses of oxide layer on pure titanium via plasma electrolytic oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Ki Ryong; Kim, Yeon Sung; Kim, Gye Won [Department of Materials Science and Engineering, Hanyang University, Ansan 425-791 (Korea, Republic of); Yang, Hae Woong [School of Materials Science and Engineering, Yeungnam University, Gyeongsan 712-749 (Korea, Republic of); Ko, Young Gun, E-mail: younggun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, Gyeongsan 712-749 (Korea, Republic of); Shin, Dong Hyuk, E-mail: dhshin@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Ansan 425-791 (Korea, Republic of)

    2015-08-30

    Highlights: • Ag nanoparticles were embedded into the oxide surface without any compositional changes. • Oxide layer from the electrolyte with 0.1 g/l Ag nanoparticles could disinfect all bacteria. • With increasing Ag nanoparticles, bone-forming ability and cell proliferation rate decrease. - Abstract: This study was to investigate how Ag nanoparticles with various concentrations affect the surface structure and in vitro biological properties of oxide layers on the pure titanium produced by a plasma electrolytic oxidation (PEO) process. For this aim, PEO processes were carried out at an AC current density of 100 mA/cm{sup 2} for 300 s in potassium pyrophosphate (K{sub 4}P{sub 2}O{sub 7}) electrolytes containing 0, 0.1, 0.3 and 0.5 g/l Ag nanoparticles. Structural investigations using scanning electron microscopy evidenced that the oxide layers showed the successful incorporation of Ag nanoparticles, and the topographical deformation of the porous surface was found when the concentration of Ag nanoparticles was more than 0.1 g/l. Based on the anti-bacterial activity of all oxide layers, the Ag nanoparticles uniformly spread were of considerable importance in triggering the disinfection of E. coli bacteria. The bone forming abilities and cell (MC3T3-E1) proliferation rates of oxide layers produced in electrolytes containing 0 and 0.1 g/l Ag nanoparticles were higher than those containing 0.3 and 0.5 g/l Ag nanoparticles. Consequently, the oxide layer on pure titanium via PEO process in the electrolyte with 0.1 g/l Ag nanoparticles exhibited better the bioactivity accompanying the anti-bacterial activity.

  15. Effect of biomolecules adsorption on oxide layers developed on metallic materials used in cooling water systems

    International Nuclear Information System (INIS)

    Torres-Bautista, Blanca-Estela

    2014-01-01

    This thesis was carried out in the frame of the BIOCOR ITN European project, in collaboration with the industrial partner RSE S.p.A. (Italy). Metallic materials commonly used in cooling systems of power plants may be affected by bio-corrosion induced by biofilm formation. The objective of this work was to study the influence of biomolecules adsorption, which is the initial stage of biofilm formation, on the electrochemical behaviour and the surface chemical composition of three metallic materials (70Cu-30Ni alloy, 304L stainless steel and titanium) in seawater environments. In a first step, the interactions between a model protein, the bovine serum albumin (BSA), and the surface of these materials were investigated. Secondly, tightly bound (TB) and loosely bound (LB) extracellular polymeric substances (EPS), that play a fundamental role in the different stages of biofilm formation, maturation and maintenance, were extracted from Pseudomonas NCIMB 2021 marine strain, and their effects on oxide layers were also evaluated. For that purpose, electrochemical measurements (corrosion potential E(corr) vs time, polarization curves and electrochemical impedance spectroscopy (EIS)) performed during the very first steps of oxide layers formation (1 h immersion time) were combined to surface analysis by X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ions mass spectrometry (ToF-SIMS). Compared to 70Cu-30Ni alloy in static artificial seawater (ASW) without biomolecules, for which a thick duplex oxide layer (outer redeposited Cu 2 O layer and inner oxidized nickel layer) is shown, the presence of BSA, TB EPS and LB EPS leads to a mixed oxide layer (oxidized copper and nickel) with a lower thickness. In the biomolecules-containing solutions, this oxide layer is covered by an adsorbed organic layer, mainly composed of proteins. A model is proposed to analyse impedance data obtained at E(corr). The results show a slow-down of the anodic reaction in the presence

  16. Interfacial and Electrical Properties of Ge MOS Capacitor by ZrLaON Passivation Layer and Fluorine Incorporation

    Science.gov (United States)

    Huang, Yong; Xu, Jing-Ping; Liu, Lu; Cheng, Zhi-Xiang; Lai, Pui-To; Tang, Wing-Man

    2017-09-01

    Ge Metal-Oxide-Semiconductor (MOS) capacitor with HfTiON/ZrLaON stacked gate dielectric and fluorine-plasma treatment is fabricated, and its interfacial and electrical properties are compared with its counterparts without the ZrLaON passivation layer or the fluorine-plasma treatment. Experimental results show that the sample exhibits excellent performances: low interface-state density (3.7×1011 cm-2eV-1), small flatband voltage (0.21 V), good capacitance-voltage behavior, small frequency dispersion and low gate leakage current (4.41×10-5 A/cm2 at Vg = Vfb + 1V). These should be attributed to the suppressed growth of unstable Ge oxides on the Ge surface during gate-dielectric annealing by the ZrLaON interlayer and fluorine incorporation, thus greatly reducing the defective states at/near the ZrLaON/Ge interface and improving the electrical properties of the device.

  17. Dielectric-wall linear accelerator with a high voltage fast rise time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators

    Science.gov (United States)

    Caporaso, George J.; Sampayan, Stephen E.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is improved by a high-voltage, fast rise-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  18. Tunable band structures in digital oxides with layered crystal habits

    Science.gov (United States)

    Shin, Yongjin; Rondinelli, James M.

    2017-11-01

    We use density functional calculations to show that heterovalent cation-order sequences enable control over band-gap variations up to several eV and band-gap closure in the bulk band insulator LaSrAlO4. The band-gap control originates from the internal electric fields induced by the digital chemical order, which induces picoscale band bending; the electric-field magnitude is mainly governed by the inequivalent charged monoxide layers afforded by the layered crystal habit. Charge transfer and ionic relaxations across these layers play secondary roles. This understanding is used to construct and validate a descriptor that captures the layer-charge variation and to predict changes in the electronic gap in layered oxides exhibiting antisite defects and in other chemistries.

  19. Stress effects of the inter-level dielectric layer on the ferroelectric performance of integrated SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Hong, Suk-Kyoung; Yang, B.; Oh, Sang Hyun; Kang, Young Min; Kang, Nam Soo; Hwang, Cheol Seong; Kwon, Oh Seong

    2001-01-01

    The thermal stress effects of the inter-level dielectric (ILD) layer on the ferroelectric performance of integrated Pt/SrBi 2 Ta 2 O 9 (SBT)/Pt capacitors were investigated. Two different thin film materials, pure SiO 2 grown at 650 degree C and B- and P-doped SiO 2 grown at 400 degree C by chemical vapor deposition techniques, were tested as an ILD layer. The ILD layer encapsulated the SBT capacitor array. During high temperature thermal cycling (up to 800 degree C) after ILD deposition, which is used for both densifying the ILD and curing of the various damage imposed on the SBT capacitors, a large thermal stress occurred in the bottom Pt layer due to the thermal expansion mismatch between the various layers. In particular, the pure SiO 2 ILD layer between the capacitors did not allow thermal expansion of the Pt layers, which led to a large accumulation of compressive stress in the layer. This resulted in hillock formation in the bottom Pt layer and eventual capacitor failure. However, the B- and P-doped SiO 2 ILD layer contracted during thermal cycling by removing residual impurities, which allowed greater expansion of the Pt layer. Therefore, compressive stress accumulation did not occur and excellent ferroelectric properties were thus obtained from the integrated capacitor array. [copyright] 2001 American Institute of Physics

  20. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The