WorldWideScience

Sample records for oseltamivir resistant strains

  1. Genetic makeup of amantadine-resistant and oseltamivir-resistant human influenza A/H1N1 viruses.

    Science.gov (United States)

    Zaraket, Hassan; Saito, Reiko; Suzuki, Yasushi; Baranovich, Tatiana; Dapat, Clyde; Caperig-Dapat, Isolde; Suzuki, Hiroshi

    2010-04-01

    The emergence and widespread occurrence of antiviral drug-resistant seasonal human influenza A viruses, especially oseltamivir-resistant A/H1N1 virus, are major concerns. To understand the genetic background of antiviral drug-resistant A/H1N1 viruses, we performed full genome sequencing of prepandemic A/H1N1 strains. Seasonal influenza A/H1N1 viruses, including antiviral-susceptible viruses, amantadine-resistant viruses, and oseltamivir-resistant viruses, obtained from several areas in Japan during the 2007-2008 and 2008-2009 influenza seasons were analyzed. Sequencing of the full genomes of these viruses was performed, and the phylogenetic relationships among the sequences of each individual genome segment were inferred. Reference genome sequences from the Influenza Virus Resource database were included to determine the closest ancestor for each segment. Phylogenetic analysis revealed that the oseltamivir-resistant strain evolved from a reassortant oseltamivir-susceptible strain (clade 2B) which circulated in the 2007-2008 season by acquiring the H275Y resistance-conferring mutation in the NA gene. The oseltamivir-resistant lineage (corresponding to the Northern European resistant lineage) represented 100% of the H1N1 isolates from the 2008-2009 season and further acquired at least one mutation in each of the polymerase basic protein 2 (PB2), polymerase basic protein 1 (PB1), hemagglutinin (HA), and neuraminidase (NA) genes. Therefore, a reassortment event involving two distinct oseltamivir-susceptible lineages, followed by the H275Y substitution in the NA gene and other mutations elsewhere in the genome, contributed to the emergence of the oseltamivir-resistant lineage. In contrast, amantadine-resistant viruses from the 2007-2008 season distinctly clustered in clade 2C and were characterized by extensive amino acid substitutions across their genomes, suggesting that a fitness gap among its genetic components might have driven these mutations to maintain it in the

  2. Assessing the oseltamivir-induced resistance risk and implications for influenza infection control strategies

    Directory of Open Access Journals (Sweden)

    Hsieh NH

    2017-07-01

    Full Text Available Nan-Hung Hsieh,1 Yi-Jun Lin,2 Ying-Fei Yang,2 Chung-Min Liao2 1Department of Veterinary Integrative Biosciences, College of Veterinary Medicine and Biomedical Sciences, Texas A&M University, College Station, Texas, USA; 2Department of Bioenvironmental Systems Engineering, National Taiwan University, Taipei, Taiwan Background: Oseltamivir-resistant mutants with higher drug resistance rates and low transmission fitness costs have not accounted for influenza (subtype viruses. Predicting the impacts of neuraminidase inhibitor therapy on infection rates and transmission of drug-resistant viral strains requires further investigation.Objectives: The purpose of this study was to assess the potential risk of oseltamivir-induced resistance for influenza A (H1N1 and A (H3N2 viruses.Materials and methods: An immune-response-based virus dynamic model was used to best fit the oseltamivir-resistant A (H1N1 and A (H3N2 infection data. A probabilistic risk assessment model was developed by incorporating branching process-derived probability distribution of resistance to estimate oseltamivir-induced resistance risk.Results: Mutation rate and sensitive strain number were key determinants in assessing resistance risk. By increasing immune response, antiviral efficacy, and fitness cost, the spread of resistant strains for A (H1N1 and A (H3N2 were greatly decreased. Probability of resistance depends most strongly on the sensitive strain number described by a Poisson model. Risk of oseltamivir-induced resistance increased with increasing the mutation rate for A (H1N1 only. The ≥50% of resistance risk induced by A (H1N1 and A (H3N2 sensitive infected cells were 0.4 (95% CI: 0.28–0.43 and 0.95 (95% CI 0.93–0.99 at a mutation rate of 10−6, respectively. Antiviral drugs must be administrated within 1–1.5 days for A (H1N1 and 2–2.5 days for A (H3N2 virus infections to limit viral production.Conclusion: Probabilistic risk assessment of antiviral drug

  3. Published sequences do not support transfer of oseltamivir resistance mutations from avian to human influenza A virus strains.

    Science.gov (United States)

    Norberg, Peter; Lindh, Magnus; Olofsson, Sigvard

    2015-03-28

    Tamiflu (oseltamivir phosphate ester, OE) is a widely used antiviral active against influenza A virus. Its active metabolite, oseltamivir carboxylate (OC), is chemically stable and secreted into wastewater treatment plants. OC contamination of natural habitats of waterfowl might induce OC resistance in influenza viruses persistently infecting waterfowl, and lead to transfer of OC-resistance from avian to human influenza. The aim of this study was to evaluate whether such has occurred. A genomics approach including phylogenetic analysis and probability calculations for homologous recombination was applied on altogether 19,755 neuraminidase (N1 and N2) genes from virus sampled in humans and birds, with and without resistance mutations. No evidence for transfer of OE resistance mutations from avian to human N genes was obtained, and events suggesting recombination between human and avian influenza virus variants could not be traced in the sequence material studied. The results indicate that resistance in influenza viruses infecting humans is due to the selection pressure posed by the global OE administration in humans rather than transfer from avian influenza A virus strains carrying mutations induced by environmental exposure to OC.

  4. Oseltamivir-Resistant Flu

    Centers for Disease Control (CDC) Podcasts

    2012-04-13

    Dr. Aaron Storms, an Epidemic Intelligence Service (EIS) officer at CDC, discusses his paper about oseltamivir-resistant H1N1flu.  Created: 4/13/2012 by National Center for Emerging and Zoonotic Infectious Diseases (NCEZID).   Date Released: 4/17/2012.

  5. Oseltamivir-resistant pandemic (H1N12009 in Yemen - case report

    Directory of Open Access Journals (Sweden)

    Al-Kohlani Abdulhakeem

    2010-05-01

    Full Text Available Abstract Background During the influenza season of 2007-08, oseltamivir-resistant influenza A (H1N1 viruses emerged in several countries in Europe, North America, and Asia. Despite substantial prevalence of oseltamivir-resistant viruses, few data are available on the clinical profile of subjects infected with these viruses. Objectives: to describe the first oseltamivir-resistant (H1N1 influenza virus pandemic 2009 from the Eastern Mediterranean Region including Yemen and to determine the evidence by clinical presentation of children infected with these oseltamivir - resistant viruses. Methodology History, physical examination and laboratory investigations including Complete Blood Count, chest x-ray, blood cultures, CSF examination, LFTs, RFTs, blood for sugar, H1N1 test and oseltamivir resistance test. Results Nasal swabs indicated positivity on both H1N1 test and the RNP gene (Human R Nase P gene that serves as internal positive control for Human RNA. Both clinical specimens presented the mutation S31N in the M2 gene associated with resistance to adamantanes and H274Y in NA gene associated with resistance to oseltamivir. This was the first diagnosed case of resistance to oseltamivir in Yemen and also it is the first reported case of oseltamivir resistance virus in the Eastern Mediterranean Region. Conclusion The pattern of resistance found in the oseltamivir resistant isolate collected from Yemen is the same as has been reported elsewhere in other WHO regions. Clinical description and outcomes are not different from what is described elsewhere.

  6. Pacific region influenza surveillance for oseltamivir resistance.

    Science.gov (United States)

    Miller, Heather B; Gose, Remedios B; Nagata, Mark T; Sciulli, Rebecca H; Whelen, A Christian

    2012-05-01

    Hawaii and the United States-affiliated Pacific islands (USAPI) host over 8 million travelers annually, most of whom originate in Asia, Australia, and the Americas where prevalence of oseltamivir resistance in 2009 pandemic influenza A (H1N1) has been reported to be 2.5-3.5%. To survey a collection of samples from Hawaii and the USAPI that had tested positive for the 2009 pandemic influenza A (H1N1) virus by RTI-PCR to assess whether antiviral resistance emerged in these island communities during the 2009 H1N1 pandemic. We examined RNA extracted from Hawaiian and USAPI cases for the neuraminidase H275Y mutation associated with oseltamivir resistance by pyrosequencing. Two hundred and sixty-three (263) 2009 pandemic influenza A (H1N1) positive specimens were tested and 263/263 (100%) were shown to lack the mutation most commonly associated with oseltamivir resistance. There was no evidence of oseltamivir resistant A(H1N1)pdm09 virus during the 2009 pandemic in the Pacific islands despite considerable travel exposure. Geographic isolation, the lack of a "second wave" of pandemic influenza, judicious antiviral use, aggressive vaccination, and below average tourism due to the global economic crisis may have been contributing factors. Continued surveillance and vigilance is necessary to monitor unpredictable influenza activity. Copyright © 2012 Elsevier B.V. All rights reserved.

  7. Oseltamivir

    Directory of Open Access Journals (Sweden)

    Tullu M

    2009-01-01

    Full Text Available Oseltamivir, a selective neuraminidase enzyme inhibitor, has gained worldwide attention in view of Influenza A (H1N1 pandemic. It is one of the most important drugs effective against the novel influenza virus. Oseltamivir is used for the treatment of uncomplicated acute illness due to influenza infection. Early initiation of treatment with the drug provides greater clinical benefits. The drug can also be effectively used for prophylaxis. Oseltamivir is readily absorbed from the gastrointestinal tract and is converted to the active metabolite- oseltamivir carboxylate, which has a wider distribution in the body. Oseltamivir carboxylate is eliminated in the urine with a half-life of 6-10 h. The drug is generally well-tolerated and does not have many clinically significant drug interactions. Nausea and vomiting are the commonest adverse effects associated with its use. The standard adult dose for treatment is 75 mg twice a day for five days and the dose for prophylaxis is 75 mg once daily for at least seven days following contact with an infected individual. The dose needs to be adjusted in patients with renal failure but no adjustments are required in patients with hepatic impairment. Although most of the influenza virus strains are sensitive to oseltamivir, development of drug resistance may limit the clinical utility of the drug in the future.

  8. Assessing the in vitro fitness of an oseltamivir-resistant seasonal A/H1N1 influenza strain using a mathematical model.

    Directory of Open Access Journals (Sweden)

    Benjamin P Holder

    2011-03-01

    Full Text Available In 2007, the A/Brisbane/59/2007 (H1N1 seasonal influenza virus strain acquired the oseltamivir-resistance mutation H275Y in its neuraminidase (NA gene. Although previous studies had demonstrated that this mutation impaired the replication capacity of the influenza virus in vitro and in vivo, the A/Brisbane/59/2007 H275Y oseltamivir-resistant mutant completely out-competed the wild-type (WT strain and was, in the 2008-2009 influenza season, the primary A/H1N1 circulating strain. Using a combination of plaque and viral yield assays, and a simple mathematical model, approximate values were extracted for two basic viral kinetics parameters of the in vitro infection. In the ST6GalI-MDCK cell line, the latent infection period (i.e., the time for a newly infected cell to start releasing virions was found to be 1-3 h for the WT strain and more than 7 h for the H275Y mutant. The infecting time (i.e., the time for a single infectious cell to cause the infection of another one was between 30 and 80 min for the WT, and less than 5 min for the H275Y mutant. Single-cycle viral yield experiments have provided qualitative confirmation of these findings. These results, though preliminary, suggest that the increased fitness success of the A/Brisbane/59/2007 H275Y mutant may be due to increased infectivity compensating for an impaired or delayed viral release, and are consistent with recent evidence for the mechanistic origins of fitness reduction and recovery in NA expression. The method applied here can reconcile seemingly contradictory results from the plaque and yield assays as two complementary views of replication kinetics, with both required to fully capture a strain's fitness.

  9. Oseltamivir (Tamiflu® in the environment, resistance development in influenza A viruses of dabbling ducks and the risk of transmission of an oseltamivir-resistant virus to humans – a review

    Directory of Open Access Journals (Sweden)

    Josef D. Järhult

    2012-06-01

    Full Text Available The antiviral drug oseltamivir (Tamiflu® is a cornerstone in influenza pandemic preparedness plans worldwide. However, resistance to the drug is a growing concern. The active metabolite oseltamivir carboxylate (OC is not degraded in surface water or sewage treatment plants and has been detected in river water during seasonal influenza outbreaks. The natural influenza reservoir, dabbling ducks, can thus be exposed to OC in aquatic environments. Environmental-like levels of OC induce resistance development in influenza A/H1N1 virus in mallards. There is a risk of resistance accumulation in influenza viruses circulating among wild birds when oseltamivir is used extensively. By reassortment or direct transmission, oseltamivir resistance can be transmitted to humans potentially causing a resistant pandemic or human-adapted highly-pathogenic avian influenza virus. There is a need for more research on resistance development in the natural influenza reservoir and for a prudent use of antivirals.

  10. Sales of oseltamivir in Norway prior to the emergence of oseltamivir resistant influenza A(H1N1 viruses in 2007–08

    Directory of Open Access Journals (Sweden)

    Hungnes Olav

    2009-05-01

    Full Text Available Abstract Background An unprecedented high proportion of oseltamivir resistant influenza A(H1N1 viruses emerged in the 2007–08 influenza season. In Norway, two thirds of all tested A(H1N1 viruses were resistant to the antiviral drug. In order to see if this emergence could be explained by a drug induced selection pressure, we analysed data on the sales of oseltamivir in Norway for the years 2002–07. Methods We used data from two sources; the Norwegian Drug Wholesales Statistics Database and the Norwegian Prescription Database (NorPD, for the years 2002–2007. We calculated courses sold of oseltamivir (Tamiflu® per 1000 inhabitants per year. Results Our data showed that, except for the years 2005 and 2006, sales of oseltamivir were low in Norway; courses sold per 1000 inhabitants varied between 0.17–1.64. The higher sales in 2005 and 2006 we believe were caused by private stockpiling in fear of a pandemic, and do not represent actual usage. Conclusion A drug induced selection pressure was probably not the cause of the emergence of oseltamivir resistant influenza A(H1N1 viruses in 2007–08 in Norway.

  11. [Naturally occurring oseltamivir resistance in influenza A.

    DEFF Research Database (Denmark)

    Madsen, Laura; Nielsen, Alex; Lundgren, Jens

    2010-01-01

    in the development of resistance. The best prevention strategy remains vaccination of the general population to avoid immunity. Future antiviral treatment calls for knowledge about resistance to existing types of influenza and the availability of all three types of antiviral medication. Udgivelsesdato: 2010-Aug......During the last two influenza seasons, one of the predominant influenza A types (H1N1) has developed complete resistance to oseltamivir, the primary treatment option. The virus does, however, remain sensitive to zanamavir and amantadine. There is no unequivocal explanation for this slide...

  12. [Naturally occurring oseltamivir resistance in influenza A.

    DEFF Research Database (Denmark)

    Madsen, Laura; Nielsen, Alex; Lundgren, Jens

    2010-01-01

    During the last two influenza seasons, one of the predominant influenza A types (H1N1) has developed complete resistance to oseltamivir, the primary treatment option. The virus does, however, remain sensitive to zanamavir and amantadine. There is no unequivocal explanation for this slide...... in the development of resistance. The best prevention strategy remains vaccination of the general population to avoid immunity. Future antiviral treatment calls for knowledge about resistance to existing types of influenza and the availability of all three types of antiviral medication. Udgivelsesdato: 2010-Aug...

  13. Oseltamivir resistance among influenza viruses: surveillance in northern Viet Nam, 2009-2012.

    Science.gov (United States)

    Hoang Vu, Mai-Phuong; Nguyen, Co Thach; Nguyen, Le Khanh Hang; Nguyen, Thi Kim Phuong; Le, Quynh Mai

    2013-01-01

    Antiviral resistance has been reported in seasonal influenza A viruses and avian influenza A(H5N1) viruses in Viet Nam, raising concerns about the efficacy of treatment. We analysed specimens from two sources during the period 2009-2012: influenza-positive samples from influenza-like illness patients at sentinel clinics in northern Viet Nam and isolates from patients with confirmed A(H5N1) infections. Pyrosequencing was used to detect mutations: H275Y [for A(H1N1) and A(H5N1)], E119V [for A(H3N2)] and I117V [for A(H5N1)]. A neuraminidase inhibition assay was used to determine the Inhibitory Concentration 50 (IC₅₀) values for all influenza A and B isolates. There were 341 influenza A positive samples identified; influenza A(H1N1)pdm09 was identified most frequently (n = 215). In 2009, oseltamivir resistance was observed in 100% (19 of 19) of seasonal A(H1N1) isolates and 1.4% (3/215) of A(H1N1)pdm09 isolates. This H275Y mutation was not found in influenza subtypes A(H5N1) or A(H3N2) isolates. In Viet Nam, seasonal and A(H5N1) influenza vaccines are not currently available; thus, effective treatment is required. The presence of oseltamivir-resistant viruses is therefore a concern. Active surveillance for oseltamivir resistance among influenza viruses circulating in Viet Nam should be continued.

  14. Detection of the antiviral drug oseltamivir in aquatic environments.

    Directory of Open Access Journals (Sweden)

    Hanna Söderström

    Full Text Available Oseltamivir (Tamiflu is the most important antiviral drug available and a cornerstone in the defence against a future influenza pandemic. Recent publications have shown that the active metabolite, oseltamivir carboxylate (OC, is not degraded in sewage treatment plants and is also persistent in aquatic environments. This implies that OC will be present in aquatic environments in areas where oseltamivir is prescribed to patients for therapeutic use. The country where oseltamivir is used most is Japan, where it is used to treat seasonal flu. We measured the levels of OC in water samples from the Yodo River system in the Kyoto and Osaka prefectures, Japan, taken before and during the flu-season 2007/8. No OC was detected before the flu-season but 2-58 ng L(-1 was detected in the samples taken during the flu season. This study shows, for the first time, that low levels of oseltamivir can be found in the aquatic environment. Therefore the natural reservoir of influenza virus, dabbling ducks, is exposed to oseltamivir, which could promote the evolution of viral resistance.

  15. Effects of dexamethasone coadministered with oseltamivir on the pharmacokinetics of oseltamivir in healthy volunteers

    Directory of Open Access Journals (Sweden)

    Jang K

    2017-03-01

    Full Text Available Kyungho Jang,1,2,* Min-Kyoung Kim,3,4,* Jaeseong Oh,1 SeungHwan Lee,1 Joo-Youn Cho,1 Kyung-Sang Yu,1 Tai Kiu Choi,3 Sang-Hyuk Lee,3,4 Kyoung Soo Lim4 1Department of Clinical Pharmacology and Therapeutics, Seoul National University College of Medicine and Hospital, Seoul, 2Center for Clinical Pharmacology and Biomedical Research Institute, Chonbuk National University Medical School, Jeonju, 3Department of Psychiatry, 4Department of Clinical Pharmacology and Therapeutics, CHA University School of Medicine and CHA Bundang Medical Center, Seongnam, Republic of Korea *These authors contributed equally to this work Purpose: Oseltamivir is widely used in the treatment and prophylaxis of influenza A and B viral infections. It is ingested as an oral prodrug that is rapidly metabolized by carboxylesterase 1 (CES1 to its active form, oseltamivir carboxylate. Dexamethasone is also used in the treatment of acute respiratory distress syndrome, a severe complication of influenza; however, its influence on the pharmacokinetics (PK of oseltamivir is controversial. The aim of this study was to investigate the effects of coadministering oseltamivir and dexamethasone on the PK of oseltamivir in healthy volunteers. Methods: An open-label, two-period, one-sequence, multiple-dose study was conducted in 19 healthy male volunteers. Oseltamivir (75 mg was orally administered on Day 1 and Day 8, and dexamethasone (1.5 mg was administered once daily from Day 3 to Day 8. Serial blood and urine samples were collected for PK analysis of oseltamivir and oseltamivir carboxylate on Day 1 and Day 8. Oseltamivir and oseltamivir carboxylate concentrations in plasma and urine were determined using liquid chromatography–tandem mass spectrometry. Results: Area under the plasma concentration–time curve (AUC of oseltamivir and oseltamivir carboxylate decreased after dexamethasone treatment for 6 days. The geometric mean ratio (90% confidence interval of the metabolic ratio

  16. Reassortment and mutations associated with emergence and spread of oseltamivir-resistant seasonal influenza A/H1N1 viruses in 2005-2009.

    Directory of Open Access Journals (Sweden)

    Ji-Rong Yang

    Full Text Available A dramatic increase in the frequency of the H275Y mutation in the neuraminidase (NA, conferring resistance to oseltamivir, has been detected in human seasonal influenza A/H1N1 viruses since the influenza season of 2007-2008. The resistant viruses emerged in the ratio of 14.3% and quickly reached 100% in Taiwan from September to December 2008. To explore the mechanisms responsible for emergence and spread of the resistant viruses, we analyzed the complete genome sequences of 25 viruses collected during 2005-2009 in Taiwan, which were chosen from various clade viruses, 1, 2A, 2B-1, 2B-2, 2C-1 and 2C-2 by the classification of hemagglutinin (HA sequences. Our data revealed that the dominant variant, clade 2B-1, in the 2007-2008 influenza emerged through an intra-subtype 4+4 reassortment between clade 1 and 2 viruses. The dominant variant acquired additional substitutions, including A206T in HA, H275Y and D354G in NA, L30R and H41P in PB1-F2, and V411I and P453S in basic polymerase 2 (PB2 proteins and subsequently caused the 2008-2009 influenza epidemic in Taiwan, accompanying the widespread oseltamivir-resistant viruses. We also characterized another 3+5 reassortant virus which became double resistant to oseltamivir and amantadine. Comparison of oseltamivir-resistant influenza A/H1N1 viruses belonging to various clades in our study highlighted that both reassortment and mutations were associated with emergence and spread of these viruses and the specific mutation, H275Y, conferring to antiviral resistance, was acquired in a hitch-hiking mechanism during the viral evolutionary processes.

  17. Systematic review of influenza resistance to the neuraminidase inhibitors

    Directory of Open Access Journals (Sweden)

    Boivin Guy

    2011-05-01

    Full Text Available Abstract Background Antivirals play a critical role in the prevention and the management of influenza. One class of antivirals, neuraminidase inhibitors (NAIs, is effective against all human influenza viruses. Currently there are two NAI drugs which are licensed worldwide: oseltamivir (Tamiflu® and zanamivir (Relenza®; and two drugs which have received recent approval in Japan: peramivir and laninamivir. Until recently, the prevalence of antiviral resistance has been relatively low. However, almost all seasonal H1N1 strains that circulated in 2008-09 were resistant to oseltamivir whereas about 1% of tested 2009 pandemic H1N1 viruses were found to be resistant to oseltamivir. To date, no studies have demonstrated widespread resistance to zanamivir. It seems likely that the literature on antiviral resistance associated with oseltamivir as well as zanamivir is now sufficiently comprehensive to warrant a systematic review. The primary objectives were to systematically review the literature to determine the incidence of resistance to oseltamivir, zanamivir, and peramivir in different population groups as well as assess the clinical consequences of antiviral resistance. Methods We searched MEDLINE and EMBASE without language restrictions in September 2010 to identify studies reporting incidence of resistance to oseltamivir, zanamivir, and peramivir. We used forest plots and meta-analysis of incidence of antiviral resistance associated with the three NAIs. Subgroup analyses were done across a number of population groups. Meta-analysis was also performed to evaluate associations between antiviral resistance and clinical complications and symptoms. Results We identified 19 studies reporting incidence of antiviral resistance. Meta-analysis of 15 studies yielded a pooled incidence rate for oseltamivir resistance of 2.6% (95%CI 0.7% to 5.5%. The incidence rate for all zanamivir resistance studies was 0%. Only one study measured incidence of antiviral

  18. Pharmacokinetics of oseltamivir in infants under the age of 1 year.

    Science.gov (United States)

    Dixit, Rashmi; Matthews, Slade; Khandaker, Gulam; Walker, Karen; Festa, Marino; Booy, Robert

    2016-12-01

    Oseltamivir is the only antiviral treatment recommended for influenza in young children over the age of 1 year. There is scant data on oseltamivir pharmacokinetics (PK) in infants clearance in infants time points afterwards, to calculate Cmax (ng/mL), Tmax (h), AUC0-t (ng h/mL) and time for AUC (h). Four children with influenza A received oral oseltamivir, 2.35-3 mg/kg/dose. This dose range produced a target oseltamivir carboxylate plasma concentration in excess of the proposed 12-h target AUC of 3800 ng h/mL, selected from earlier studies to avert resistance. One patient developed GIT adverse event: dry retching. Oseltamivir was well tolerated at a dose of 2.35-3 mg/kg/dose twice a day in infants under the age of 1 year. In general agreement with earlier data, these doses produced a target oseltamivir carboxylate plasma exposure in excess of the proposed 12-h target exposure of AUC equal to 3800 ng h/mL in two patients. The limited plasma concentration data in the remaining two patients were not inconsistent with the target exposure being reached.

  19. Antiviral resistance and the control of pandemic influenza.

    Directory of Open Access Journals (Sweden)

    Marc Lipsitch

    2007-01-01

    Full Text Available The response to the next influenza pandemic will likely include extensive use of antiviral drugs (mainly oseltamivir, combined with other transmission-reducing measures. Animal and in vitro studies suggest that some strains of influenza may become resistant to oseltamivir while maintaining infectiousness (fitness. Use of antiviral agents on the scale anticipated for the control of pandemic influenza will create an unprecedented selective pressure for the emergence and spread of these strains. Nonetheless, antiviral resistance has received little attention when evaluating these plans.We designed and analyzed a deterministic compartmental model of the transmission of oseltamivir-sensitive and -resistant influenza infections during a pandemic. The model predicts that even if antiviral treatment or prophylaxis leads to the emergence of a transmissible resistant strain in as few as 1 in 50,000 treated persons and 1 in 500,000 prophylaxed persons, widespread use of antivirals may strongly promote the spread of resistant strains at the population level, leading to a prevalence of tens of percent by the end of a pandemic. On the other hand, even in circumstances in which a resistant strain spreads widely, the use of antivirals may significantly delay and/or reduce the total size of the pandemic. If resistant strains carry some fitness cost, then, despite widespread emergence of resistance, antivirals could slow pandemic spread by months or more, and buy time for vaccine development; this delay would be prolonged by nondrug control measures (e.g., social distancing that reduce transmission, or use of a stockpiled suboptimal vaccine. Surprisingly, the model suggests that such nondrug control measures would increase the proportion of the epidemic caused by resistant strains.The benefits of antiviral drug use to control an influenza pandemic may be reduced, although not completely offset, by drug resistance in the virus. Therefore, the risk of resistance

  20. Oseltamivir Prophylaxis Reduces Inflammation and Facilitates Establishment of Cross-Strain Protective T Cell Memory to Influenza Viruses.

    Directory of Open Access Journals (Sweden)

    Nicola L Bird

    Full Text Available CD8(+ T cells directed against conserved viral regions elicit broad immunity against distinct influenza viruses, promote rapid virus elimination and enhanced host recovery. The influenza neuraminidase inhibitor, oseltamivir, is prescribed for therapy and prophylaxis, although it remains unclear how the drug impacts disease severity and establishment of effector and memory CD8(+ T cell immunity. We dissected the effects of oseltamivir on viral replication, inflammation, acute CD8(+ T cell responses and the establishment of immunological CD8(+ T cell memory. In mice, ferrets and humans, the effect of osteltamivir on viral titre was relatively modest. However, prophylactic oseltamivir treatment in mice markedly reduced morbidity, innate responses, inflammation and, ultimately, the magnitude of effector CD8(+ T cell responses. Importantly, functional memory CD8(+ T cells established during the drug-reduced effector phase were capable of mounting robust recall responses. Moreover, influenza-specific memory CD4(+ T cells could be also recalled after the secondary challenge, while the antibody levels were unaffected. This provides evidence that long-term memory T cells can be generated during an oseltamivir-interrupted infection. The anti-inflammatory effect of oseltamivir was verified in H1N1-infected patients. Thus, in the case of an unpredicted influenza pandemic, while prophylactic oseltamivir treatment can reduce disease severity, the capacity to generate memory CD8(+ T cells specific for the newly emerged virus is uncompromised. This could prove especially important for any new influenza pandemic which often occurs in separate waves.

  1. Understanding the cross-resistance of oseltamivir to H1N1 and H5N1 influenza A neuraminidase mutations using multidimensional computational analyses

    Directory of Open Access Journals (Sweden)

    Singh A

    2015-07-01

    Full Text Available Ashona Singh, Mahmoud E Soliman School of Health Sciences, University of KwaZulu-Natal, Westville, Durban, South Africa Abstract: This study embarks on a comprehensive description of the conformational contributions to resistance of neuraminidase (N1 in H1N1 and H5N1 to oseltamivir, using comparative multiple molecular dynamic simulations. The available data with regard to elucidation of the mechanism of resistance as a result of mutations in H1N1 and H5N1 neuraminidases is not well established. Enhanced post-dynamic analysis, such as principal component analysis, solvent accessible surface area, free binding energy calculations, and radius of gyration were performed to gain a precise insight into the binding mode and origin of resistance of oseltamivir in H1N1 and H5N1 mutants. Three significant features reflecting resistance in the presence of mutations H274Y and I222K, of the protein complexed with the inhibitor are: reduced flexibility of the a-carbon backbone; an improved ΔEele of ~15 (kcal/mol for H1N1 coupled with an increase in ΔGsol­ (~13 kcal/mol from wild-type to mutation; a low binding affinity in comparison with the wild-type of ~2 (kcal/mol and ~7 (kcal/mol with respect to each mutation for the H5N1 systems; and reduced hydrophobicity of the overall surface structure due to an impaired hydrogen bonding network. We believe the results of this study will ultimately provide a useful insight into the structural landscape of neuraminidase-associated binding of oseltamivir. Furthermore, the results can be used in the design and development of potent inhibitors of neuraminidases. Keywords: neuraminidase, molecular dynamics, resistance, mutation, binding free energy

  2. Therapeutic targeting of Neu1 sialidase with oseltamivir phosphate (Tamiflu® disables cancer cell survival in human pancreatic cancer with acquired chemoresistance

    Directory of Open Access Journals (Sweden)

    O’Shea LK

    2014-01-01

    Full Text Available Leah K O'Shea,1 Samar Abdulkhalek,1 Stephanie Allison,2 Ronald J Neufeld,2 Myron R Szewczuk11Department of Biomedical and Molecular Sciences, 2Department of Chemical Engineering, Queen's University, Kingston, ON, CanadaBackground: Resistance to drug therapy, along with high rates of metastasis, contributes to the low survival rate in patients diagnosed with pancreatic cancer. An alternate treatment for human pancreatic cancer involving targeting of Neu1 sialidase with oseltamivir phosphate (Tamiflu® was investigated in human pancreatic cancer (PANC1 cells with acquired resistance to cisplatin and gemcitabine. Its efficacy in overcoming the intrinsic resistance of the cell to chemotherapeutics and metastasis was evaluated.Methods: Microscopic imaging, immunocytochemistry, immunohistochemistry, and WST-1 cell viability assays were used to evaluate cell survival, morphologic changes, and expression levels of E-cadherin, N-cadherin, and VE-cadherin before and after treatment with oseltamivir phosphate in PANC1 cells with established resistance to cisplatin, gemcitabine, or a combination of the two agents, and in archived paraffin-embedded PANC1 tumors grown in RAGxCγ double mutant mice.Results: Oseltamivir phosphate overcame the chemoresistance of PANC1 to cisplatin and gemcitabine alone or in combination in a dose-dependent manner, and disabled the cancer cell survival mechanism(s. Oseltamivir phosphate also reversed the epithelial-mesenchymal transition characteristic of the phenotypic E-cadherin to N-cadherin changes associated with resistance to drug therapy. Low-dose oseltamivir phosphate alone or in combination with gemcitabine in heterotopic xenografts of PANC1 tumors growing in RAGxCγ double mutant mice did not prevent metastatic spread to the liver and lung.Conclusion: Therapeutic targeting of Neu1 sialidase with oseltamivir phosphate at the growth factor receptor level disables the intrinsic signaling platform for cancer cell survival

  3. Drug susceptibility of influenza A/H3N2 strains co-circulating during 2009 influenza pandemic: first report from Mumbai.

    Science.gov (United States)

    Gohil, Devanshi J; Kothari, Sweta T; Shinde, Pramod S; Chintakrindi, Anand S; Meharunkar, Rhuta; Warke, Rajas V; Kanyalkar, Meena A; Chowdhary, Abhay S; Deshmukh, Ranjana A

    2015-01-01

    From its first instance in 1977, resistance to amantadine, a matrix (M2) inhibitor has been increasing among influenza A/H3N2, thus propelling the use of oseltamivir, a neuraminidase (NA) inhibitor as a next line drug. Information on drug susceptibility to amantadine and neuraminidase inhibitors for influenza A/H3N2 viruses in India is limited with no published data from Mumbai. This study aimed at examining the sensitivity to M2 and NA inhibitors of influenza A/H3N2 strains isolated from 2009 to 2011 in Mumbai. Nasopharyngeal swabs positive for influenza A/H3N2 virus were inoculated on Madin-Darby canine kidney (MDCK) cell line for virus isolation. Molecular analysis of NA and M2 genes was used to detect known mutations contributing to resistance. Resistance to neuraminidase was assayed using a commercially available chemiluminescence based NA-Star assay kit. Genotypically, all isolates were observed to harbor mutations known to confer resistance to amantadine. However, no know mutations conferring resistance to NA inhibitors were detected. The mean IC50 value for oseltamivir was 0.25 nM. One strain with reduced susceptibility to the neuraminidase inhibitor (IC₅₀=4.08 nM) was isolated from a patient who had received oseltamivir treatment. Phylogenetic analysis postulate the emergence of amantadine resistance in Mumbai may be due to genetic reassortment with the strains circulating in Asia and North America. Surveillance of drug susceptibility helped us to identify an isolate with reduced sensitivity to oseltamivir. Therefore, we infer that such surveillance would help in understanding possible trends underlying the emergence of resistant variants in humans. Copyright © 2014 Elsevier B.V. All rights reserved.

  4. Oseltamivir Prophylaxis Reduces Inflammation and Facilitates Establishment of Cross-Strain Protective T Cell Memory to Influenza Viruses

    OpenAIRE

    Bird, Nicola L.; Olson, Matthew R.; Hurt, Aeron C.; Oshansky, Christine M.; Oh, Ding Yuan; Reading, Patrick C.; Chua, Brendon Y.; Sun, Yilun; Tang, Li; Handel, Andreas; Jackson, David C.; Turner, Stephen J.; Thomas, Paul G.; Kedzierska, Katherine

    2015-01-01

    CD8(+) T cells directed against conserved viral regions elicit broad immunity against distinct influenza viruses, promote rapid virus elimination and enhanced host recovery. The influenza neuraminidase inhibitor, oseltamivir, is prescribed for therapy and prophylaxis, although it remains unclear how the drug impacts disease severity and establishment of effector and memory CD8(+) T cell immunity. We dissected the effects of oseltamivir on viral replication, inflammation, acute CD8(+) T cell r...

  5. OSELTAMIVIR APPLICATION AMONG HIV-INFECTED CHILDREN, SUFFERING FROM THE FLU

    Directory of Open Access Journals (Sweden)

    Yu.A. Fomin

    2007-01-01

    Full Text Available The article provides the Oseltamivir application experience in flu treatment among HIV-infected children. The researchers showed that Oseltamivir is an effective medication for the given category of patients, reducing duration of the catarrhal syndrome and intoxication signs. The undesirable phenomena related to the medication intake proved to be transient and called for no cancellation of its use.Key words: children, hiv infection, flu, Oseltamivir.

  6. Mixture toxicity of the antiviral drug Tamiflu (oseltamivir ethylester) and its active metabolite oseltamivir acid

    Energy Technology Data Exchange (ETDEWEB)

    Escher, Beate I., E-mail: b.escher@uq.edu.au [University of Queensland, National Research Centre for Environmental Toxicology (Entox), 39 Kessels Rd, Brisbane, Qld 4108 (Australia); Eawag, Swiss Federal Institute of Aquatic Science and Technology, 8600 Duebendorf (Switzerland); Bramaz, Nadine; Lienert, Judit; Neuwoehner, Judith [Eawag, Swiss Federal Institute of Aquatic Science and Technology, 8600 Duebendorf (Switzerland); Straub, Juerg Oliver [F.Hoffmann-La Roche Ltd, Corporate Safety, Health and Environmental Protection, 4070 Basel (Switzerland)

    2010-02-18

    Tamiflu (oseltamivir ethylester) is an antiviral agent for the treatment of influenza A and B. The pro-drug Tamiflu is converted in the human body to the pharmacologically active metabolite, oseltamivir acid, with a yield of 75%. Oseltamivir acid is indirectly photodegradable and slowly biodegradable in sewage works and sediment/water systems. A previous environmental risk assessment has concluded that there is no bioaccumulation potential of either of the compounds. However, little was known about the ecotoxicity of the metabolite. Ester hydrolysis typically reduces the hydrophobicity and thus the toxicity of a compound. In this case, a zwitterionic, but overall neutral species is formed from the charged parent compound. If the speciation and predicted partitioning into biological membranes is considered, the metabolite may have a relevant contribution to the overall toxicity. These theoretical considerations triggered a study to investigate the toxicity of oseltamivir acid (OA), alone and in binary mixtures with its parent compound oseltamivir ethylester (OE). OE and OA were found to be baseline toxicants in the bioluminescence inhibition test with Vibrio fischeri. Their mixture effect lay between predictions for concentration addition and independent action for the mixture ratio excreted in urine and nine additional mixture ratios of OE and OA. In contrast, OE was an order of magnitude more toxic than OA towards algae, with a more pronounced effect when the direct inhibition of photosystem II was used as toxicity endpoint opposed to the 24 h growth rate endpoint. The binary mixtures in this assay yielded experimental mixture effects that agreed with predictions for independent action. This is consistent with the finding that OE exhibits slightly enhanced toxicity, while OA acts as baseline toxicant. Therefore, with respect to mixture classification, the two compounds can be considered as acting according to different modes of toxic action, although there are

  7. Coadministration of Hedera helix L. Extract Enabled Mice to Overcome Insufficient Protection against Influenza A/PR/8 Virus Infection under Suboptimal Treatment with Oseltamivir.

    Science.gov (United States)

    Hong, Eun-Hye; Song, Jae-Hyoung; Shim, Aeri; Lee, Bo-Ra; Kwon, Bo-Eun; Song, Hyuk-Hwan; Kim, Yeon-Jeong; Chang, Sun-Young; Jeong, Hyeon Gun; Kim, Jong Geal; Seo, Sang-Uk; Kim, HyunPyo; Kwon, YongSoo; Ko, Hyun-Jeong

    2015-01-01

    Several anti-influenza drugs that reduce disease manifestation exist, and although these drugs provide clinical benefits in infected patients, their efficacy is limited by the emergence of drug-resistant influenza viruses. In the current study, we assessed the therapeutic strategy of enhancing the antiviral efficacy of an existing neuraminidase inhibitor, oseltamivir, by coadministering with the leaf extract from Hedera helix L, commonly known as ivy. Ivy extract has anti-inflammatory, antibacterial, antifungal, and antihelminthic properties. In the present study, we investigated its potential antiviral properties against influenza A/PR/8 (PR8) virus in a mouse model with suboptimal oseltamivir that mimics a poor clinical response to antiviral drug treatment. Suboptimal oseltamivir resulted in insufficient protection against PR8 infection. Oral administration of ivy extract with suboptimal oseltamivir increased the antiviral activity of oseltamivir. Ivy extract and its compounds, particularly hedrasaponin F, significantly reduced the cytopathic effect in PR8-infected A549 cells in the presence of oseltamivir. Compared with oseltamivir treatment alone, coadministration of the fraction of ivy extract that contained the highest proportion of hedrasaponin F with oseltamivir decreased pulmonary inflammation in PR8-infected mice. Inflammatory cytokines and chemokines, including tumor necrosis factor-alpha and chemokine (C-C motif) ligand 2, were reduced by treatment with oseltamivir and the fraction of ivy extract. Analysis of inflammatory cell infiltration in the bronchial alveolar of PR8-infected mice revealed that CD11b+Ly6G+ and CD11b+Ly6Cint cells were recruited after virus infection; coadministration of the ivy extract fraction with oseltamivir reduced infiltration of these inflammatory cells. In a model of suboptimal oseltamivir treatment, coadministration of ivy extract fraction that includes hedrasaponin F increased protection against PR8 infection that could be

  8. Coadministration of Hedera helix L. Extract Enabled Mice to Overcome Insufficient Protection against Influenza A/PR/8 Virus Infection under Suboptimal Treatment with Oseltamivir.

    Directory of Open Access Journals (Sweden)

    Eun-Hye Hong

    Full Text Available Several anti-influenza drugs that reduce disease manifestation exist, and although these drugs provide clinical benefits in infected patients, their efficacy is limited by the emergence of drug-resistant influenza viruses. In the current study, we assessed the therapeutic strategy of enhancing the antiviral efficacy of an existing neuraminidase inhibitor, oseltamivir, by coadministering with the leaf extract from Hedera helix L, commonly known as ivy. Ivy extract has anti-inflammatory, antibacterial, antifungal, and antihelminthic properties. In the present study, we investigated its potential antiviral properties against influenza A/PR/8 (PR8 virus in a mouse model with suboptimal oseltamivir that mimics a poor clinical response to antiviral drug treatment. Suboptimal oseltamivir resulted in insufficient protection against PR8 infection. Oral administration of ivy extract with suboptimal oseltamivir increased the antiviral activity of oseltamivir. Ivy extract and its compounds, particularly hedrasaponin F, significantly reduced the cytopathic effect in PR8-infected A549 cells in the presence of oseltamivir. Compared with oseltamivir treatment alone, coadministration of the fraction of ivy extract that contained the highest proportion of hedrasaponin F with oseltamivir decreased pulmonary inflammation in PR8-infected mice. Inflammatory cytokines and chemokines, including tumor necrosis factor-alpha and chemokine (C-C motif ligand 2, were reduced by treatment with oseltamivir and the fraction of ivy extract. Analysis of inflammatory cell infiltration in the bronchial alveolar of PR8-infected mice revealed that CD11b+Ly6G+ and CD11b+Ly6Cint cells were recruited after virus infection; coadministration of the ivy extract fraction with oseltamivir reduced infiltration of these inflammatory cells. In a model of suboptimal oseltamivir treatment, coadministration of ivy extract fraction that includes hedrasaponin F increased protection against PR8

  9. Chemical stability of oseltamivir in oral solutions.

    Science.gov (United States)

    Albert, K; Bockshorn, J

    2007-09-01

    The stability of oseltamivir in oral aqueous solutions containing the preservative sodium benzoate was studied by a stability indicating HPLC-method. The separation was achieved on a RP-18 ec column using a gradient of mobile phase A (aqueous solution of 50 mM ammonium acetate) and mobile phase B (60% (v/v) acetonitrile/40% (v/v) mobile phase A). The assay was subsequently validated according to the ICH guideline Q2(R1). The extemporaneously prepared "Oseltamivir Oral Solution 15 mg/ml for Adults or for Children" (NRF 31.2.) according to the German National Formulary ("Neues Rezeptur-Formularium") was stable for 84 days if stored under refrigeration. After storage at 25 degrees C the content of oseltamivir decreased to 98.4%. Considering the toxicological limit of 0.5% of the 5-acetylamino derivative (the so-called isomer I) the solution is stable for 46 days. Oseltamivir was less stable in a solution prepared with potable water instead of purified water. Due to an increasing pH the stability of this solution decreased to 14 days. Furthermore a white precipitate of mainly calcium phosphate was observed. The addition of 0.1% anhydrous citric acid avoided these problems and improved the stability of the solution prepared with potable water to 63 days. Sodium benzoate was stable in all oral solutions tested.

  10. Potential adverse effects of oseltamivir in rats: males are more vulnerable than females.

    Science.gov (United States)

    El-Sayed, Wael M; Al-Kahtani, Mohamed Ali

    2011-09-01

    Oseltamivir is the most widely used antiviral drug for the treatment and prophylaxis of influenza. However, not much is known about its adverse effects. The potential side effects were investigated in male and female rats (140-170 g). Oseltamivir was administered at 2.2 mg·kg(-1)·day(-1) for 5 days. For both genders, treatment with oseltamivir resulted in significant reductions in the hepatic activities of glutathione reductase, glutathione peroxidase, and glutathione S-transferase. Also for both genders, oseltamivir produced modest reductions in the hepatic activities of UDP-glucuronosyltransferase, quinone oxidoreductase, thioredoxin reductase, CYP1A1/2, and CYP3A, as well as hepatic glutathione content. For both genders, neither the kidney functions nor protein profile was affected by oseltamivir. Oseltamivir also caused significant elevation in serum levels of both triacylglycerols and LDL-cholesterol and in the activity of γ-glutamyl transpeptidase, in both genders. For male animals only, oseltamivir treatment elevated the serum level of total cholesterol as well as the activity of serum alanine aminotransferase, and reduced the hepatic activities of superoxide dismutase and catalase. Oseltamivir caused oxidative stress and acute toxicity in the liver, and disrupted the cholesterol and lipid metabolism but was less likely to cause serious drug interactions. There was a sexual differentiation in these adverse effects, with adverse effects being more evident in male rats.

  11. Combined administration of oseltamivir and hochu-ekki-to (TJ-41) dramatically decreases the viral load in lungs of senescence-accelerated mice during influenza virus infection.

    Science.gov (United States)

    Ohgitani, Eriko; Kita, Masakazu; Mazda, Osam; Imanishi, Jiro

    2014-02-01

    To enhance the effect of anti-influenza-virus agent treatment, the effect of combined administration of oseltamivir phosphate and hochu-ekki-to (Japanese traditional herbal medicine, HET) on early viral clearance was examined. Senescence-accelerated mice were given HET in drinking water for 2 weeks, followed by intranasal infection with influenza A virus strain PR8. After 4 hours of infection, oseltamivir was administered orally for 5 days. The viral loads in the lungs of the group receiving combined treatment were dramatically lower when compared with the viral loads in the lungs of the group receiving oseltamivir alone. HET significantly increased the induction of IL-1β and TNF-α in the lungs of PR8-infected mice and stimulated alveolar macrophage phagocytosis. From these results, we conclude that these functions may be responsible the increased effect on viral load reduction. Here, we show that the combined administration of oseltamivir and HET is very useful for influenza treatment in senescence-accelerated mice.

  12. Removal of the antiviral agent oseltamivir and its biological activity by oxidative processes

    International Nuclear Information System (INIS)

    Mestankova, Hana; Schirmer, Kristin; Escher, Beate I.; Gunten, Urs von

    2012-01-01

    The antiviral agent oseltamivir acid (OA, the active metabolite of Tamiflu ® ) may occur at high concentrations in wastewater during pandemic influenza events. To eliminate OA and its antiviral activity from wastewater, ozonation and advanced oxidation processes were investigated. For circumneutral pH, kinetic measurements yielded second-order rate constants of 1.7 ± 0.1 × 10 5 and 4.7 ± 0.2 × 10 9 M −1 s −1 for the reaction of OA with ozone and hydroxyl radical, respectively. During the degradation of OA by both oxidants, the antiviral activity of the treated aqueous solutions was measured by inhibition of neuraminidase activity of two different viral strains. A transient, moderate (two-fold) increase in antiviral activity was observed in solutions treated up to a level of 50% OA transformation, while for higher degrees of transformation the activity corresponded to that caused exclusively by OA. OA was efficiently removed by ozonation in a wastewater treatment plant effluent, suggesting that ozonation can be applied to remove OA from wastewater. - Highlights: ► Oseltamivir acid (OA) is oxidized by ozone and hydroxyl radical. ► Kinetics: We determined rate constants for the reaction with these oxidants. ► The specific activity of OA as neuraminidase inhibitor disappeared during oxidation. ► Ozonation and advanced oxidation can effectively remove OA from wastewaters. - Ozone and hydroxyl radical treatment processes can degrade aqueous oseltamivir acid and remove its antiviral activity.

  13. Genetic characterization of circulating seasonal Influenza A viruses (2005-2009) revealed introduction of oseltamivir resistant H1N1 strains during 2009 in eastern India.

    Science.gov (United States)

    Agrawal, Anurodh S; Sarkar, Mehuli; Ghosh, Swati; Roy, Tapasi; Chakrabarti, Sekhar; Lal, Renu; Mishra, Akhilesh C; Chadha, Mandeep S; Chawla-Sarkar, Mamta

    2010-12-01

    Influenza surveillance was implemented in Kolkata, eastern India in 2005 to identify the circulating subtypes and characterize their genetic diversity. Throat and nasal swabs were collected from outpatients with influenza-like illness (ILI). Of 2844 ILI cases identified at two referral hospitals during October 2005-September 2009, 309 (10.86%) were positive for Influenza A by real time RT-PCR, of which 110 (35.60%) were subtyped as H1N1 and 199 (64.40%) as H3N2. Comparison of the nucleotide (nt) and amino acid (aa) sequences of the HA1 gene for H1N1 and H3N2 strains showed that a subset of strains precede WHO recommended contemporary strains by 1-2 years. The Kolkata H1N1 strains clustered in Clade II, subgroup 2B with A/Brisbane/59/2007 but were distant from the corresponding vaccine strains (New Caledonia/20/99 and A/Solomon Island/3/06). The 2005-06 and 2007 H3N2 strains (15/17) clustered either A/Brisbane/10/2007-like (n=8) or A/Nepal/921/2006 like (n=7) strains, whereas 2008 strains (8/12) and 2009 strains (4/4) were similar to the 2010-11 vaccine strain A/Perth/16/2009. More aa substitutions were found in HA or NA genes of H3N2 than in H1N1 strains. No mutation conferring neuraminidase resistance was observed in any of the strain during 2005-08, however in 2009, drug resistant marker (H275Y) was present in seasonal H1N1, but not in co-circulating H3N2 strains. This is the first report of genetic characterization of circulating Influenza A strains from India. The results also highlight the importance of continuing Influenza surveillance in developing countries of Asia for monitoring unusual strains with pandemic potential and mutations conferring antiviral resistance. Copyright © 2010 Elsevier B.V. All rights reserved.

  14. The anti-influenza drug oseltamivir evokes hypothermia in mice through dopamine D2 receptor activation via central actions

    Directory of Open Access Journals (Sweden)

    Akihiro Fukushima

    2018-01-01

    Full Text Available Oseltamivir has a hypothermic effect in mice when injected intraperitoneally (i.p. and intracerebroventricularly (i.c.v.. Here we show that the hypothermia evoked by i.c.v.-oseltamivir is inhibited by non-selective dopamine receptor antagonists (sulpiride and haloperidol and the D2-selective antagonist L-741,626, but not by D1/D5-selective and D3-selective antagonists (SCH-23390 and SB-277011-A, respectively. The hypothermic effect of i.p.-administered oseltamivir was not inhibited by sulpiride, haloperidol, L-741,626 and SCH-23390. In addition, neither sulpiride, haloperidol nor SCH-23390 blocked hypothermia evoked by i.c.v.-administered oseltamivir carboxylate (a hydrolyzed metabolite of oseltamivir. These results suggest that oseltamivir in the brain induces hypothermia through activation of dopamine D2 receptors.

  15. Oseltamivir compounding in the hospital pharmacy during the (H1N1 influenza pandemic

    Directory of Open Access Journals (Sweden)

    Márcia Lúcia de Mário Marin

    2010-01-01

    Full Text Available AIMS: Pandemics impose large demands on the health care system. The supply of appropriate chemotherapeutic agents, namely oseltamivir solution, presented a serious challenge in the recent influenza pandemic. This study reports on the rational series of pharmacotechnical steps that were followed to appropriately handle bulk oseltamivir powder to meet the increased demand. METHODS: During a six-week period in August and September of 2009, a task force was created in the Central Pharmacy of Hospital das Clínicas to convert imported oseltamivir phosphate into ready-to-use solution for utilization by physicians and public health authorities. The protocol included dissolution, physico-chemical tests and the bottling of a liquid microdose formulation for emergency room and outpatient dispensing with adequate quality control during all phases. RESULTS: The successful production routine was based on a specially designed flowchart according to which a batch of 33210 g of oseltamivir powder was converted into 32175 solution units during the aforementioned period with a net loss of only 2.6%. The end products were bottles containing 50 ml of 15 mg/mL oseltamivir solution. The measured concentration was stable and accurate (97.5% - 102.0% of the nominal value. The drug was prescribed as both a prophylactic and therapeutic agent. DISCUSSION: Hospital pharmacies are conventionally engaged in the manipulation of medical prescriptions and specialty drugs. They are generally responsible for only small-scale equipment used for manufacturing and quality-control procedures. The compounding of oseltamivir was a unique effort dictated by exceptional circumstances. CONCLUSION: The shortage of oseltamivir solution for clinical use was solved by emergency operationalization of a semi-industrial process in which bulk powder was converted into practical vials for prompt delivery.

  16. Determining the Quality of Oseltamivir (Tamiflu)

    Centers for Disease Control (CDC) Podcasts

    The possibility of an avian flu pandemic has given Tamiflu attention. Because of fear of a pandemic, this drug has been in high demand. Unfortunately, this demand has prompted production of counterfeit Tamiflu. CDC's Dr. Mike Green discusses a test that is simple and affordable and can test the quality of products purported to be oseltamivir (Tamiflu).

  17. The stimulatory effects of caffeine with oseltamivir (Tamiflu) on light-dark behavior and open-field behavior in mice.

    Science.gov (United States)

    Uchiyama, Hidemori; Toda, Akihisa; Imoto, Masumi; Nishimura, Satoko; Kuroki, Hiroaki; Soeda, Shinji; Shimeno, Hiroshi; Watanabe, Shigenori; Eyanagi, Reiko

    2010-01-22

    Abnormal behaviors and death associated with the use of oseltamivir (Tamiflu) have emerged as a major issue in influenza patients taking the drug. Here, we investigated the mechanisms underlying the effects of oseltamivir on the behavior of mice using light-dark and open-field preference tests. Oseltamivir (75 and 150 mg/kg, intraperitoneally (i.p.)) alone affected neither time spent in the open area in the light-dark preference test nor ambulation in the open-field test at 2h post-injection. However, a non-selective adenosine A(1)/A(2) receptor antagonist, caffeine (10mg/kg, i.p.) in combination with oseltamivir (150 mg/kg, i.p.) increased time spent in the open area in the light-dark preference test. This enhancement was not inhibited by a benzodiazepine receptor antagonist, flumazenil (10-20mg/kg, subcutaneously (s.c.)). Enhancement of ambulation in the open-field test was also observed when caffeine (10mg/kg, i.p.) was combined with oseltamivir (150 mg/kg, i.p.). This enhancement was inhibited by a dopamine D(2) receptor antagonist, haloperidol (0.1mg/kg, s.c.). Furthermore, an adenosine A(2) receptor antagonist, SCH58261 (3mg/kg, i.p.) in combination with oseltamivir (150 mg/kg, i.p.) increased ambulation in the open-field test, while an adenosine A(1) receptor antagonist, DPCPX (1-3mg/kg, i.p.) did not. These findings suggest that the actions of oseltamivir may involve the dopamine and adenosine systems. Our findings suggest that due to the interaction between central blockade of adenosine A(2) receptors by caffeine, and oseltamivir-induced behavioral changes, patients being treated with oseltamivir should be closely monitored. (c) 2009 Elsevier Ireland Ltd. All rights reserved.

  18. A practical and azide-free synthetic approach to oseltamivir from diethyl D-tartrate.

    Science.gov (United States)

    Weng, Jiang; Li, Yong-Bo; Wang, Rui-Bin; Li, Feng-Quan; Liu, Can; Chan, Albert S C; Lu, Gui

    2010-05-07

    A short and practical synthesis of oseltamivir was accomplished in 11 steps from inexpensive and abundant diethyl D-tartrate starting material. This azide-free route featured an asymmetric aza-Henry reaction and a domino nitro-Michael/Horner-Wadsworth-Emmons (HWE) reaction as the key steps to construct the relevant cyclohexene ring of the product, which provided an economical and practical alternative for the synthesis of oseltamivir.

  19. Prolonged influenza virus shedding and emergence of antiviral resistance in immunocompromised patients and ferrets.

    Directory of Open Access Journals (Sweden)

    Erhard van der Vries

    Full Text Available Immunocompromised individuals tend to suffer from influenza longer with more serious complications than otherwise healthy patients. Little is known about the impact of prolonged infection and the efficacy of antiviral therapy in these patients. Among all 189 influenza A virus infected immunocompromised patients admitted to ErasmusMC, 71 were hospitalized, since the start of the 2009 H1N1 pandemic. We identified 11 (15% cases with prolonged 2009 pandemic virus replication (longer than 14 days, despite antiviral therapy. In 5 out of these 11 (45% cases oseltamivir resistant H275Y viruses emerged. Given the inherent difficulties in studying antiviral efficacy in immunocompromised patients, we have infected immunocompromised ferrets with either wild-type, or oseltamivir-resistant (H275Y 2009 pandemic virus. All ferrets showed prolonged virus shedding. In wild-type virus infected animals treated with oseltamivir, H275Y resistant variants emerged within a week after infection. Unexpectedly, oseltamivir therapy still proved to be partially protective in animals infected with resistant virus. Immunocompromised ferrets offer an attractive alternative to study efficacy of novel antiviral therapies.

  20. Surveillance van het verloop van influenza-uitbraken en oseltamivir gebruik in verpleeg- en verzorgingshuizen in Nederland

    NARCIS (Netherlands)

    van Gageldonk-Lafeber AB; van der Sande MAB; van Vliet JA; Koopmans MPG; Ruijs WLM; Meijer A; Wilbrink B; van der Plas SM; CIE; LIS

    2006-01-01

    Er is nog niet met zekerheid vastgesteld of het middel oseltamivir griepuitbraken in verpleeg- en verzorgingshuizen verkort. De lage vaccinatiegraad onder het personeel en verlate inzet van oseltamivir veroorzaken deze onzekerheid. Dit blijkt uit een surveillance in negen zorginstellingen in het

  1. Review of potential drug interaction between Oseltamivir and Warfarin and why it is important for emergency medicine physicians.

    Science.gov (United States)

    Shah, Siddharth P; Patel, Kinner M; Subedi, Rogin; Gambhir, Harvir Singh

    2017-08-01

    Oseltamivir is a very commonly prescribed anti-viral medication by the Emergency Medicine (EM) physicians for the prophylactic and therapeutic treatment of Influenza infection. While the drug interaction of Warfarin with various antibiotics is known, the drug interaction between Oseltamivir and Warfarin is not common. We present a case where an 83-year female patient, on Warfarin for Pulmonary Embolism, had worsening of coagulopathy after she was started on Oseltamivir. The INR was monitored daily in our patient and Warfarin was stopped when the INR became supra-therapeutic. Our patient did not have any minor or major bleeding complication. This is the first reported case of Oseltamivir related worsening coagulopathy in patient on Warfarin to the best of our knowledge. Keeping in mind the possible interaction between the two as it was evident in our case and few other published reports, we recommend monitoring the INR closely in patients using Warfarin after they are started on Oseltamivir therapy. Copyright © 2017 Elsevier Inc. All rights reserved.

  2. Oseltamivir and Neuropsychiatric Behaviors – A Case Report on an Adolescent Teen and Evaluation of the Literature

    Directory of Open Access Journals (Sweden)

    Tsz-Yin SO

    2009-11-01

    Full Text Available OBJECTIVE: To illustrate a case of oseltamivir induced neuropsychiatric behaviors in an adolescent teen. CASE SUMMARY: A 15-year-old previously healthy adolescent presented to the emergency department with acute onset of altered mental status after taking two doses of oseltamivir prescribed to him by his primary care physician for presumed influenza infection. A thorough examination at the hospital, which included a urine drug screen, complete blood count, complete metabolic panel, urine and blood cultures, head computed tomography, and chest radiograph, did not indicate any other clinical conditions that could explain his abnormal behaviors. No other medications were given to him in the hospital. About 20 hours after the last dose of oseltamivir, he awoke from a nap and his mental status was completely back to baseline. He had no memory of the events transpired in the past 24 hours and was discharged home with no further incidence.DISSCUSION: Oseltamivir is an anti-viral agent that is often used as treatment and prophylaxis for influenza infection. Neuropsychiatric adverse events such as hallucination and delirium can potentially occur with this agent. This rare adverse event may be due to the binding of the medication to the enzyme sialidase causing increase in dopamine activity. Most of the reports were in young Japanese children less than 16 years old. Some studies have shown a causal relationship with oseltamivir leading to this adverse event, while some have failed to do so, probably due to flaws in their analytical method. The Naranjo ADR probability scale showed a possible causality between neuropsychiatric behaviors and oseltamivir administration in this patient.CONCLUSIONS: Oseltamivir is an effective anti-viral for influenza infection if started early in the course of the illness. Clinicians should monitor for neuropsychiatric symptoms when starting patients on this medication.

  3. Cross-resistance of bisultap resistant strain of Nilaparvata lugens and its biochemical mechanism.

    Science.gov (United States)

    Ling, Shanfeng; Zhang, Runjie

    2011-02-01

    The resistant (R) strain of the planthopper Nilaparvata lugens (Stål) selected for bisultap resistance displayed 7.7-fold resistance to bisultap and also had cross-resistance to nereistoxin (monosultap, thiocyclam, and cartap), chlorpyrifos, dimethoate, and malathion but no cross-resistance to buprofezin, imidacloprid, and fipronil. To find out the biochemical mechanism of resistance to bisultap, biochemical assay was done. The results showed that cytochrome P450 monooxygenases (P450) activity in R strain was 2.71-fold that in susceptible strain (S strain), in which the changed activity for general esterase (EST) was 1.91 and for glutathione S-transferases only 1.32. Piperonyl butoxide (PBO) could significantly inhibit P450 activity (percentage of inhibition [PI]: 37.31%) in the R strain, with ESTs PI = 16.04% by triphenyl phosphate (TPP). The results also demonstrated that diethyl maleate had no synergism with bisultap. However, PBO displayed significant synergism in three different strains, and the synergism increased with resistance (S strain 1.42, Lab strain, 2.24 and R strain, 3.23). TPP also showed synergism for three strains, especially in R strain (synergistic ratio = 2.47). An in vitro biochemical study and in vivo synergistic study indicated that P450 might be play important role in the biochemical mechanism of bisultap resistance and that esterase might be the important factor of bisultap resistance. Acetylcholinesterase (AChE) insensitivity play important role in bisultap resistance. We suggest that buprofezin, imidacloprid, and fipronil could be used in resistance management programs for N. lugens via alternation and rotation with bisultap.

  4. A cluster of patients infected with I221V influenza b virus variants with reduced oseltamivir susceptibility--North Carolina and South Carolina, 2010-2011.

    Science.gov (United States)

    Garg, Shikha; Moore, Zack; Lee, Nicole; McKenna, John; Bishop, Amber; Fleischauer, Aaron; Springs, Chasisity B; Nguyen, Ha T; Sheu, Tiffany G; Sleeman, Katrina; Finelli, Lyn; Gubareva, Larisa; Fry, Alicia M

    2013-03-15

    During 2010-2011, influenza B viruses with a novel neuraminidase substitution, denoted I221V (B/I221V), associated with reduced in vitro oseltamivir susceptibility were detected in North Carolina. We determined the prevalence of I221V among B viruses submitted to the Centers for Disease Control and Prevention for antiviral resistance surveillance, including all B viruses submitted to North Carolina and South Carolina state laboratories, during October 2010-September 2011.We conducted chart reviews and telephone interviews to characterize North Carolina and South Carolina patients with B/I221V vs wild-type B virus infection (B/WT). We detected I221V in 45 (22%) of 209 B viruses from North Carolina and 8 (10%) of 82 B viruses from South Carolina. We detected I221V in 3 (0.3%) of 881 B viruses tested from 45 other states. B/I221V infection was not associated with differences in underlying conditions or illness severity, compared with B/WT infection. No patients with B/I221V infection received oseltamivir prior to specimen collection. Among patients who completed oseltamivir, those with B/I221V infection reported a longer duration until illness resolution (5 vs 3 days; P = .02). B/I221V cocirculated with B/WT in North Carolina and South Carolina during 2010-2011. I221V did not alter illness severity but may have reduced oseltamivir effectiveness. Thus, global surveillance for I221V is important.

  5. Determining the Quality of Oseltamivir (Tamiflu)

    Centers for Disease Control (CDC) Podcasts

    2008-02-04

    The possibility of an avian flu pandemic has given Tamiflu attention. Because of fear of a pandemic, this drug has been in high demand. Unfortunately, this demand has prompted production of counterfeit Tamiflu. CDC's Dr. Mike Green discusses a test that is simple and affordable and can test the quality of products purported to be oseltamivir (Tamiflu).  Created: 2/4/2008 by Emerging Infectious Diseases.   Date Released: 2/20/2008.

  6. Infection of inbred rat strains with Rift Valley fever virus: development of a congenic resistant strain and observations on age-dependence of resistance.

    Science.gov (United States)

    Anderson, G W; Rosebrock, J A; Johnson, A J; Jennings, G B; Peters, C J

    1991-05-01

    A congenic rat strain (WF.LEW) was derived from the susceptible Wistar-Furth (WF) (background strain) and the resistant LEW (donor strain) inbred strains and was used to evaluate the phenotypic expression of a dominant Mendelian gene that confers resistance to fatal hepatic disease caused by the ZH501 strain of Rift Valley fever virus (RVFV). Resistance to hepatic disease developed gradually with age, with full expression at approximately 10 weeks in the WF.LEW and LEW rat strains. The ZH501 strain caused fatal hepatitis in WF rats regardless of age. However, resistance to the SA75 RVFV strain (relatively non-pathogenic for adult rats), was age- and dose-dependent in both WF and LEW rats. The resistance gene transferred to the newly derived WF.LEW congenic rat strain appears to amplify age-dependent resistance of adult rats, resulting in protection against fatal hepatic disease caused by the virulent ZH501 strain. The congenic rat strain will be a valuable asset in elucidating the mechanism of resistance to Rift Valley fever virus governed by the dominant Mendelian gene.

  7. Oseltamivir (Tamiflu-induced bilateral acute angle closure glaucoma and transient myopia

    Directory of Open Access Journals (Sweden)

    Ji Woong Lee

    2014-01-01

    Full Text Available A 27-year-old woman developed bilateral acute angle closure glaucoma (AACG and transient myopia after taking oseltamivir for four days. On the fourth day, she received systemic and topical intraocular pressure (IOP-lowering agents, and IOP decreased in both eyes. However, her visual acuity was unchanged. A myopic shift of -5.25 D OD and -5.0 D OS was estimated to have occurred in the acute phase. A-scan ultrasonography and Pentacam showed markedly shallow anterior chambers and increased lens thickness. Ultrasound biomicroscopy revealed an annular ciliochoroidal effusion with forward displacement of the lens-iris diaphragm. Ciliochoroidal effusion and transient myopia were resolved after discontinuation of oseltamivir.

  8. 5-Fluorouracil-resistant strain of Methanobacterium thermoautortrophicum

    International Nuclear Information System (INIS)

    Nagle, D.P. Jr.; Teal, R.; Eisenbraun, A.

    1987-01-01

    Growth of Methanobacterium thermoautotrophicum Marburg is inhibited by the pyrimidine, 5-fluorouracil (FU). It was shown previously that methanogenesis is not inhibited to the same extent as growth. A spontaneously occurring FU-resistant strain (RTAE-1) was isolated from a culture of strain Marburg. The growth of both strains was inhibited by 5-fluorodeoxyuridine but not 5-fluorocytosine, and the wild type was more susceptible to inhibition by 5-azauracil and 6-azauracil than was strain RTAE-1. The cellular targets for the pyrimidine analogs are not known. When the accumulation of 14 C-labeled uracil or FU by the two strains was compared, the wilt type took up 15-fold more radiolabel per cell than did the FU-resistant strain. In the wild type, radiolabel from uracil was incorporated into the soluble pool, RNA, and DNA. The metabolism of uracil appeared to involve a uracil phosphoribosyltransferase activity. Strain Marburg extracts contained this enzyme, whereas FU-resistant strain RTAE-1 extracts had less than 1/10 as much activity. Although it is possible that a change in permeability to the compounds plays a role in the stable resistance of strain RTAE-1, the fact that it lacks the ability to metabolize pyrimidines to nucleotides is sufficient to account for its phenotype

  9. 5-Fluorouracil-resistant strain of Methanobacterium thermoautotrophicum.

    Science.gov (United States)

    Nagle, D P; Teal, R; Eisenbraun, A

    1987-09-01

    Growth of Methanobacterium thermoautotrophicum Marburg is inhibited by the pyrimidine, 5-fluorouracil (FU). It was shown previously that methanogenesis is not inhibited to the same extent as growth. A spontaneously occurring FU-resistant strain (RTAE-1) was isolated from a culture of strain Marburg. The growth of both strains was inhibited by 5-fluorodeoxyuridine but not 5-fluorocytosine, and the wild type was more susceptible to inhibition by 5-azauracil and 6-azauracil than was strain RTAE-1. The cellular targets for the pyrimidine analogs are not known. When the accumulation of 14C-labeled uracil or FU by the two strains was compared, the wild type took up 15-fold more radiolabel per cell than did the FU-resistant strain. In the wild type, radiolabel from uracil was incorporated into the soluble pool, RNA, and DNA. The metabolism of uracil appeared to involve a uracil phosphoribosyltransferase activity. Strain Marburg extracts contained this enzyme, whereas FU-resistant strain RTAE-1 extracts had less than 1/10 as much activity. Although it is possible that a change in permeability to the compounds plays a role in the stable resistance of strain RTAE-1, the fact that it lacks the ability to metabolize pyrimidines to nucleotides is sufficient to account for its phenotype.

  10. Using high-throughput sequencing to leverage surveillance of genetic diversity and oseltamivir resistance: a pilot study during the 2009 influenza A(H1N1 pandemic.

    Directory of Open Access Journals (Sweden)

    Juan Téllez-Sosa

    Full Text Available BACKGROUND: Influenza viruses display a high mutation rate and complex evolutionary patterns. Next-generation sequencing (NGS has been widely used for qualitative and semi-quantitative assessment of genetic diversity in complex biological samples. The "deep sequencing" approach, enabled by the enormous throughput of current NGS platforms, allows the identification of rare genetic viral variants in targeted genetic regions, but is usually limited to a small number of samples. METHODOLOGY AND PRINCIPAL FINDINGS: We designed a proof-of-principle study to test whether redistributing sequencing throughput from a high depth-small sample number towards a low depth-large sample number approach is feasible and contributes to influenza epidemiological surveillance. Using 454-Roche sequencing, we sequenced at a rather low depth, a 307 bp amplicon of the neuraminidase gene of the Influenza A(H1N1 pandemic (A(H1N1pdm virus from cDNA amplicons pooled in 48 barcoded libraries obtained from nasal swab samples of infected patients (n  =  299 taken from May to November, 2009 pandemic period in Mexico. This approach revealed that during the transition from the first (May-July to second wave (September-November of the pandemic, the initial genetic variants were replaced by the N248D mutation in the NA gene, and enabled the establishment of temporal and geographic associations with genetic diversity and the identification of mutations associated with oseltamivir resistance. CONCLUSIONS: NGS sequencing of a short amplicon from the NA gene at low sequencing depth allowed genetic screening of a large number of samples, providing insights to viral genetic diversity dynamics and the identification of genetic variants associated with oseltamivir resistance. Further research is needed to explain the observed replacement of the genetic variants seen during the second wave. As sequencing throughput rises and library multiplexing and automation improves, we foresee that

  11. Targeted antiviral prophylaxis with oseltamivir in a summer camp setting.

    Science.gov (United States)

    Kimberlin, David W; Escude, Janell; Gantner, Janel; Ott, Jeanne; Dronet, Melissa; Stewart, Timothy A; Jester, Penelope; Redden, David T; Chapman, Whitney; Hammond, Rob

    2010-04-01

    To describe the effectiveness of containment of novel influenza A(H1N1) infection at a summer camp. Targeted use of oseltamivir phosphate by individuals in close contact with influenza-confirmed cases. Boys' camp in Alabama in July 2009. A total of 171 campers, 48 camp counselors, and 27 camp staff. Campers with confirmed influenza received oseltamivir and were immediately isolated and sent home. All boys and counselors in the infected child's adjoining cabins received prophylactic oseltamivir for 10 days, including 8 campers at higher risk for influenza infection (eg, those with asthma, seizure disorder, or diabetes). Alcohol-based hand sanitizer was provided at each of the daily activities, in the boys' cabins, and in the dining hall, and counselors were educated by the medical staff on the spread of influenza and its prevention through good hand hygiene. All cabins, bathrooms, and community sports equipment were sprayed or wiped down with disinfectant each day. Main Outcome Measure Virologic confirmation of influenza. Three of the 171 campers tested positive for influenza A during the course of the 2-week fourth session, for an attack rate of 1.8%. The probability of observing 3 or fewer infected campers if the attack rate was 12% is less than 1 in 10,000,000 (P hand sanitization and surface decontamination, a targeted approach to antiviral prophylaxis contained the spread of influenza in a summer camp setting.

  12. Oseltamivir for treatment and prevention of pandemic influenza A/H1N1 virus infection in households, Milwaukee, 2009

    Directory of Open Access Journals (Sweden)

    Miller Joel C

    2010-07-01

    Full Text Available Abstract Background During an influenza pandemic, a substantial proportion of transmission is thought to occur in households. We used data on influenza progression in individuals and their contacts collected by the City of Milwaukee Health Department (MHD to study the transmission of pandemic influenza A/H1N1 virus in 362 households in Milwaukee, WI, and the effects of oseltamivir treatment and chemoprophylaxis. Methods 135 households had chronological information on symptoms and oseltamivir usage for all household members. The effect of oseltamivir treatment and other factors on the household secondary attack rate was estimated using univariate and multivariate logistic regression with households as the unit of analysis. The effect of oseltamivir treatment and other factors on the individual secondary attack rate was estimated using univariate and multivariate logistic regression with individual household contacts as the unit of analysis, and a generalized estimating equations approach was used to fit the model to allow for clustering within households. Results Oseltamivir index treatment on onset day or the following day (early treatment was associated with a 42% reduction (OR: 0.58, 95% CI: 0.19, 1.73 in the odds of one or more secondary infections in a household and a 50% reduction (OR: 0.5, 95% CI: 0.17, 1.46 in the odds of a secondary infection in individual contacts. The confidence bounds are wide due to a small sample of households with early oseltamivir index usage - in 29 such households, 5 had a secondary attack. Younger household contacts were at higher risk of infection (OR: 2.79, 95% CI: 1.50-5.20. Conclusions Early oseltamivir treatment may be beneficial in preventing H1N1pdm influenza transmission; this may have relevance to future control measures for influenza pandemics. Larger randomized trials are needed to confirm this finding statistically.

  13. Dissemination of antibiotic resistance in methicillin-resistant Staphylococcus aureus and vancomycin-resistant S aureus strains isolated from hospital effluents.

    Science.gov (United States)

    Mandal, Santi M; Ghosh, Ananta K; Pati, Bikas R

    2015-12-01

    Vancomycin-resistant Staphylococcus aureus (VRSA) and methicillin-resistant S aureus (MRSA) strains were examined in hospital effluents. Most S aureus strains are resistant to methicillin (MRSA), followed by tetracycline. Approximately 15% of MRSA strains are also resistant to vancomycin (VRSA). All VRSA strains developed a VanR/VanS-regulated 2-component system of VanA-type resistance in their genome. Results indicate that there is a possibility of developing resistance to aminoglycosides by VRSA strains in the near future. Copyright © 2015 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  14. Biodistribution and metabolism of the anti-influenza drug [{sup 11}C]oseltamivir and its active metabolite [{sup 11}C]Ro 64-0802 in mice

    Energy Technology Data Exchange (ETDEWEB)

    Hatori, Akiko; Arai, Takuya; Yanamoto, Kazuhiko; Yamasaki, Tomoteru; Kawamura, Kazunori; Yui, Joji; Konno, Fujiko; Nakao, Ryuji; Suzuki, Kazutoshi [Department of Molecular Probes, Molecular Imaging Center, National Institute of Radiological Sciences (NIRS), Inage-ku, Chiba 263-8555 (Japan); Zhang Mingrong [Department of Molecular Probes, Molecular Imaging Center, National Institute of Radiological Sciences (NIRS), Inage-ku, Chiba 263-8555 (Japan)], E-mail: zhang@nirs.go.jp

    2009-01-15

    Introduction: Oseltamivir phosphate (Tamiflu) is an orally active anti-influenza drug, which is hydrolyzed by esterase to its carboxylate metabolite Ro 64-0802 with potent activity to inhibit the influenza virus. The abnormal behavior and death associated with the use of oseltamivir have developed into a major problem in Japan where Tamiflu is often prescribed for seasonal influenza. It is critical to determine the amount of oseltamivir and Ro 64-0802 in the human brain and to elucidate the relationship between their amounts and neuropsychiatric side effects. The aim of this study was to evaluate [{sup 11}C]oseltamivir and [{sup 11}C]Ro 64-0802 in mice as promising positron emission tomography (PET) ligands for measuring their amounts in living brains. Methods: Whole-body biodistribution of [{sup 11}C]oseltamivir and [{sup 11}C]Ro 64-0802 was determined in mice using the dissection method and micro-PET. In vitro and in vivo metabolite assay was performed in the plasma and brain of mice. Results: Between 1 and 60 min after injection of [{sup 11}C]oseltamivir and [{sup 11}C]Ro 64-0802, 0.20-0.06% and 0.39-0.03% ID/g were detected in the mouse brains, respectively (dissection method). Radioactivity concentrations in the living brains between 0 and 90 min after injection were measured at standardized uptake values of 0.25-0.05 for [{sup 11}C]oseltamivir and 0.38-0.02 for [{sup 11}C]Ro 64-0802 (micro-PET). In vivo metabolite assay demonstrated the presence of [{sup 11}C]oseltamivir and [{sup 11}C]Ro 64-0802 in the brains after [{sup 11}C]oseltamivir injection. Conclusion: This study determined the distribution and metabolism of [{sup 11}C]oseltamivir and [{sup 11}C]Ro 64-0802 in mice. PET could be used to measure their amounts in the living brain and to elucidate the relationship between the amounts in the brain and the side effects of Tamiflu in the central nervous system.

  15. Linezolid susceptibility in Helicobacter pylori, including strains with multidrug resistance.

    Science.gov (United States)

    Boyanova, Lyudmila; Evstatiev, Ivailo; Gergova, Galina; Yaneva, Penka; Mitov, Ivan

    2015-12-01

    Only a few studies have evaluated Helicobacter pylori susceptibility to linezolid. The aim of the present study was to assess linezolid susceptibility in H. pylori, including strains with double/multidrug resistance. The susceptibility of 53 H. pylori strains was evaluated by Etest and a breakpoint susceptibility testing method. Helicobacter pylori resistance rates were as follows: amoxicillin, 1.9%; metronidazole, 37.7%; clarithromycin, 17.0%; tetracycline, 1.9%; levofloxacin, 24.5%; and linezolid (>4 mg/L), 39.6%. The linezolid MIC50 value was 31.2-fold higher than that of clarithromycin and 10.5-fold higher than that of levofloxacin; however, 4 of 11 strains with double/multidrug resistance were linezolid-susceptible. The MIC range of the oxazolidinone agent was larger (0.125-64 mg/L) compared with those in the previous two reports. The linezolid resistance rate was 2.2-fold higher in metronidazole-resistant strains and in strains resistant to at least one antibiotic compared with the remaining strains. Briefly, linezolid was less active against H. pylori compared with clarithromycin and levofloxacin, and linezolid resistance was linked to resistance to metronidazole as well as to resistance to at least one antibiotic. However, linezolid activity against some strains with double/multidrug resistance may render the agent appropriate to treat some associated H. pylori infections following in vitro susceptibility testing of the strains. Clinical trials are required to confirm this suggestion. Copyright © 2015 Elsevier B.V. and the International Society of Chemotherapy. All rights reserved.

  16. "Behaviour changes in Permethrin-resistant strain of Anopheles Stephensi "

    Directory of Open Access Journals (Sweden)

    Vatandoost H

    2000-09-01

    Full Text Available Behaviour studies indicated that the permethrin resistant strin of An. Stephensi was 3-fold resistant to knock-down compared with the susceptible strain. The resistant strain was however 3-fold less irritable to permethrin and less responsive than the susceptible strain to the movement of an aspirator. If reduced irritability and reduced responsiveness to catch are consequences of the changes in the nervous system, then such a form of resistance may be disadvantageous to mosquitoes in natural populations.

  17. Antibiotic resistance of Enterobacteriaceae strains isolated from different animals gastrointestinal tracts

    Directory of Open Access Journals (Sweden)

    Lukáš Hleba

    2015-05-01

    Full Text Available In this study we monitored antibiotic resistance in Enterobacteriaceae strains isolated from different animals gastrointestinal tracts  (GIT. We isolated Enterobacteriaceae from chicken, ducks, lambs, pigs, sheeps, cows and rabbits collected from slovakian farms. Enterobacteriaceae strains were cultivated on MacConkey agar at 35° ± 2°C at 24 hours. Pure cultures of Enterobacteriaceae strains were obtained by four-way streak method on Chromogenic coliform agar. Identification of purified Enterobacteriaceae strains were done by Enterotest 24 and MALDI TOF MS. For susceptibility testing disk diffusion method was used according by EUCAST. We determined the most resistance in Enterobacteriaceae strains against streptomycin, tetracycline, ampicillin, piperecillin, levofloxacine, chloramphenicol and smaller level of resistance against amikacin, ceftriaxone and ofloxacine. Equally we detected resistance to more antibiotics in one strain. The most resistance was Salmonella enterica ser. Typhimurium. Also E. coli was resistance against four antibiotics and Raoultella ornithinolytica too. Antibiotic resistance was found in other isolated strains too.

  18. Antimicrobial resistance of bacterial strains isolated from avian cellulitis

    Directory of Open Access Journals (Sweden)

    MM Santos

    2014-03-01

    Full Text Available Avian cellulitis is an inflammatory process in the subcutaneous tissue, mainly located in the abdomen and thighs. This problem is commonly observed in poultry at slaughter and it is considered one of the major causes of condemnation of carcasses in Brazil. The aim of this study was to perform the microbial isolation of lesions of avian cellulitis from a processing plant located in the State of Goiás in order to analyze antimicrobial resistance by antibiogram test and to detect resistance genes by polymerase chain reaction. A total of 25 samples of avian cellulitis lesions were analyzed, from which 30 bacterial strains were isolated. There were eleven (44% strains of Escherichia coli, nine (36% strains of Staphylococcus epidermidis, seven (28% strains of Proteus mirabilis and three (12% strains of Manheimiahaemolytica. The antibiogram test showed that all strains were resistant to at least one antimicrobial. The gene of antimicrobial resistance tetB was detected in E. coli, S. epidermidis and P. mirabilis strains, and was the most frequently observed gene. The gene of antimicrobial resistance Sul1 was detected in all bacterial species, while tetA was found in E. coli and S. epidermidis strains, SHV in E. coli strains, S. epidermidis and P. mirabilis,and cat1 in one P. mirabilis strain. The results suggest a potential public health hazard due to the ability of these microorganisms to transmit antimicrobial resistancegenes to other microorganisms present in the intestinal tract of humans and animals, which may affect clinical-medical usage of these drugs.

  19. Oseltamivir use and severe abnormal behavior in Japanese children and adolescents with influenza: Is a self-controlled case series study applicable?

    Science.gov (United States)

    Fukushima, Wakaba; Ozasa, Kotaro; Okumura, Akihisa; Mori, Masaaki; Hosoya, Mitsuaki; Nakano, Takashi; Tanabe, Takuya; Yamaguchi, Naoto; Suzuki, Hiroshi; Mori, Mitsuru; Hatayama, Hideaki; Ochiai, Hirotaka; Kondo, Kyoko; Ito, Kazuya; Ohfuji, Satoko; Nakamura, Yosikazu; Hirota, Yoshio

    2017-08-24

    Since the 1990s, self-controlled designs including self-controlled case series (SCCS) studies have been occasionally used in post-marketing evaluation of drug or vaccine safety. An SCCS study was tentatively applied to evaluate the relationship between oseltamivir use and abnormal behavior Type A (serious abnormal behavior potentially leading to an accident or harm to another person) in influenza patients. From the original prospective cohort study with approximately 10,000 Japanese children and adolescents with influenza (aged collaborating hospitals/clinics were analyzed. We hypothesized four combination patterns of the effect period (i.e., the period that effect of oseltamivir on occurrence of abnormal behavior Type A is likely) and the control period. Mantel-Haenszel rate ratio (M-H RR) and its 95% confidence interval (CI) were calculated as the relative risk estimate. Among 28 subjects in the SCCS study, 24 subjects (86%) were administered oseltamivir and 4 subjects (14%) were not. Abnormal behavior Type A was more likely to occur in the effect period than the control period in every pattern (M-H RR: 1.90-29.1). We observed the highest estimate when the effect period was set between the initial intake of oseltamivir and T max (M-H RR: 29.1, 95% CI: 4.21-201). Abnormal behavior Type A was more likely to develop up to approximately 30 times during the period between the initial intake of oseltamivir and T max . However, this period overlapped with the early period of influenza where high fever was observed. Since useful approaches to control the influence of the natural disease course of influenza were not available in this study, we could not deny the possibility that abnormal behavior was induced by influenza itself. The SCCS study was not an optimal method to evaluate the relationship between oseltamivir use and abnormal behavior. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Antimicrobial Resistance of Staphylococcal Strains Isolated from Various Pathological Products

    Directory of Open Access Journals (Sweden)

    Laura-Mihaela SIMON

    2010-12-01

    Full Text Available Background: The optimal choice of antimicrobial therapy is an important problem in hospital environment in which the selection of resistant and virulent strains easy occurs. S. aureus and especially MRSA(methicillin-resistant S. aureus creates difficulties in both treatment and prevention of nosocomial infections. Aim: The purpose of this study is to determine the sensitivity and the resistance to chemotherapy of staphylococci strains isolated from various pathological products. Material and Method: We identified Staphylococccus species after morphological appearance, culture properties, the production of coagulase, hemolisines and the enzyme activity. The susceptibility tests were performed on Mueller-Hinton medium according to CLSI (Clinical and Laboratory Standards Institute. Results: The strains were: MSSA (methicillin-susceptible S. aureus (74%, MRSA (8%, MLS B (macrolides, lincosamides and type B streptogramines resistance (12% and MRSA and MLS B (6%. MRSA strains were more frequently isolated from sputum. MRSA associated with the MLS B strains were more frequently isolated from pus. MLS B strains were more frequently isolated from sputum and throat secretions. All S. aureus strains were susceptible to vancomycin and teicoplanin. Conclusions: All staphylococcal infections require resistance testing before treatment. MLS B shows a high prevalence among strains of S. aureus. The association between MLS B and MRSA remains a major problem in Romania.

  1. Influenza virus drug resistance: a time-sampled population genetics perspective.

    Directory of Open Access Journals (Sweden)

    Matthieu Foll

    2014-02-01

    Full Text Available The challenge of distinguishing genetic drift from selection remains a central focus of population genetics. Time-sampled data may provide a powerful tool for distinguishing these processes, and we here propose approximate Bayesian, maximum likelihood, and analytical methods for the inference of demography and selection from time course data. Utilizing these novel statistical and computational tools, we evaluate whole-genome datasets of an influenza A H1N1 strain in the presence and absence of oseltamivir (an inhibitor of neuraminidase collected at thirteen time points. Results reveal a striking consistency amongst the three estimation procedures developed, showing strongly increased selection pressure in the presence of drug treatment. Importantly, these approaches re-identify the known oseltamivir resistance site, successfully validating the approaches used. Enticingly, a number of previously unknown variants have also been identified as being positively selected. Results are interpreted in the light of Fisher's Geometric Model, allowing for a quantification of the increased distance to optimum exerted by the presence of drug, and theoretical predictions regarding the distribution of beneficial fitness effects of contending mutations are empirically tested. Further, given the fit to expectations of the Geometric Model, results suggest the ability to predict certain aspects of viral evolution in response to changing host environments and novel selective pressures.

  2. A new ion selective electrode method for determination of oseltamivir phosphate (Tamiflu and its pharmaceutical applications

    Directory of Open Access Journals (Sweden)

    Salem M. Hamza

    2017-02-01

    The construction and electrochemical response characteristics of poly vinyl chloride (PVC membrane sensors for the determination of (OP were described. The sensors are based on the use of the ion association complexes of (OP cation with sodium tetraphenylborate–oseltamivir phosphate (NaTPB–OP, tungestosilisate–oseltamivir phosphate (TS–OP, phosphomolbdate–oseltamivir phosphate (PM–OP and phosphotungestate–oseltamivir phosphate (PT–OP as ion exchange sites in the PVC matrix. The performance characteristics of these sensors, which were evaluated according to IUPAC recommendations, reveal a fast, stable and linear response for (OP over the concentration range from 10−5 to 10−2 mol L−1 with cationic slopes of 51.5 ± 0.3, 50 ± 0.5, 55 ± 0.2 and 50 ± 0.4 mV per decade across an extended OP concentration range from 1.0 × 10−6 to 1.0 × 10−2 mol L−1 for NaTPB–OP, TS–OP, PM–OP and PT–OP, respectively. The direct potentiometric determination of (OP using the proposed sensors gave average recoveries of 99.9, 99.8, 99.9 and 99.7 for NaTPB–OP, TS–OP, PM–OP and PT–OP, respectively. The sensors are used for determination of (OP in tablets. The method was successfully applied to commercial pharmaceuticals, Tamiflu. Validation of the method shows suitability of the proposed sensors for use in the quality control assessment of (OP. The developed method was found to be simple, accurate and precise when compared with a reported HPLC method.

  3. Synthesis, Isolation and Characterization of Process-Related Impurities in Oseltamivir Phosphate

    Directory of Open Access Journals (Sweden)

    Yogesh Kumar Sharma

    2012-01-01

    Full Text Available Three known impurities in oseltamivir phosphate bulk drug at level 0.1% (ranging from 0.05-0.1% were detected by gradient reverse phase high performance liquid chromatography. These impurities were preliminarily identified by the mass number of the impurities. Different experiments were conducted and finally the known impurities were synthesized and characterized.

  4. Low-temperature resistance of cyclically strained aluminum

    International Nuclear Information System (INIS)

    Segal, H.R.; Richard, T.G.

    1977-01-01

    An experimental study of the resistance changes in high-purity, reinforced aluminum due to cyclic straining is presently underway. The purpose of this work is to determine the optimum purity of aluminum to be used as a stabilizing material for superconducting magnets used for energy storage. Since pure aluminum has a low yield strength, it is not capable of supporting the stress levels in an energized magnet. Therefore, it has been bonded to a high-strength material--in this case, 6061 aluminum alloy. This bonding permits pure aluminum to be strained cyclically beyond its elastic limit with recovery of large plastic strains upon release of the load. The resistance change in this composite material is less than that of pure, unreinforced aluminum

  5. Oseltamivir prescription and regulatory actions vis-à-vis abnormal behavior risk in Japan: drug utilization study using a nationwide pharmacy database.

    Science.gov (United States)

    Urushihara, Hisashi; Doi, Yuko; Arai, Masaru; Matsunaga, Toshiyuki; Fujii, Yosuke; Iino, Naoko; Kawamura, Takashi; Kawakami, Koji

    2011-01-01

    In March 2007, a regulatory advisory was issued in Japan to restrict oseltamivir use in children aged 10-19 years because of safety concerns over abnormal behavior. The effectiveness and validity of regulatory risk minimization actions remain to be reviewed, despite their significant public health implications. To assess the impact of the regulatory actions on prescribing practices and safety reporting. METHODOLOY/PRINICPAL FINDINGS: In this retrospective review of a nationwide pharmacy database, we analyzed 100,344 dispensation records for oseltamivir and zanamivir for the period from November 2006 to March 2009. The time trend in dispensations for these antiviral agents was presented before and after the regulatory actions, contrasted with intensity of media coverage and the numbers of spontaneous adverse reaction reports with regard to antivirals. The 2007 regulatory actions, together with its intense media coverage, reduced oseltamivir dispensation in targeted patients in fiscal year 2008 to 20.4% of that in fiscal year 2006, although influenza activities were comparable between these fiscal years. In contrast, zanamivir dispensation increased approximately nine-fold across all age groups. The number of abnormal behavior reports associated with oseltamivir in children aged 10-19 years decreased from fiscal year 2006 to 2008 (24 to 9 cases); this decline was offset by the increased number of reports of abnormal behavior in children under age 10 (12 to 28 cases). The number of reports associated with zanamivir increased in proportion to increased dispensation of this drug (11 to 114 cases). The 2007 actions effectively reduced oseltamivir prescriptions and the number of reports of abnormal behavior in the targeted group. The observed increase in abnormal behavior reports in oseltamivir patients under age 10 and in zanamivir patients suggests that these patient groups may also be at risk, calling into question the validity of the current discrimination by age and

  6. Oseltamivir prescription and regulatory actions vis-à-vis abnormal behavior risk in Japan: drug utilization study using a nationwide pharmacy database.

    Directory of Open Access Journals (Sweden)

    Hisashi Urushihara

    Full Text Available BACKGROUND: In March 2007, a regulatory advisory was issued in Japan to restrict oseltamivir use in children aged 10-19 years because of safety concerns over abnormal behavior. The effectiveness and validity of regulatory risk minimization actions remain to be reviewed, despite their significant public health implications. To assess the impact of the regulatory actions on prescribing practices and safety reporting. METHODOLOY/PRINICPAL FINDINGS: In this retrospective review of a nationwide pharmacy database, we analyzed 100,344 dispensation records for oseltamivir and zanamivir for the period from November 2006 to March 2009. The time trend in dispensations for these antiviral agents was presented before and after the regulatory actions, contrasted with intensity of media coverage and the numbers of spontaneous adverse reaction reports with regard to antivirals. The 2007 regulatory actions, together with its intense media coverage, reduced oseltamivir dispensation in targeted patients in fiscal year 2008 to 20.4% of that in fiscal year 2006, although influenza activities were comparable between these fiscal years. In contrast, zanamivir dispensation increased approximately nine-fold across all age groups. The number of abnormal behavior reports associated with oseltamivir in children aged 10-19 years decreased from fiscal year 2006 to 2008 (24 to 9 cases; this decline was offset by the increased number of reports of abnormal behavior in children under age 10 (12 to 28 cases. The number of reports associated with zanamivir increased in proportion to increased dispensation of this drug (11 to 114 cases. CONCLUSIONS/SIGNIFICANCE: The 2007 actions effectively reduced oseltamivir prescriptions and the number of reports of abnormal behavior in the targeted group. The observed increase in abnormal behavior reports in oseltamivir patients under age 10 and in zanamivir patients suggests that these patient groups may also be at risk, calling into question

  7. Interdisciplinary pharmacometrics linking oseltamivir pharmacology, influenza epidemiology and health economics to inform antiviral use in pandemics.

    Science.gov (United States)

    Kamal, Mohamed A; Smith, Patrick F; Chaiyakunapruk, Nathorn; Wu, David B C; Pratoomsoot, Chayanin; Lee, Kenneth K C; Chong, Huey Yi; Nelson, Richard E; Nieforth, Keith; Dall, Georgina; Toovey, Stephen; Kong, David C M; Kamauu, Aaron; Kirkpatrick, Carl M; Rayner, Craig R

    2017-07-01

    A modular interdisciplinary platform was developed to investigate the economic impact of oseltamivir treatment by dosage regimen under simulated influenza pandemic scenarios. The pharmacology module consisted of a pharmacokinetic distribution of oseltamivir carboxylate daily area under the concentration-time curve at steady state (simulated for 75 mg and 150 mg twice daily regimens for 5 days) and a pharmacodynamic distribution of viral shedding duration obtained from phase II influenza inoculation data. The epidemiological module comprised a susceptible, exposed, infected, recovered (SEIR) model to which drug effect on the basic reproductive number (R 0 ), a measure of transmissibility, was linked by reduction of viral shedding duration. The number of infected patients per population of 100 000 susceptible individuals was simulated for a series of pandemic scenarios, varying oseltamivir dose, R 0 (1.9 vs. 2.7), and drug uptake (25%, 50%, and 80%). The number of infected patients for each scenario was entered into the health economics module, a decision analytic model populated with branch probabilities, disease utility, costs of hospitalized patients developing complications, and case-fatality rates. Change in quality-adjusted life years was determined relative to base case. Oseltamivir 75 mg relative to no treatment reduced the median number of infected patients, increased change in quality-adjusted life years by deaths averted, and was cost-saving under all scenarios; 150 mg relative to 75 mg was not cost effective in low transmissibility scenarios but was cost saving in high transmissibility scenarios. This methodological study demonstrates proof of concept that the disciplines of pharmacology, disease epidemiology and health economics can be linked in a single quantitative framework. © 2017 The British Pharmacological Society.

  8. Strain-dependent electrical resistance of multi-walled carbon nanotube/polymer composite films

    International Nuclear Information System (INIS)

    Park, Myounggu; Kim, Hyonny; Youngblood, Jeffrey P

    2008-01-01

    The strain-dependent electrical resistance characteristics of multi-walled carbon nanotube (MWCNT)/polymer composite films were investigated. In this research, polyethylene oxide (PEO) is used as the polymer matrix. Two representative volume fractions of MWCNT/PEO composite films were selected: 0.56 vol% (near the percolation threshold) and 1.44 vol% (away from the percolation threshold) of MWCNT. An experimental setup which can measure electrical resistance and strain simultaneously and continuously has been developed. Unique and repeatable relationships in resistance versus strain were obtained for multiple specimens with different volume fractions of MWCNT. The overall pattern of electrical resistance change versus strain for the specimens tested consists of linear and nonlinear regions. A resistance change model to describe the combination of linear and nonlinear modes of electrical resistance change as a function of strain is suggested. The unique characteristics in electrical resistance change for different volume fractions imply that MWCNT/PEO composite films can be used as tunable strain sensors and for application into embedded sensor systems in structures

  9. Analysis of differentially expressed genes related to resistance in spinosad- and neonicotinoid-resistant Musca domestica L. (Diptera: Muscidae) strains

    DEFF Research Database (Denmark)

    Castberg, Dorte Heidi Højland; Kristensen, Michael

    2017-01-01

    strains differing significantly in their response to insecticides. High differential expression of P450s and genes coding for cuticle protein indicates a combination of factors involved in metabolic neonicotinoid and spinosad resistance. Conclusion Resistance in these strains is apparently not linked...... interesting in terms of neonicotinoid resistance, while cyp4d9 was overexpressed in 791spin compared to spinosad-susceptible strains. GSTs, ESTs and UGTs were mostly overexpressed, but not to the same degree as P450s. We present a comprehensive and comparative picture of gene expression in three housefly......Background The housefly is a global pest that has developed resistance to most insecticides applied against it. Resistance of the spinosad-resistant strain 791spin and the neonicotinoid-resistant 766b strain is believed to be due to metabolism. We investigate differentially expressed genes...

  10. Resistivity and strain behavior during transformation cycling in nickel-titanium

    International Nuclear Information System (INIS)

    Lee, K.H.

    1983-09-01

    The effects of stress and transformation fatigue cycling on the resistivity and strain behaviors in Ni-Ti wires were studied. The samples consisted of uncycled wires and wires cycled 5.78 million times in shape memory heat engine devices. Measurements of resistivity and strain were made as a function of temperature at various applied uniaxial tensile stresses. The resistivity-temperature and strain-temperature behaviors were observed to depend on the temperature or the portion of the transformation cycle at which the stress change is made. It was found that the low temperature resistivity and strain increased with increasing stress. Also, the transformation fatigue cycled wires showed a higher and broader resistivity peak with two-stage behavior. The increase in strain with increasing stress is explained in terms of the crystallographic multiplicity of martensite plates and the alteration of the martensite plate structure in response to the applied stress. Prior transformation fatigue cycling causes a decrease in the applied stress dependence of the total strain changes. Also, the shape of curve is changed upon annealing and the M/sub S/ temperature is lowered by transformation fatigue cycling. The lower M/sub S/ temperature upon cycling is due to a stabilization of the high-temperature phase due to transformation-induced dislocations acting as an impediment to further martensite nucleation. Another effect of the stress is to increase the resistivity of the low-temperature phase. However, it was noticed that the stress should be increased above M/sub S/ temperature to increase the resistivity of the low temperature phase. The increase in low-temperature resistivity is partially due to the change in form factor during transformation shape change and due to the alteration of the martensite variants in a preferred direction

  11. Clinical Trichophyton rubrum Strain Exhibiting Primary Resistance to Terbinafine

    Science.gov (United States)

    Mukherjee, Pranab K.; Leidich, Steven D.; Isham, Nancy; Leitner, Ingrid; Ryder, Neil S.; Ghannoum, Mahmoud A.

    2003-01-01

    The in vitro antifungal susceptibilities of six clinical Trichophyton rubrum isolates obtained sequentially from a single onychomycosis patient who failed oral terbinafine therapy (250 mg/day for 24 weeks) were determined by broth microdilution and macrodilution methodologies. Strain relatedness was examined by random amplified polymorphic DNA (RAPD) analyses. Data obtained from both broth micro- and macrodilution assays were in agreement and revealed that the six clinical isolates had greatly reduced susceptibilities to terbinafine. The MICs of terbinafine for these strains were >4 μg/ml, whereas they were terbinafine for all six strains were >128 μg/ml, whereas they were 0.0002 μg/ml for the reference strain. The MIC of terbinafine for the baseline strain (cultured at the initial screening visit and before therapy was started) was already 4,000-fold higher than normal, suggesting that this is a case of primary resistance to terbinafine. The results obtained by the broth macrodilution procedure revealed that the terbinafine MICs and MFCs for sequential isolates apparently increased during the course of therapy. RAPD analyses did not reveal any differences between the isolates. The terbinafine-resistant isolates exhibited normal susceptibilities to clinically available antimycotics including itraconazole, fluconazole, and griseofulvin. However, these isolates were fully cross resistant to several other known squalene epoxidase inhibitors, including naftifine, butenafine, tolnaftate, and tolciclate, suggesting a target-specific mechanism of resistance. This is the first confirmed report of terbinafine resistance in dermatophytes. PMID:12499173

  12. Decreased uptake of cadmium by a resistant strain of Staphylococcus aureus

    Energy Technology Data Exchange (ETDEWEB)

    Chopra, I

    1971-01-01

    Penicillinase plasmids, and some related extrachromosomal elements in Staphylococcus aureus, can specify resistance to inorganic ions, including Hg/sup 2 +/ and Cd/sup 2 +/. There is some tentative evidence that resistance to Hg/sup 2 +/ ions is due to the impermeability of the cells to the ions and not to a higher concentration of free -SH groups in resistance to cadmium ions is unknown. Experiments are described which show that there is a markedly decreased rate of uptake of Cd/sup 2 +/ ions by resistant cells when compared with strains that lack the cad-r gene. The uptake of Cd/sup 2 +/ ions has been studied in the cadmium resistant Staphylococcus aureus strain 8325 (..cap alpha...i/sup +/p/sup +/.cad-r.mer-r) and in its cadmium-sensitive derivative, strain 8324(N). Strain 8325(N) was obtained from 8325 (..cap alpha...i/sup +/.cad-r.mer-r) by isolating a variant which had spontaneously lost the ..cap alpha.. penicillinase plasmid specifying resistance to Cd/sup 2 +/ ions. Cadmium uptake was estimated by adding /sup 115m/CdCl/sub 2/ (final concentration: 10/sup -4/M) to exponentially growing cultures of the sensitive and resistant strains and following the uptake of radioactivity.

  13. Influenza A H5N1 clade 2.3.4 virus with a different antiviral susceptibility profile replaced clade 1 virus in humans in northern Vietnam.

    Directory of Open Access Journals (Sweden)

    Mai T Q Le

    2008-10-01

    Full Text Available Prior to 2007, highly pathogenic avian influenza (HPAI H5N1 viruses isolated from poultry and humans in Vietnam were consistently reported to be clade 1 viruses, susceptible to oseltamivir but resistant to amantadine. Here we describe the re-emergence of human HPAI H5N1 virus infections in Vietnam in 2007 and the characteristics of the isolated viruses.Respiratory specimens from patients suspected to be infected with avian influenza in 2007 were screened by influenza and H5 subtype specific polymerase chain reaction. Isolated H5N1 strains were further characterized by genome sequencing and drug susceptibility testing. Eleven poultry outbreak isolates from 2007 were included in the sequence analysis. Eight patients, all of them from northern Vietnam, were diagnosed with H5N1 in 2007 and five of them died. Phylogenetic analysis of H5N1 viruses isolated from humans and poultry in 2007 showed that clade 2.3.4 H5N1 viruses replaced clade 1 viruses in northern Vietnam. Four human H5N1 strains had eight-fold reduced in-vitro susceptibility to oseltamivir as compared to clade 1 viruses. In two poultry isolates the I117V mutation was found in the neuraminidase gene, which is associated with reduced susceptibility to oseltamivir. No mutations in the M2 gene conferring amantadine resistance were found.In 2007, H5N1 clade 2.3.4 viruses replaced clade 1 viruses in northern Vietnam and were susceptible to amantadine but showed reduced susceptibility to oseltamivir. Combination antiviral therapy with oseltamivir and amantadine for human cases in Vietnam is recommended.

  14. Polydimethylsiloxane (PDMS-Based Flexible Resistive Strain Sensors for Wearable Applications

    Directory of Open Access Journals (Sweden)

    Jing Chen

    2018-02-01

    Full Text Available There is growing attention and rapid development on flexible electronic devices with electronic materials and sensing technology innovations. In particular, strain sensors with high elasticity and stretchability are needed for several potential applications including human entertainment technology, human–machine interface, personal healthcare, and sports performance monitoring, etc. This article presents recent advancements in the development of polydimethylsiloxane (PDMS-based flexible resistive strain sensors for wearable applications. First of all, the article shows that PDMS-based stretchable resistive strain sensors are successfully fabricated by different methods, such as the filtration method, printing technology, micromolding method, coating techniques, and liquid phase mixing. Next, strain sensing performances including stretchability, gauge factor, linearity, and durability are comprehensively demonstrated and compared. Finally, potential applications of PDMS-based flexible resistive strain sensors are also discussed. This review indicates that the era of wearable intelligent electronic systems has arrived.

  15. Resistance gene pool to co-trimoxazole in non-susceptible Nocardia strains.

    Directory of Open Access Journals (Sweden)

    Sylvia eValdezate

    2015-04-01

    Full Text Available The soil-borne pathogen Nocardia spp. causes severe cutaneous, pulmonary and central nervous system infections. Against them, co-trimoxazole (SXT constitutes the mainstay of antimicrobial therapy. However, some Nocardia strains show resistance to SXT, but the underlying genetic basis is unknown. We investigated the presence of genetic resistance determinants and class 1-3 integrons in 76 SXT-resistant Nocardia strains by PCR and sequencing. By E-test, these clinical strains showed SXT MICs of ≥32:608 mg/L (ratio of 1:19 for trimethoprim: sulfamethoxazole. They belonged to 12 species, being the main representatives N. farcinica (32%, followed by N. flavorosea (6.5%, N. nova (11.8%, N. carnea (10.5%, N. transvalensis (10.5% and Nocardia spp. (6.5%. The prevalence of resistance genes in the SXT-resistant strains was as follows: sul1 and sul2 93.4% and 78.9% respectively, dfrA(S1 14.7%, blaTEM-1 and blaZ 2.6% and 2.6% respectively, VIM-2 1.3%, aph(3´-IIIa 40.8%, ermA, ermB, mefA and msrD 2.6%, 77.6%, 14.4%, and 5.2% respectively, and tet(O, tet(M, and tet(L 48.6%, 25.0% and 3.9% respectively. Detected amino acid changes in GyrA were not related to fluoroquinolone resistance, but probably linked to species polymorphism. Class 1 and 3 integrons were found in 93.42% and 56.57% strains, respectively. Class 2 integrons and sul3 genes were not detected. Other mechanisms, different than dfrA(S1, dfrD, dfrF, dfrG and dfrK, could explain the strong trimethoprim resistance shown by the other 64 strains. For first time, resistance determinants commonly found in clinically important bacteria were detected in Nocardia spp. sul1, sul2, erm(B and tet(O were the most prevalent in the SXT-resistant strains. The similarity in their resistome could be due to a common genetic platform, in which these determinants are co-transferred

  16. Autosomal male determination in a spinosad-resistant housefly strain from Denmark

    DEFF Research Database (Denmark)

    Højland, Dorte H; Scott, Jeffrey G; Vagn Jensen, Karl-Martin

    2014-01-01

    males in this strain. The factor responsible for spinosad resistance in the strain is unknown, but previous studies suggest a role of cytochrome P450s for detoxification of spinosad. Sex determination in the housefly is controlled by a male-determining factor (M), either located on the Y chromosome......BACKGROUND The housefly, Musca domestica L., is a global pest and has developed resistance to most insecticides applied for its control. The insecticide spinosad plays an important role in housefly control. Females of the Danish housefly strain 791spin are threefold more resistant to spinosad than...... of resistance to spinosad. Sex determination in 791spin is due to a male factor on autosome 3. CONCLUSIONS The most likely explanation for the differentiation of spinosad resistance between males and females is a recessive spinosad resistance factor on autosome III. © 2013 Society of Chemical Industry...

  17. Compliance to oseltamivir among two populations in Oxfordshire, United Kingdom affected by influenza A(H1N1pdm09, November 2009--a waste water epidemiology study.

    Directory of Open Access Journals (Sweden)

    Andrew C Singer

    Full Text Available Antiviral provision remains the focus of many pandemic preparedness plans, however, there is considerable uncertainty regarding antiviral compliance rates. Here we employ a waste water epidemiology approach to estimate oseltamivir (Tamiflu® compliance. Oseltamivir carboxylate (oseltamivir's active metabolite was recovered from two waste water treatment plant (WWTP catchments within the United Kingdom at the peak of the autumnal wave of the 2009 Influenza A (H1N1pdm09 pandemic. Predictions of oseltamivir consumption from detected levels were compared with two sources of national government statistics to derive compliance rates. Scenario and sensitivity analysis indicated between 3-4 and 120-154 people were using oseltamivir during the study period in the two WWTP catchments and a compliance rate between 45-60%. With approximately half the collected antivirals going unused, there is a clear need to alter public health messages to improve compliance. We argue that a near real-time understanding of drug compliance at the scale of the waste water treatment plant (hundreds to millions of people can potentially help public health messages become more timely, targeted, and demographically sensitive, while potentially leading to less mis- and un-used antiviral, less wastage and ultimately a more robust and efficacious pandemic preparedness plan.

  18. Novel pandemic influenza A(H1N1 viruses are potently inhibited by DAS181, a sialidase fusion protein.

    Directory of Open Access Journals (Sweden)

    Gallen B Triana-Baltzer

    2009-11-01

    Full Text Available The recent emergence of a novel pandemic influenza A(H1N1 strain in humans exemplifies the rapid and unpredictable nature of influenza virus evolution and the need for effective therapeutics and vaccines to control such outbreaks. However, resistance to antivirals can be a formidable problem as evidenced by the currently widespread oseltamivir- and adamantane-resistant seasonal influenza A viruses (IFV. Additional antiviral approaches with novel mechanisms of action are needed to combat novel and resistant influenza strains. DAS181 (Fludase is a sialidase fusion protein in early clinical development with in vitro and in vivo preclinical activity against a variety of seasonal influenza strains and highly pathogenic avian influenza strains (A/H5N1. Here, we use in vitro, ex vivo, and in vivo models to evaluate the activity of DAS181 against several pandemic influenza A(H1N1 viruses.The activity of DAS181 against several pandemic influenza A(H1N1 virus isolates was examined in MDCK cells, differentiated primary human respiratory tract culture, ex-vivo human bronchi tissue and mice. DAS181 efficiently inhibited viral replication in each of these models and against all tested pandemic influenza A(H1N1 strains. DAS181 treatment also protected mice from pandemic influenza A(H1N1-induced pathogenesis. Furthermore, DAS181 antiviral activity against pandemic influenza A(H1N1 strains was comparable to that observed against seasonal influenza virus including the H274Y oseltamivir-resistant influenza virus.The sialidase fusion protein DAS181 exhibits potent inhibitory activity against pandemic influenza A(H1N1 viruses. As inhibition was also observed with oseltamivir-resistant IFV (H274Y, DAS181 may be active against the antigenically novel pandemic influenza A(H1N1 virus should it acquire the H274Y mutation. Based on these and previous results demonstrating DAS181 broad-spectrum anti-IFV activity, DAS181 represents a potential therapeutic agent for

  19. The Effect of Tensile Hysteresis and Contact Resistance on the Performance of Strain-Resistant Elastic-Conductive Webbing

    Directory of Open Access Journals (Sweden)

    Tien-Wei Shyr

    2011-01-01

    Full Text Available To use e-textiles as a strain-resistance sensor they need to be both elastic and conductive. Three kinds of elastic-conductive webbings, including flat, tubular, and belt webbings, made of Lycra fiber and carbon coated polyamide fiber, were used in this study. The strain-resistance properties of the webbings were evaluated in stretch-recovery tests and measured within 30% strain. It was found that tensile hysteresis and contact resistance significantly influence the tensile elasticity and the resistance sensitivity of the webbings. The results showed that the webbing structure definitely contributes to the tensile hysteresis and contact resistance. The smaller the friction is among the yarns in the belt webbing, the smaller the tensile hysteresis loss. However the close proximity of the conductive yarns in flat and tubular webbings results in a lower contact resistance.

  20. [Current antibiotic resistance profile of uropathogenic Escherichia coli strains and therapeutic consequences].

    Science.gov (United States)

    El Bouamri, M C; Arsalane, L; Kamouni, Y; Yahyaoui, H; Bennouar, N; Berraha, M; Zouhair, S

    2014-12-01

    Urinary tract infections (UTI) are a very common reason for consultation and prescription in current practice. Excessive or inappropriate use of antibiotics in treating urinary tract infections is responsible for the emergence and spread of multiresistant uropathogenic bacteria. To evaluate the isolation frequency and antibiotic resistance of uropathogenic Escherichia coli strains isolated at the Marrakech region. We conducted a retrospective study over a period of three years (from 1st January 2010 to 31 December 2012). It included all non-redundant uropathogenic E. coli strains isolated in the microbiology laboratory of the Avicenne hospital of Marrakech, Morocco. During this study, 1472 uropathogenic enterobacteriaceae were isolated including 924 non-repetitive E. coli strains, an overall isolation frequency of 63%. Antibiotic resistance of isolated E. coli strains showed resistance rates to amoxicillin (65%), sulfamethoxazole-triméthropime (55%), amoxicillin-clavulanic acid (43%), ciprofloxacin (22%), gentamicin (14%), nitrofurans (11%), amikacin (8%) and fosfomycin (7%). The number of E. coli strains resistant to C3G by ESBL production was 67, an average frequency of 4.5% of all isolated uropathogenic enterobacteria. The associated antibiotic resistance in the case of ESBL-producing E. coli were 82% for ciprofloxacin, 76% for sulfamethozole trimethoprim, 66% for gentamicin and 56% for amikacin. No resistance to imipenem was recorded for the isolated E. coli strains, which represents an imipenem sensitivity of 100%. Antibiotic resistance of uropathogenic E. coli strains limits treatment options and therefore constitutes a real public health problem. The regular updating of antibiotic susceptibility statistics of E. coli strains allows a better adaptation of the probabilistic antibiotic therapy to local epidemiological data. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  1. Resistance to lambda-cyhalothrin in Spanish field populations of Ceratitis capitata and metabolic resistance mediated by P450 in a resistant strain.

    Science.gov (United States)

    Arouri, Rabeh; Le Goff, Gaelle; Hemden, Hiethem; Navarro-Llopis, Vicente; M'saad, Mariem; Castañera, Pedro; Feyereisen, René; Hernández-Crespo, Pedro; Ortego, Félix

    2015-09-01

    The withdrawal of malathion in the European Union in 2009 resulted in a large increase in lambda-cyhalothrin applications for the control of the Mediterranean fruit fly, Ceratitis capitata, in Spanish citrus crops. Spanish field populations of C. capitata have developed resistance to lambda-cyhalothrin (6-14-fold), achieving LC50 values (129-287 ppm) higher than the recommended concentration for field treatments (125 ppm). These results contrast with the high susceptibility to lambda-cyhalothrin found in three Tunisian field populations. We have studied the mechanism of resistance in the laboratory-selected resistant strain W-1Kλ (205-fold resistance). Bioassays with synergists showed that resistance was almost completely suppressed by the P450 inhibitor PBO. The study of the expression of 53 P450 genes belonging to the CYP4, CYP6, CYP9 and CYP12 families in C. capitata revealed that CYP6A51 was overexpressed (13-18-fold) in the resistant strain. The W-1Kλ strain also showed high levels of cross-resistance to etofenprox (240-fold) and deltamethrin (150-fold). Field-evolved resistance to lambda-cyhalothrin has been found in C. capitata. Metabolic resistance mediated by P450 appears to be the main resistance mechanism in the resistant strain W-1Kλ. The levels of cross-resistance found may compromise the effectiveness of other pyrethroids for the control of this species. © 2014 Society of Chemical Industry. © 2014 Society of Chemical Industry.

  2. Genetic relatedness of ciprofloxacin-resistant Shigella dysenteriae type 1 strains isolated in south Asia.

    Science.gov (United States)

    Talukder, Kaisar A; Khajanchi, Bijay K; Islam, M Aminul; Dutta, Dilip K; Islam, Zhahirul; Safa, Ashrafus; Khan, G Y; Alam, Khorshed; Hossain, M A; Malla, Sarala; Niyogi, S K; Rahman, Mustafizur; Watanabe, Haruo; Nair, G Balakrish; Sack, David A

    2004-10-01

    The aim of the present study was to determine the clonal relationships of ciprofloxacin-resistant Shigella dysenteriae type 1 strains isolated from south Asia, and S. dysenteriae 1 strains associated with epidemics in 1978, 1984 and 1994. The antimicrobial susceptibilities were examined by NCCLS methods. Molecular epidemiological characterization was performed by plasmid profiling, pulsed-field gel electrophoresis (PFGE) and mutation analysis of the quinolone resistance-determining region (QRDR) of gyrA by sequencing. Plasmid patterns of the current ciprofloxacin-resistant strains from India, Nepal and Bangladesh were very similar to those of the 1978, 1984 and 1994 epidemic isolates of S. dysenteriae 1, except for the presence of a new plasmid of approximately 2.6 MDa, which was found in one recent ciprofloxacin-resistant strain isolated in Bangladesh. PFGE analysis showed that the ciprofloxacin-resistant strains isolated in Bangladesh, India and Nepal belonged to a PFGE type (type A), which was possibly related to that of the 1984 and 1994 clone of S. dysenteriae 1, but different from 1978 epidemic strains. The current ciprofloxacin-resistant strains belong to five subtypes (A3-A7), all of which were found in India, but in Bangladesh and Nepal, only A3 existed. Mutation analysis of the QRDR of gyrA revealed that amino acid substitutions at positions 83 and 87 of ciprofloxacin-resistant strains isolated in Bangladesh were similar to those of the strains isolated in Nepal, but different (at position 87) from ciprofloxacin-resistant strains isolated in India. PFGE and mutation analysis of gyrA showed differences between the current ciprofloxacin-resistant S. dysenteriae 1 strains isolated in south Asia and those associated with epidemics in 1978, 1984 and 1994.

  3. Genetic basis of resistance to trauma in inbred strains of mice

    International Nuclear Information System (INIS)

    Radojicic, C.; Andric, B.; Simovic, M.; Dujic, A.; Marinkovic, D.

    1990-01-01

    In this study the resistance to mechanical, thermal, and radiation trauma in four inbred strains of mice (AKR, BALB/c, CBA, and C57Bl/6) was compared with the degree of genetic resemblance, by analyzing the allozyme variabilities of these strains. It was shown that the highest degree of genetic resemblance was among CBA and AKR strains, which correlated with a similar degree of resistance to trauma. On the other hand, BALB/c and C57Bl/6 strains expressed significant differences, both genetically and with respect to the responses to trauma. The hypothesis is introduced that the genetic determination of the resistance to trauma is based on: (a) a polygenic control of general physiological homeostasis, with the possibility that (b) some specific genes or single loci may contribute more than others to such adaptations of the strains tested

  4. Endosulfan Resistance Profile of Soil Bacteria and Potential Application of Resistant Strains in Bioremediation

    Directory of Open Access Journals (Sweden)

    Chandini P.K.

    2014-05-01

    Full Text Available In the present study, bacterial strains were isolated from the soils of Wayanad District, Kerala, India and the isolates were tested for their tolerance to endosulfan and potential in bioremediation technology. Pesticide contamination in the soils, soil physico-chemical characteristics and socio-economic impacts of pesticide application were also analyzed. 28 pesticide compounds in the soil samples were analyzed and the results revealed that there was no pesticide residues in the soils. As per the survey conducted the pesticide application is very high in the study area and the level of awareness among the farmers was very poor regarding the method of application and its socio-economic and ecological impacts. A total of 9 bacterial strains were isolated with 50μg/ml of endosulfan in the isolating media and the results showed that most of the bacterial strains were highly resistance to endosulfan. Out of the 9 strains isolated 6 were highly resistant to endosulfan (500- 700μg/ml and the other 3 isolates showed the resistance of 250-500μg/ml. From the studied isolate, isolate 9 demonstrating prolific growth and high resistance was selected to check their capability to degrade endosulfan over time. Identification of the selected strain reveals that it belongs to the genus Bacillus. Results of endosulfan removal studies showed that with increase in time, the biomass of the bacterial strains increased. The complete disappearance of endosulfan from the spiked and inoculated broth during the first day of incubation (24 hour interval was observed. While the control flask showed the presence of endosulfan during the experimental period. Pesticide resistant bacteria are widely distributed in the soils of selected study area and the tolerance varied between bacteria even though they were isolated from the soils of the same area. The selected Bacillus species carry the ability to degrade endosulfan at accelerated rates and it could be useful in framing a

  5. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845.

    Science.gov (United States)

    Marti, Roger; Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H; Hummerjohann, Jörg

    2017-08-01

    We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. Copyright © 2017 Marti et al.

  6. High incidence of multidrug-resistant strains of methicill inresistant ...

    African Journals Online (AJOL)

    Infections of methicillin-resistant Staphylococcus aureus (MRSA) are becoming an increasingly concerning clinical problem. The aim of this study was to assess the development of multidrug resistant strains of MRSA from clinical samples andpossibilities for reducing resistance. This study included a total of seventy-five (75) ...

  7. Resistance Pattern and Molecular Characterization of Enterotoxigenic Escherichia coli (ETEC Strains Isolated in Bangladesh.

    Directory of Open Access Journals (Sweden)

    Yasmin A Begum

    Full Text Available Enterotoxigenic Escherichia coli (ETEC is a common cause of bacterial infection leading to acute watery diarrhea in infants and young children as well as in travellers to ETEC endemic countries. Ciprofloxacin is a broad-spectrum antimicrobial agent nowadays used for the treatment of diarrhea. This study aimed to characterize ciprofloxacin resistant ETEC strains isolated from diarrheal patients in Bangladesh.A total of 8580 stool specimens from diarrheal patients attending the icddr,b Dhaka hospital was screened for ETEC between 2005 and 2009. PCR and Ganglioside GM1- Enzyme Linked Immuno sorbent Assay (ELISA was used for detection of Heat labile (LT and Heat stable (ST toxins of ETEC. Antimicrobial susceptibilities for commonly used antibiotics and the minimum inhibitory concentration (MIC of nalidixic acid, ciprofloxacin and azithromycin were examined. DNA sequencing of representative ciprofloxacin resistant strains was performed to analyze mutations of the quinolone resistance-determining region of gyrA, gyrB, parC and parE. PCR was used for the detection of qnr, a plasmid mediated ciprofloxacin resistance gene. Clonal variations among ciprofloxacin resistant (CipR and ciprofloxacin susceptible (CipS strains were determined by Pulsed-field gel electrophoresis (PFGE.Among 1067 (12% ETEC isolates identified, 42% produced LT/ST, 28% ST and 30% LT alone. Forty nine percent (n = 523 of the ETEC strains expressed one or more of the 13 tested colonization factors (CFs as determined by dot blot immunoassay. Antibiotic resistance of the ETEC strains was observed as follows: ampicillin 66%, azithromycin 27%, ciprofloxacin 27%, ceftriazone 13%, cotrimaxazole 46%, doxycycline 44%, erythromycin 96%, nalidixic acid 83%, norfloxacin 27%, streptomycin 48% and tetracycline 42%. Resistance to ciprofloxacin increased from 13% in 2005 to 34% in 2009. None of the strains was resistant to mecillinam. The MIC of the nalidixic acid and ciprofloxacin of representative

  8. Penicillin-resistant viridans streptococci have obtained altered penicillin-binding protein genes from penicillin-resistant strains of Streptococcus pneumoniae.

    OpenAIRE

    Dowson, C G; Hutchison, A; Woodford, N; Johnson, A P; George, R C; Spratt, B G

    1990-01-01

    Penicillin-resistant strains of Streptococcus pneumoniae possess altered forms of penicillin-binding proteins (PBPs) with decreased affinity for penicillin. The PBP2B genes of these strains have a mosaic structure, consisting of regions that are very similar to those in penicillin-sensitive strains, alternating with regions that are highly diverged. Penicillin-resistant strains of viridans groups streptococci (e.g., S. sanguis and S. oralis) that produce altered PBPs have also been reported. ...

  9. Thermal strain measurement of EAST W/Cu divertor structure using electric resistance strain gauges

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xingli [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei, 230031 (China); Wang, Wanjing, E-mail: wjwang@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, 230031 (China); Wang, Jichao [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, 230031 (China); Wei, Ran; Sun, Zhaoxuan [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei, 230031 (China); Li, Qiang; Xie, Chunyi [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, 230031 (China); Chen, Hong-En; Wang, Kaiqiang; Wu, Lei; Chen, Zhenmao [State Key Lab for Strength and Vibration of Mechanical Structures, Xi’an Jiaotong University (China); Luo, Guang-Nan [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei, 230031 (China); Hefei Center for Physical Science and Technology, Hefei, 230022 (China); Hefei Science Center of Chinese Academy of Sciences, Hefei, 230027 (China)

    2016-12-15

    Highlights: • To understand the service behavior of W/Cu divertor, an electrical resistance strain gauge system had been introduced in a thermal strain measurement experiment. • The measurement system successfully finished the experiment and obtained valued thermal strain data. • Two thermomechanical analyses had also been carried out and compared with the measurement results. • Experiment results corresponded well to simulations and threw a light upon the failure of W/Cu divertor in the previous baking tests. - Abstract: W/Cu divertor has complex structure and faces extreme work environment in EAST Tokamak device. To measure its thermal strain shall be a valued way to understand its service behavior and then optimize its design and manufacturing process. This work presents a preliminary study on measuring thermal strain of EAST W/Cu divertor structure using electric resistance strain gauges. Eight gauges had been used in the experiment and the heating temperature had been set to 230 °C with respect to the work temperature. To realize the measuring experiment, an appropriate fixing method of gauges in divertor narrow spaces had been taken and tested, which could not only withstand high temperature but also had no damage to the divertor sample. The measurement results were that three gauges showed positive strain while other three showed negative strain after having been compensated, which corresponded to tensile stress and compressed stress respectively. Two thermomechanical simulations had also been carried out and used for comparing with the experiment.

  10. Near-Isogenic Cry1F-Resistant Strain of Spodoptera frugiperda (Lepidoptera: Noctuidae) to Investigate Fitness Cost Associated With Resistance in Brazil.

    Science.gov (United States)

    Horikoshi, Renato J; Bernardi, Oderlei; Bernardi, Daniel; Okuma, Daniela M; Farias, Juliano R; Miraldo, Leonardo L; Amaral, Fernando S A; Omoto, Celso

    2016-04-01

    Field-evolved resistance to Cry1F maize in Spodoptera frugiperda (J.E. Smith) populations in Brazil was reported in 2014. In this study, to investigate fitness costs, we constructed a near-isogenic S. frugiperda-resistant strain (R-Cry1F) using Cry1F-resistant and Cry1F-susceptible strains sharing a close genetic background. A near-isogenic R-Cry1F strain was obtained by eight repeated backcrossings, each followed by sib-mating and selection among resistant and susceptible strains. Fitness cost parameters were evaluated by comparing the biological performance of resistant, susceptible, and heterozygous strains on artificial diet. Fitness parameters monitored included development time and survival rates of egg, larval, pupal, and egg-to-adult periods; sex ratio; adult longevity; timing of preoviposition, oviposition, and postoviposition; fecundity; and fertility. A fertility life table was also calculated. The near-isogenic R-Cry1F strain showed lower survival rate of eggs (32%), when compared with Sus and reciprocal crosses (41 and 55%, respectively). The number of R-Cry1F insects that completed the life cycle was reduced to ∼25%, compared with the Sus strain with ∼32% reaching the adult stage. The mean generation time (T) of R-Cry1F strain was ∼2 d shorter than R-Cry1F♂×Sus♀ and Sus strains. The reproductive parameters of R-Cry1F strain were similar to the Sus strain. However, fewer females were produced by R-Cry1F strain than R-Cry1F♀×Sus♂ and more females than R-Cry1F♂×Sus♀. In summary, no relevant fitness costs are observed in a near-isogenic Cry1F-resistant strain of S. frugiperda, indicating stability of resistance to Cry1F protein in Brazilian populations of this species in the absence of selection pressure.

  11. Resistance of functional Lactobacillus plantarum strains against food stress conditions.

    Science.gov (United States)

    Ferrando, Verónica; Quiberoni, Andrea; Reinhemer, Jorge; Suárez, Viviana

    2015-06-01

    The survival of three Lactobacillus plantarum strains (Lp 790, Lp 813 and Lp 998) with functional properties was studied taking into account their resistance to thermal, osmotic and oxidative stress factors. Stress treatments applied were: 52 °C-15 min (Phosphate Buffer pH 7, thermal shock), H2O2 0.1% (p/v) - 30 min (oxidative shock) and NaCl aqueous solution at 17, 25 and 30% (p/v) (room temperature - 1 h, osmotic shock). The osmotic stress was also evaluated on cell growth in MRS broth added of 2, 4, 6, 8 and 10% (p/v) of NaCl, during 20 h at 30 °C. The cell thermal adaptation was performed in MRS broth, selecting 45 °C for 30 min as final conditions for all strains. Two strains (Lp 813 and Lp 998) showed, in general, similar behaviour against the three stress factors, being clearly more resistant than Lp 790. An evident difference in growth kinetics in presence of NaCl was observed between Lp 998 and Lp 813, Lp998 showing a higher optical density (OD570nm) than Lp 813 at the end of the assay. Selected thermal adaptation improved by 2 log orders the thermal resistance of both strains, but cell growth in presence of NaCl was enhanced only in Lp 813. Oxidative resistance was not affected with this thermal pre-treatment. These results demonstrate the relevance of cell technological resistance when selecting presumptive "probiotic" cultures, since different stress factors might considerably affect viability or/and performance of the strains. The incidence of stress conditions on functional properties of the strains used in this work are currently under research in our group. Copyright © 2014 Elsevier Ltd. All rights reserved.

  12. Antibiotic resistance determinants in a Pseudomonas putida strain isolated from a hospital.

    Directory of Open Access Journals (Sweden)

    Lázaro Molina

    Full Text Available Environmental microbes harbor an enormous pool of antibiotic and biocide resistance genes that can impact the resistance profiles of animal and human pathogens via horizontal gene transfer. Pseudomonas putida strains are ubiquitous in soil and water but have been seldom isolated from humans. We have established a collection of P. putida strains isolated from in-patients in different hospitals in France. One of the isolated strains (HB3267 kills insects and is resistant to the majority of the antibiotics used in laboratories and hospitals, including aminoglycosides, ß-lactams, cationic peptides, chromoprotein enediyne antibiotics, dihydrofolate reductase inhibitors, fluoroquinolones and quinolones, glycopeptide antibiotics, macrolides, polyketides and sulfonamides. Similar to other P. putida clinical isolates the strain was sensitive to amikacin. To shed light on the broad pattern of antibiotic resistance, which is rarely found in clinical isolates of this species, the genome of this strain was sequenced and analysed. The study revealed that the determinants of multiple resistance are both chromosomally-borne as well as located on the pPC9 plasmid. Further analysis indicated that pPC9 has recruited antibiotic and biocide resistance genes from environmental microorganisms as well as from opportunistic and true human pathogens. The pPC9 plasmid is not self-transmissible, but can be mobilized by other bacterial plasmids making it capable of spreading antibiotic resistant determinants to new hosts.

  13. Insecticide Resistance and Metabolic Mechanisms Involved in Larval and Adult Stages of Aedes aegypti Insecticide-Resistant Reference Strains from Cuba.

    Science.gov (United States)

    Bisset, Juan Andrés; Rodríguez, María Magdalena; French, Leydis; Severson, David W; Gutiérrez, Gladys; Hurtado, Daymi; Fuentes, Ilario

    2014-12-01

    Studies were conducted to compare levels of insecticide resistance and to determine the metabolic resistance mechanisms in larval and adult stages of Aedes aegypti from Cuba. Three insecticide-resistant reference strains of Ae. aegypti from Cuba were examined. These strains were derived from a Santiago de Cuba strain isolated in 1997; it was previously subjected to a strong selection for resistance to temephos (SAN-F6), deltamethrin (SAN-F12), and propoxur (SAN-F13) and routinely maintained in the laboratory under selection pressure up to the present time, when the study was carried out. In addition, an insecticide-susceptible strain was used for comparison. The insecticide resistance in larvae and adults was determined using standard World Health Organization methodologies. Insecticide resistance mechanisms were determined by biochemical assays. The esterases (α EST and β EST) and mixed function oxidase (MFO) activities were significantly higher in adults than in the larvae of the three resistant strains studied. The association of resistance level with the biochemical mechanism for each insecticide was established for each stage. The observed differences between larval and adult stages of Ae. aegypti in their levels of insecticide resistance and the biochemical mechanisms involved should be included as part of monitoring and surveillance activities in Ae. aegypti vector control programs.

  14. Spread of Botrytis cinerea Strains with Multiple Fungicide Resistance in German Horticulture.

    Science.gov (United States)

    Rupp, Sabrina; Weber, Roland W S; Rieger, Daniel; Detzel, Peter; Hahn, Matthias

    2016-01-01

    Botrytis cinerea is a major plant pathogen, causing gray mold rot in a variety of cultures. Repeated fungicide applications are common but have resulted in the development of fungal populations with resistance to one or more fungicides. In this study, we have monitored fungicide resistance frequencies and the occurrence of multiple resistance in Botrytis isolates from raspberries, strawberries, grapes, stone fruits and ornamental flowers in Germany in 2010 to 2015. High frequencies of resistance to all classes of botryticides was common in all cultures, and isolates with multiple fungicide resistance represented a major part of the populations. A monitoring in a raspberry field over six seasons revealed a continuous increase in resistance frequencies and the emergence of multiresistant Botrytis strains. In a cherry orchard and a vineyard, evidence of the immigration of multiresistant strains from the outside was obtained. Inoculation experiments with fungicide-treated leaves in the laboratory and with strawberry plants cultivated in the greenhouse or outdoors revealed a nearly complete loss of fungicide efficacy against multiresistant strains. B. cinerea field strains carrying multiple resistance mutations against all classes of site-specific fungicides were found to show similar fitness as sensitive field strains under laboratory conditions, based on their vegetative growth, reproduction, stress resistance, virulence and competitiveness in mixed infection experiments. Our data indicate an alarming increase in the occurrence of multiresistance in B. cinerea populations from different cultures, which presents a major threat to the chemical control of gray mold.

  15. Antimicrobial resistance of Helicobacter pylori strains to five antibiotics, including levofloxacin, in Northwestern Turkey

    Directory of Open Access Journals (Sweden)

    Reyhan Caliskan

    2015-06-01

    Full Text Available INTRODUCTION: Antibiotic resistance is the main factor that affects the efficacy of current therapeutic regimens against Helicobacter pylori. This study aimed to determine the rates of resistance to efficacy clarithromycin, amoxicillin, tetracycline, levofloxacin and metronidazole among H. pylori strains isolated from Turkish patients with dyspepsia. METHODS: H. pylori was cultured from corpus and antrum biopsies that were collected from patients with dyspeptic symptoms, and the antimicrobial susceptibility of H. pylori was determined using the E-test (clarithromycin, amoxicillin, tetracycline, metronidazole and levofloxacin according to the EUCAST breakpoints. Point mutations in the 23S rRNA gene of clarithromycin-resistant strains were investigated using real-time PCR. RESULTS: A total of 98 H. pylori strains were isolated, all of which were susceptible to amoxicillin and tetracycline. Of these strains, 36.7% (36/98 were resistant to clarithromycin, 35.5% (34/98 were resistant to metronidazole, and 29.5% (29/98 were resistant to levofloxacin. Multiple resistance was detected in 19.3% of the isolates. The A2143G and A2144G point mutations in the 23S rRNA-encoding gene were found in all 36 (100% of the clarithromycin-resistant strains. Additionally, the levofloxacin MIC values increased to 32 mg/L in our H. pylori strains. Finally, among the clarithromycin-resistant strains, 27.2% were resistant to levofloxacin, and 45.4% were resistant to metronidazole. CONCLUSIONS: We conclude that treatment failure after clarithromycin- or levofloxacin-based triple therapy is not surprising and that metronidazole is not a reliable agent for the eradication of H. pylori infection in Turkey.

  16. Mechanism of mercuric chloride resistance in microorganisms. I. Vaporization of a mercury compound from mercuric chloride by multiple drug resistant strains of Escherichia coli

    Energy Technology Data Exchange (ETDEWEB)

    Komura, I; Izaki, K

    1971-01-01

    Three strains of Escherichia coli possessing the multiple drug resistance were found to be resistant also to HgCl/sub 2/, though they were sensitive to other heavy metal ions such as nickel, cobalt, cadmium and zinc ions. Like the resistance to drugs such as chloramphenicol and tetracycline, the HgCl/sub 2/ resistance could be transferred from a resistant strain of E. coli to sensitive strains of E. coli and Aerobacter aerogenes. The resistant strains could grow in the presence of 0.02 mM HgCl/sub 2/, whereas a sensitive strain failed to grow in the presence of 0.01 mM HgCl/sub 2/. During cultivation in the presence of HgCl/sub 2/, the cells of resistant strain vaporized a form of radioactive mercury when incubated with /sup 203/HgCl/sub 2/, glucose and NaCl in phosphate buffer while the cells of sensitive strain showed no such activity. This phenomenon seemed to explain the HgCl/sub 2/ resistance of the resistant strains.

  17. Phenotypic and genomic comparisons of highly vancomycin-resistant Staphylococcus aureus strains developed from multiple clinical MRSA strains by in vitro mutagenesis.

    Science.gov (United States)

    Ishii, Kenichi; Tabuchi, Fumiaki; Matsuo, Miki; Tatsuno, Keita; Sato, Tomoaki; Okazaki, Mitsuhiro; Hamamoto, Hiroshi; Matsumoto, Yasuhiko; Kaito, Chikara; Aoyagi, Tetsuji; Hiramatsu, Keiichi; Kaku, Mitsuo; Moriya, Kyoji; Sekimizu, Kazuhisa

    2015-11-25

    The development of vancomycin (VCM) resistance in Staphylococcus aureus threatens global health. Studies of the VCM-resistance mechanism and alternative therapeutic strategies are urgently needed. We mutagenized S. aureus laboratory strains and methicillin-resistant S. aureus (MRSA) with ethyl methanesulfonate, and isolated mutants that exhibited high resistance to VCM (minimum inhibitory concentration = 32 μg/ml). These VCM-resistant strains were sensitive to linezolid and rifampicin, and partly to arbekacin and daptomycin. Beta-lactams had synergistic effects with VCM against these mutants. VCM-resistant strains exhibited a 2-fold increase in the cell wall thickness. Several genes were commonly mutated among the highly VCM-resistant mutants. These findings suggest that MRSA has a potential to develop high VCM resistance with cell wall thickening by the accumulation of mutations.

  18. Neisseria meningitidis rifampicin resistant strains: analysis of protein differentially expressed

    Directory of Open Access Journals (Sweden)

    Schininà Maria

    2010-09-01

    Full Text Available Abstract Background Several mutations have been described as responsible for rifampicin resistance in Neisseria meningitidis. However, the intriguing question on why these strains are so rare remains open. The aim of this study was to investigate the protein content and to identify differential expression in specific proteins in two rifampicin resistant and one susceptible meningococci using two-dimensional electrophoresis (2-DE combined with mass spectrometry. Results In our experimental conditions, able to resolve soluble proteins with an isoelectric point between 4 and 7, twenty-three proteins have been found differentially expressed in the two resistant strains compared to the susceptible. Some of them, involved in the main metabolic pathways, showed an increased expression, mainly in the catabolism of pyruvate and in the tricarboxylic acid cycle. A decreased expression of proteins belonging to gene regulation and to those involved in the folding of polypeptides has also been observed. 2-DE analysis showed the presence of four proteins displaying a shift in their isoelectric point in both resistant strains, confirmed by the presence of amino acid changes in the sequence analysis, absent in the susceptible. Conclusions The analysis of differentially expressed proteins suggests that an intricate series of events occurs in N. meningitidis rifampicin resistant strains and the results here reported may be considered a starting point in understanding their decreased invasion capacity. In fact, they support the hypothesis that the presence of more than one protein differentially expressed, having a role in the metabolism of the meningococcus, influences its ability to infect and to spread in the population. Different reports have described and discussed how a drug resistant pathogen shows a high biological cost for survival and that may also explain why, for some pathogens, the rate of resistant organisms is relatively low considering the

  19. Bacteriocin-like inhibitory activities of seven Lactobacillus delbrueckii subsp. bulgaricus strains against antibiotic susceptible and resistant Helicobacter pylori strains.

    Science.gov (United States)

    Boyanova, L; Gergova, G; Markovska, R; Yordanov, D; Mitov, I

    2017-12-01

    The aim of the study was to detect anti-Helicobacter pylori activity of seven Lactobacillus delbrueckii subsp. bulgaricus (GLB) strains by four cell-free supernatant (CFS) types. Activity of non-neutralized and non-heat-treated (CFSs1), non-neutralized and heat-treated (CFSs2), pH neutralized, catalase-treated and non-heat-treated (CFSs3), or neutralized, catalase- and heat-treated (CFSs4) CFSs against 18 H. pylori strains (11 of which with antibiotic resistance) was evaluated. All GLB strains produced bacteriocin-like inhibitory substances (BLISs), the neutralized CFSs of two GLB strains inhibited >81% of test strains and those of four GLB strains were active against >71% of antibiotic resistant strains. Two H. pylori strains were BLIS resistant. The heating did not reduce the CFS activity. Briefly, all GLB strains evaluated produced heat-stable BLISs, although GLB and H. pylori strain susceptibility patterns exhibited differences. Bacteriocin-like inhibitory substance activity can be an advantage for the probiotic choice for H. pylori infection control. In this study, anti-Helicobacter pylori activity of seven Lactobacillus delbrueckii subsp. bulgaricus (GLB) strains was evaluated by four cell-free supernatant (CFS) types. The GLB strains produced heat-stable bacteriocin-like inhibitory substances (BLISs) with a strong anti-H. pylori activity and some neutralized, catalase- and heat-treated CFSs inhibited >83% of the test strains. Bacteriocin-like inhibitory substance production of GLB strains can render them valuable probiotics in the control of H. pylori infection. © 2017 The Society for Applied Microbiology.

  20. Antimicrobial sensitivity and frequency of DRUG resistance among bacterial strains isolated from cancer patients

    International Nuclear Information System (INIS)

    Faiz, M.; Bashir, T.

    2004-01-01

    Blood stream infections (bacteremia) is potentially life threatening. Concomitant with a change in the incidence and epidemiology of infecting organisms, there has been an increase in resistance to many antibiotic compounds. The widespread emergence of resistance among bacterial pathogens has an impact on our ability to treat patients effectively. The changing spectrum of microbial pathogens and widespread emergence of microbial resistance to antibiotic drugs has emphasized the need to monitor the prevalence of resistance in these strains. In the present study frequency of isolation of clinically significant bacteria and their susceptibility and resistance pattern against a wide range of antimicrobial drugs from positive blood cultures collected during 2001-2003 was studied. A total of 102 consecutive isolates were found with 63% gram positive and 44% gram negative strains. The dominating pathogens were Staphylococcus aureus (51%), Streptococci (31%), Pseudomonas (40%), Proteus (13%), Klebsiella (13%). The isolated strains were tested against a wide range of antibiotics belonging to cephalosporins, aminoglycosides and quinolone derivative group by disk diffusion method. It has been observed that isolated strains among gram positive and negative strains showed different level of resistance against aminoglycosides and cephalosporin group of antibiotics with gram positives showing highest number and frequency of resistance against aminoglycosides (40-50%) and cephalosporins.(35-45%) whereas cephalosporins were found to be more effective against gram negatives with low frequency of resistant strains. Cabapenem and quinolone derivative drugs were found to be most effective among other groups in both gram positive and negative strains with 23-41% strains found sensitive to these two drugs. The frequency of sensitive strains against aminoglycoside and cephalosporin in gram negative and gram positive strains were found to be decreasing yearwise with a trend towards an

  1. Experimental induction of paromomycin resistance in antimony-resistant strains of L. donovani: outcome dependent on in vitro selection protocol.

    Directory of Open Access Journals (Sweden)

    Sarah Hendrickx

    Full Text Available Paromomycin (PMM has recently been introduced for treatment of visceral leishmaniasis in India. Although no clinical resistance has yet been reported, proactive vigilance should be warranted. The present in vitro study compared the outcome and stability of experimental PMM-resistance induction on promastigotes and intracellular amastigotes. Cloned antimony-resistant L. donovani field isolates from India and Nepal were exposed to stepwise increasing concentrations of PMM (up to 500 µM, either as promastigotes or intracellular amastigotes. One resulting resistant strain was cloned and checked for stability of resistance by drug-free in vitro passage as promastigotes for 20 weeks or a single in vivo passage in the golden hamster. Resistance selection in promastigotes took about 25 weeks to reach the maximal 97 µM inclusion level that did not affect normal growth. Comparison of the IC(50 values between the parent and the selected strains revealed a 9 to 11-fold resistance for the Indian and 3 to 5-fold for the Nepalese strains whereby the resistant phenotype was also maintained at the level of the amastigote. Applying PMM pressure to intracellular amastigotes produced resistance after just two selection cycles (IC(50 = 199 µM compared to the parent strain (IC(50 = 45 µM. In the amastigote-induced strains/clones, lower PMM susceptibilities were seen only in amastigotes and not at all in promastigotes. This resistance phenotype remained stable after serial in vitro passage as promastigote for 20 weeks and after a single in vivo passage in the hamster. This study clearly demonstrates that a different PMM-resistance phenotype is obtained whether drug selection is applied to promastigotes or intracellular amastigotes. These findings may have important relevance to resistance mechanism investigations and the likelihood of resistance development and detection in the field.

  2. Antibiotic sensitivity and resistance in Ornithobacterium rhinotracheale strains from Belgian broiler chickens.

    Science.gov (United States)

    Devriese, L A; De Herdt, P; Haesebrouck, F

    2001-06-01

    Establishing the antibiotic sensitivity of the avian respiratory pathogen Ornithobacterium rhinotracheale is difficult because of the organism's complex growth requirements and the unusually frequent occurrence of resistance. The minimal inhibitory concentrations of 10 antibiotics were determined for 45 strains of O. rhinotracheale from Belgian broiler chickens collected from 45 farms between 1995 and 1998. They were compared with the type strain, which was isolated from a turkey, and a strain isolated from a rook. All the broiler strains were resistant to lincomycin and to the beta-lactams ampicillin and ceftiofur. Less than 10% of the strains were sensitive to the macrolides tylosin and spiramycin, tilmicosin and flumequine. A few strains were sensitive to enrofloxacin and doxycycline. All strains were sensitive to tiamulin.

  3. Outcomes of Oseltamivir Treatment for H1N1 Infection During Pregnancy: A Retrospective Study

    Directory of Open Access Journals (Sweden)

    Nermin Akdemir

    2011-04-01

    CONCLUSION: In this retrospective, study, we found that, H1N1 infection during pregnancy has a good prognosis and without complication for maternal health. Although oseltamivir therapy is safe in pregnant women, it can be associated with cardiac structural cardiac malformations in H1N1 infected pregnancy newborns

  4. Comparison of antibiotic resistance patterns in collections of Escherichia coli and Proteus mirabilis uropathogenic strains.

    Science.gov (United States)

    Adamus-Bialek, Wioletta; Zajac, Elzbieta; Parniewski, Pawel; Kaca, Wieslaw

    2013-04-01

    Escherichia coli and Proteus mirabilis are important urinary tract pathogens. The constant increase in the antibiotic resistance of clinical bacterial strains has become an important clinical problem. The aim of this study was to compare the antibiotic resistance of 141 clinical (Sweden and Poland) and 42 laboratory (Czech Republic) P. mirabilis strains and 129 clinical (Poland) uropathogenic E. coli strains. The proportion of unique versus diverse patterns in Swedish clinical and laboratory P. mirabilis strain collections was comparable. Notably, a similar proportion of unique versus diverse patterns was observed in Polish clinical P. mirabilis and E. coli strain collections. Mathematical models of the antibiotic resistance of E. coli and P. mirabilis strains based on Kohonen networks and association analysis are presented. In contrast to the three clinical strain collections, which revealed complex associations with the antibiotics tested, laboratory P. mirabilis strains provided simple antibiotic association diagrams. The monitoring of antibiotic resistance patterns of clinical E. coli and P. mirabilis strains plays an important role in the treatment procedures for urinary tract infections and is important in the context of the spreading drug resistance in uropathogenic strain populations. The adaptability and flexibility of the genomes of E. coli and P. mirabilis strains are discussed.

  5. Colistin-Resistant Acinetobacter baumannii Clinical Strains with Deficient Biofilm Formation

    Science.gov (United States)

    Dafopoulou, Konstantina; Xavier, Basil Britto; Hotterbeekx, An; Janssens, Lore; Lammens, Christine; Dé, Emmanuelle; Goossens, Herman; Tsakris, Athanasios; Malhotra-Kumar, Surbhi

    2015-01-01

    In two pairs of clinical colistin-susceptible/colistin-resistant (Csts/Cstr) Acinetobacter baumannii strains, the Cstr strains showed significantly decreased biofilm formation in static and dynamic assays (P Cstr strain and a frameshift mutation in CarO and the loss of a 47,969-bp element containing multiple genes associated with biofilm production in the other. PMID:26666921

  6. Whole genome sequencing-based characterization of extensively drug resistant (XDR) strains of Mycobacterium tuberculosis from Pakistan

    KAUST Repository

    Hasan, Zahra; Ali, Asho; McNerney, Ruth; Mallard, Kim; Hill-Cawthorne, Grant A.; Coll, Francesc; Nair, Mridul; Pain, Arnab; Clark, Taane G.; Hasan, Rumina

    2015-01-01

    Objectives: The global increase in drug resistance in Mycobacterium tuberculosis (MTB) strains increases the focus on improved molecular diagnostics for MTB. Extensively drug-resistant (XDR) - TB is caused by MTB strains resistant to rifampicin, isoniazid, fluoroquinolone and aminoglycoside antibiotics. Resistance to anti-tuberculous drugs has been associated with single nucleotide polymorphisms (SNPs), in particular MTB genes. However, there is regional variation between MTB lineages and the SNPs associated with resistance. Therefore, there is a need to identify common resistance conferring SNPs so that effective molecular-based diagnostic tests for MTB can be developed. This study investigated used whole genome sequencing (WGS) to characterize 37 XDR MTB isolates from Pakistan and investigated SNPs related to drug resistance. Methods: XDR-TB strains were selected. DNA was extracted from MTB strains, and samples underwent WGS with 76-base-paired end fragment sizes using Illumina paired end HiSeq2000 technology. Raw sequence data were mapped uniquely to H37Rv reference genome. The mappings allowed SNPs and small indels to be called using SAMtools/BCFtools. Results: This study found that in all XDR strains, rifampicin resistance was attributable to SNPs in the rpoB RDR region. Isoniazid resistance-associated mutations were primarily related to katG codon 315 followed by inhA S94A. Fluoroquinolone resistance was attributable to gyrA 91-94 codons in most strains, while one did not have SNPs in either gyrA or gyrB. Aminoglycoside resistance was mostly associated with SNPs in rrs, except in 6 strains. Ethambutol resistant strains had embB codon 306 mutations, but many strains did not have this present. The SNPs were compared with those present in commercial assays such as LiPA Hain MDRTBsl, and the sensitivity of the assays for these strains was evaluated. Conclusions: If common drug resistance associated with SNPs evaluated the concordance between phenotypic and

  7. Whole genome sequencing-based characterization of extensively drug resistant (XDR) strains of Mycobacterium tuberculosis from Pakistan

    KAUST Repository

    Hasan, Zahra

    2015-03-01

    Objectives: The global increase in drug resistance in Mycobacterium tuberculosis (MTB) strains increases the focus on improved molecular diagnostics for MTB. Extensively drug-resistant (XDR) - TB is caused by MTB strains resistant to rifampicin, isoniazid, fluoroquinolone and aminoglycoside antibiotics. Resistance to anti-tuberculous drugs has been associated with single nucleotide polymorphisms (SNPs), in particular MTB genes. However, there is regional variation between MTB lineages and the SNPs associated with resistance. Therefore, there is a need to identify common resistance conferring SNPs so that effective molecular-based diagnostic tests for MTB can be developed. This study investigated used whole genome sequencing (WGS) to characterize 37 XDR MTB isolates from Pakistan and investigated SNPs related to drug resistance. Methods: XDR-TB strains were selected. DNA was extracted from MTB strains, and samples underwent WGS with 76-base-paired end fragment sizes using Illumina paired end HiSeq2000 technology. Raw sequence data were mapped uniquely to H37Rv reference genome. The mappings allowed SNPs and small indels to be called using SAMtools/BCFtools. Results: This study found that in all XDR strains, rifampicin resistance was attributable to SNPs in the rpoB RDR region. Isoniazid resistance-associated mutations were primarily related to katG codon 315 followed by inhA S94A. Fluoroquinolone resistance was attributable to gyrA 91-94 codons in most strains, while one did not have SNPs in either gyrA or gyrB. Aminoglycoside resistance was mostly associated with SNPs in rrs, except in 6 strains. Ethambutol resistant strains had embB codon 306 mutations, but many strains did not have this present. The SNPs were compared with those present in commercial assays such as LiPA Hain MDRTBsl, and the sensitivity of the assays for these strains was evaluated. Conclusions: If common drug resistance associated with SNPs evaluated the concordance between phenotypic and

  8. Increasing Incidence of Linezolid-Intermediate or -Resistant, Vancomycin-Resistant Enterococcus faecium Strains Parallels Increasing Linezolid Consumption▿

    OpenAIRE

    Scheetz, Marc H.; Knechtel, Stephanie A.; Malczynski, Michael; Postelnick, Michael J.; Qi, Chao

    2008-01-01

    Clinical enterococcal resistance to linezolid is defined by the presence of the G2576T mutation. We evaluated the incidence of genetically proven linezolid resistance among vancomycin-resistant Enterococcus faecium strains and linezolid consumption for a possible association. A relationship was found (r2 = 0.73, P = 0.03) and predicts increasing resistance with current trends of linezolid use.

  9. Determination Pattern of Antibiotic Resistance in Entropathogenic Escherichia coli Strains Isolated from Children with Diarrhea

    Directory of Open Access Journals (Sweden)

    P. Karami

    2012-04-01

    Full Text Available Introduction & Objective: Diarrheal diseases are considered a major health problem, especially in children. Enteropathogenic Escherichia coli (EPEC strains are the common cause of diarrhea in children especially in developing countries. Because of undesirable effects of diarrhea and its interference with children's growth, in some cases antibiotic treatment is recommended. In recent years, resistance toward common and effective antibiotics in the treatment of infectious diseases became one of the most important challenges in medical society, for this purpose, antibiotic sensitivity and resistance of strains in every geographical zone must be determined. So in this study, of antibiotic patterns of these bacteria were examined.Materials & Methods: This cross-sectional study was performed on 192 strains of Enteropathogen Escherichia coli isolated from children who were suffering from diarrhea in 1389-1390 in the microbiology laboratory of Hamadan University of medical sciences. To identify these strains, standard biochemical and serology tests were used. The antibiotic sensitivity test of these isolates was carried out with disc diffusion agar method according to the CLSI standards for 14 different antibiotics disc. Resistance toward 3 or more than 3 classes of antibiotics were defined as multidrug resistance.Results: The result of this study shows EPEC strains had the highest resistance to cefpodoxime (97%, trimethoprim (60.7%, tetracycline (58.4% and ampicillin (45.8%. Multidrug resistance was 68.7 percent. These strains also showed the highest sensitivity against imipenem, ceftriaxone, and ciprofloxacin antibiotics.Conclusion: EPEC strains that were studied with resistance to ampicillin, tetracycline and convenient sensitivity against fluoroquinolones are one of the major factors in children’s diarrhea. A result of this research suggests that antimicrobial resistance in Escherichia coli strains are high and prescribing and antibiotic is not

  10. Reasons of chlorella strain's resistance to physical and chemical factors of environment

    Energy Technology Data Exchange (ETDEWEB)

    Apasheva, L M; Bujiashvilie, D M; Naydich, V I; Shevchenko, V A

    1979-07-01

    Under environmental pollution it is necessary to study the reasons of an organism's resistance as well as the specificity of it. Some chlorella strains were under the influence of uv- and x-irradiation, heat treatment, cadmium salts, insecticide, and detergent. It was shown that cells which were resistant to physical factors were resistant to chemical intoxication, as well. The positive correlation between resistance of strains and the described influences and the levels of endogenic thiols was found. The second reason for higher resistance is an increase of free radicals' concentration. It is linked with increasing P/sub 700/ quantity of electron-transport chains that make possible higher levels of photosynthetic reactions of resistant cells.

  11. THE STUDY OF RESISTENCE OF STAPHYLOCOCCUS AUREUS STRAINS TO ANTIMICROBIALS

    OpenAIRE

    Nazarchuk GG; Paliy DV; Nazarchuk OA

    2012-01-01

    In the research work the results of the study of resistance forming to antibiotics, antiseptics and decametoxine composition with modified polysaccharides in S.aureus strains are presented. The development of resistance to penicillins, cephalosporins, glycopeptides, macrolides is shown. Slow forming of resistance to decasan and decametoxine composition with carboxymethylamylum, oxyethylcellulose was determined.

  12. Transcription profiling of a recently colonised pyrethroid resistant Anopheles gambiae strain from Ghana

    Directory of Open Access Journals (Sweden)

    Donnelly Martin J

    2007-01-01

    Full Text Available Abstract Background Mosquito resistance to the pyrethroid insecticides used to treat bednets threatens the sustainability of malaria control in sub-Saharan Africa. While the impact of target site insensitivity alleles is being widely discussed the implications of insecticide detoxification – though equally important – remains elusive. The successful development of new tools for malaria intervention and management requires a comprehensive understanding of insecticide resistance, including metabolic resistance mechanisms. Although three enzyme families (cytochrome P450s, glutathione S-transferases and carboxylesterases have been widely associated with insecticide detoxification the role of individual enzymes is largely unknown. Results Here, constitutive expression patterns of genes putatively involved in conferring pyrethroid resistance was investigated in a recently colonised pyrethroid resistant Anopheles gambiae strain from Odumasy, Southern Ghana. RNA from the resistant strain and a standard laboratory susceptible strain, of both sexes was extracted, reverse transcribed and labelled with either Cy3- or Cy5-dye. Labelled cDNA was co-hybridised to the detox chip, a custom-made microarray containing over 230 A. gambiae gene fragments predominantly from enzyme families associated with insecticide resistance. After hybridisation, Cy3- and Cy5-signal intensities were measured and compared gene by gene. In both females and males of the resistant strain the cytochrome P450s CYP6Z2 and CYP6M2 are highly over-expressed along with a member of the superoxide dismutase (SOD gene family. Conclusion These genes differ from those found up-regulated in East African strains of pyrethroid resistant A. gambiae and constitute a novel set of candidate genes implicated in insecticide detoxification. These data suggest that metabolic resistance may have multiple origins in A. gambiae, which has strong implications for the management of resistance.

  13. Genotyping of Methicillin Resistant Staphylococcus aureus Strains Isolated from Hospitalized Children

    Directory of Open Access Journals (Sweden)

    Mouna Ben Nejma

    2014-01-01

    Full Text Available Community associated methicillin resistant Staphylococcus aureus (CA-MRSA is an emerging pathogen increasingly reported to cause skin and soft tissue infections for children. The emergence of highly virulencet CA-MRSA strains in the immunodeficiency of young children seemed to be the basic explanation of the increased incidence of CA-MRSA infections among this population. The subjects of this study were 8 patients hospitalized in the Pediatric Department at the University Hospital of Monastir. The patients were young children (aged from 12 days to 18 months who were suffering from MRSA skin infections; two of them had the infections within 72 h of their admission. The isolates were classified as community isolates as they all carried the staphylococcal cassette chromosome mec (SCCmec IV and pvl genes. Epidemiological techniques, pulsed-field gel electrophoresis (PFGE and multilocus sequence typing (MLST, were applied to investigate CA-MRSA strains. Analysis of molecular data revealed that MRSA strains were related according to PFGE patterns and they belonged to a single clone ST80. Antimicrobial susceptibility tests showed that all strains were resistant to kanamycin and 2 strains were resistant to erythromycin.

  14. THE STUDY OF RESISTENCE OF STAPHYLOCOCCUS AUREUS STRAINS TO ANTIMICROBIALS

    Directory of Open Access Journals (Sweden)

    Nazarchuk GG

    2012-12-01

    Full Text Available In the research work the results of the study of resistance forming to antibiotics, antiseptics and decametoxine composition with modified polysaccharides in S.aureus strains are presented. The development of resistance to penicillins, cephalosporins, glycopeptides, macrolides is shown. Slow forming of resistance to decasan and decametoxine composition with carboxymethylamylum, oxyethylcellulose was determined.

  15. Isolation and identification of a novel radio-resistant strain

    International Nuclear Information System (INIS)

    Zhang Zhidong; Mao Jun; Wang Wei; Tang Qiyong; Shi Yuhu

    2008-01-01

    A novel radio-resistant strain named RL2 was studied polyphasically, which was isolated from the soils in the Gurban-Tunggut Desert, Xinjiang. The strain is Gam-positive, sphere-shaped and pink pigmented; The DNA (G+C) contents of RL2 is 71.62mo1%; The 16S rDNA genes of RL2 and D. radiodurans type strain DSM20539 shows a high level of similarity (97.2%). According to phenotypic characteristics and phylogenetic analysis, it can be suggested that the strain RL2 has been identified as Deinococcus. sp and it may be a novel species. (authors)

  16. Resistance Status and Resistance Mechanisms in a Strain of Aedes aegypti (Diptera: Culicidae) From Puerto Rico.

    Science.gov (United States)

    Estep, Alden S; Sanscrainte, Neil D; Waits, Christy M; Louton, Jessica E; Becnel, James J

    2017-11-07

    Puerto Rico (PR) has a long history of vector-borne disease and insecticide-resistant Aedes aegypti (L.). Defining contributing mechanisms behind phenotypic resistance is critical for effective vector control intervention. However, previous studies from PR have each focused on only one mechanism of pyrethroid resistance. This study examines the contribution of P450-mediated enzymatic detoxification and sodium channel target site changes to the overall resistance phenotype of Ae. aegypti collected from San Juan, PR, in 2012. Screening of a panel of toxicants found broad resistance relative to the lab susceptible Orlando (ORL1952) strain. We identified significant resistance to representative Type I, Type II, and nonester pyrethroids, a sodium channel blocker, and a sodium channel blocking inhibitor, all of which interact with the sodium channel. Testing of fipronil, a chloride channel agonist, also showed low but significant levels of resistance. In contrast, the PR and ORL1952 strains were equally susceptible to chlorfenapyr, which has been suggested as an alternative public health insecticide. Molecular characterization of the strain indicated that two common sodium channel mutations were fixed in the population. Topical bioassay with piperonyl butoxide (PBO) indicated cytochrome P450-mediated detoxification accounts for approximately half of the resistance profile. Transcript expression screening of cytochrome P450s and glutathione-S-transferases identified the presence of overexpressed transcripts. This study of Puerto Rican Ae. aegypti with significant contributions from both genetic changes and enzymatic detoxification highlights the necessity of monitoring for resistance but also defining the multiple resistance mechanisms to inform effective mosquito control. Published by Oxford University Press on behalf of Entomological Society of America 2017. This work is written by US Government employees and is in the public domain in the US.

  17. Morphological Characteristics of Schistosoma mansoni PZQ-Resistant and -Susceptible Strains Are Different in Presence of Praziquantel

    Science.gov (United States)

    Pinto-Almeida, António; Mendes, Tiago; de Oliveira, Rosimeire Nunes; Corrêa, Sheila de Andrade Penteado; Allegretti, Silmara Marques; Belo, Silvana; Tomás, Ana; Anibal, Fernanda de Freitas; Carrilho, Emanuel; Afonso, Ana

    2016-01-01

    Schistosomiasis is one of the most common human parasitic diseases whose socioeconomic impact is only surpassed by malaria. Praziquantel (PZQ) is the only drug commercially available for the treatment of all schistosome species causing disease in humans. However, there has been stronger evidences of PZQ-resistance on Schistosoma mansoni and thus it is very important to study the phenotypic characteristics associated with it. The aim of this study was to evaluate morphological alterations in S. mansoni PZQ-resistant adult worms and eggs, by comparing a PZQ- resistant strain obtained under PZQ drug pressure with a PZQ-susceptible strain. For this, scanning electronic microscopy was used to assess tegumental responsiveness of both strains under PZQ exposure, and optical microscopy allowed the monitoring of worms and eggs in the presence of the drug. Those assays showed that PZQ-susceptible worms exposed to the drug had more severe tegumental damages than the resistant one, which had only minor alterations. Moreover, contrary to what occurred in the susceptible strain, resistant worms were viable after PZQ exposure and gradually regaining full motility after removal of the drug. Eggs from resistant strain parasites are considerably smaller than those from susceptible strain. Our results suggest that there might be a difference in the tegument composition of the resistant strain and that worms are less responsive to PZQ. Changes observed in egg morphology might imply alterations in the biology of schistosomes associated to PZQ-resistance, which could impact on transmission and pathology of the disease. Moreover, we propose a hypothetical scenario where there is a different egg tropism of the S. mansoni resistant strain. This study is the first comparing two strains that only differ in their resistance characteristics, which makes it a relevant step in the search for resistance determinants. PMID:27199925

  18. Genetic analysis of resistance to radiation lymphomagenesis with recombinant inbred strains of mice

    International Nuclear Information System (INIS)

    Okumoto, M.; Nishikawa, R.; Imai, S.; Hilgers, J.

    1990-01-01

    Induction of lymphomas by radiation in mice is controlled by genetic factors. We analyzed the genetic control of radiation lymphomagenesis using the CXS series of recombinant inbred strains derived from two progenitor strains: one highly susceptible to radiation induction of lymphoma [BALB/cHeA (C)] and one extremely resistant [STS/A (S)]. The best concordances between strain distribution patterns of genetic markers and resistance (or susceptibility) to radiation lymphomagenesis were observed in a region with the b and Ifa genes on chromosome 4. This indicates that one major locus controls the incidence of radiogenic lymphomas in mice. We designated this locus as the Lyr (lymphoma resistance) locus. Backcrosses of (CXS)F1 to the two progenitor strains showed an intermediate incidence of lymphomas between their parental mice and did not significantly differ from (CXS)F1 mice. This and previous observations that (CXS)F1 mice also showed an intermediate incidence, differing from both progenitor strains, indicate that more genes are involved in the resistance (or susceptibility) to lymphoma induced by irradiation

  19. Genome sequences of Listeria monocytogenes strains with resistance to arsenic

    Science.gov (United States)

    Listeria monocytogenes frequently exhibits resistance to arsenic. We report here the draft genome sequences of eight genetically diverse arsenic-resistant L. monocytogenes strains from human listeriosis and food-associated environments. Availability of these genomes would help to elucidate the role ...

  20. [Antimicrobial resistance of Bartonella bacilliformis strains from regions endemic to bartonellosis in Peru].

    Science.gov (United States)

    Mendoza-Mujica, Giovanna; Flores-León, Diana

    2015-10-01

    To evaluate in vitro antimicrobial susceptibility to chloramphenicol (CHL) and ciprofloxacin (CIP) in strains of Bartonella bacilliformis from areas that are endemic to Bartonellosis in Peru, through three laboratory methods. Antimicrobial susceptibility to CHL and CIP from 100 strains of Bartonella bacilliformis isolated in patients from the regions of Ancash, Cusco, Cajamarca, Lima and La Libertad were evaluated. Strains were evaluated by: disk diffusion, E-test and agar dilution. 26% of the strains of Bartonella bacilliformis evaluated were resistant to CIP and 1% to CHL. Similar patterns of antimicrobial sensitivity / resistance were obtained in all three methods. Bartonella bacilliformis strains circulating in Peru have high levels of in vitro resistance to CIP, so it is advisable to expand research on the use of drug treatment regimens of the Bartonellosis. The methods of E-test and disk diffusion were the most suitable for assessment in vitro of antimicrobial susceptibility of the microorganism.

  1. Plasmid Mediated Antibiotic and Heavy Metal Resistance in Bacillus Strains Isolated From Soils in Rize, Turkey

    Directory of Open Access Journals (Sweden)

    Elif SEVİM

    2015-09-01

    Full Text Available Fifteen Bacillus strains which were isolated from soil samples were examined for resistance to 17 different antibiotics (ampicillin, methicillin, erythromycin, norfloxacin, cephalotine, gentamycin, ciprofloxacin, streptomycin, tobramycin, chloramphenicol, trimethoprim-sulfamethoxazole, tetracycline, vancomycin, oxacilin, neomycin, kanamycin and, novabiocin and to 10 different heavy metals (copper, lead, cobalt, chrome, iron, mercury, zinc, nickel, manganese and, cadmium and for the presence of plasmid DNA. A total of eleven strains (67% were resistant to at least one antibiotic. The most common resistance was observed against methicillin and oxacillin. The most resistance strains were found as Bacillus sp. B3 and Bacillus sp. B11. High heavy metal resistance against copper, chromium, zinc, iron and nickel was detected, but mercury and cobalt resistance was not detected, except for 3 strains (B3, B11, and B12 which showed mercury resistance. It has been determined that seven Bacillus strains have plasmids. The isolated plasmids were transformed into the Bacillus subtilis W168 and it was shown that heavy metal and antibiotic resistance determinants were carried on these plasmids. These results showed that there was a correlation between plasmid content and resistance for both antibiotic and heavy metal resistance

  2. The risk of global epidemic replacement with drug-resistant Mycobacterium tuberculosis strains

    Directory of Open Access Journals (Sweden)

    Emma S. McBryde

    2017-03-01

    Results and conclusions: The ability of MDR-TB to dominate DS-TB was highly sensitive to the relative transmissibility of the resistant strain; however, MDR-TB could dominate even when its transmissibility was modestly reduced (to between 50% and 100% as transmissible as the DS-TB strain. This model suggests that it may take decades or more for strain replacement to occur. It was also found that while the amplification of resistance is the early cause of MDR-TB, this will rapidly give way to person-to-person transmission.

  3. [Isolation of a carbapenem-resistant K1 serotype Klebsiella pneumonia strain and the study of resistance mechanism].

    Science.gov (United States)

    Zhang, Rong; Wang, Xuan; Lü, Jianxin

    2014-12-16

    To study the virulence and mechanism of carbapenem resistance of a clinical isolate of carbapenem-resistant K1 serotype Klebsiella pneumonia strain. Identification of isolate was carried out with VITEK-2 compact system. Antimicrobial susceptibility was determined by E-test; Metallo β-lactamases and carbapenemases screening were conducted by imipenem-EDTA double disc synergy test and modified Hodge test, respectively.Specific polymerehse chain reaction (PCR) and DNA sequencing were preformed to detect the virulence genes including K1, K2, K5, K20, K54, K57, magA, rmpA, wcaG and a series of β-lactamase resistence genes. Conjunction experiment was also performed. The plasmids of transconjugants were submitted to PCR-based replicon typing (PBRT) method. Molecular typing was performed by multilocus sequence typing (MLST). Antimicrobial susceptibility testing revealed that the Klebsiella pneumonia strain was resistant to most of the antibiotics used in clinic. Phynotype confirmary rest revealed the production of carbapanemases, while Metallo β-lactamases were negative; PCR and DNA sequencing confirmed the isolate was positive for blaKPC-2, blaCTX-M-15, blaTEM-1, blaSHV-1 and virulence genes K1, magA, rmpA, wcaG simultaneously; blaKPC-2 was transferred from donor to Escherichia EC600 by conjunction experiment, while no virulence genes were found in the transconjugants. PBRT revealed that Frep plasmid was found in transconjugants. MLST analysis revealed that this strain belonged to ST23. K1 serotype Klebsiella pneumonia strain carries virulence genes and carbapenem resistance gene blaKPC-2, noteworthily the carbapenem resistance genes can be transferred through horizontal transmission on plasmids.

  4. Antibiotic Resistance Pattern of Staphylococcus aureus Strains Isolated from Personnel of Jahrom Hospitals in 2012

    Directory of Open Access Journals (Sweden)

    S Saadat

    2014-01-01

    Undo edits Methods: In this cross - sectional study, 397 of the anterior nasal samples of medical personnel and hospital services were collected by swab. The identification of S.aureus was determined by biochemical tests and microbiology, and the antibiotic resistances of isolates were determined by disk diffusion method for 13 antibiotics. In this method, the inhibition zone for methicillin-resistant strains was ≤ 10 mm the minimum inhibitory concentrations (MIC against antibiotic vancomycin, ticoplanin, linezolid and synercid were determined by E-test method. Results: In the present study, 11.3% of personals carried S. aureus in the nose. Among them, 90% were health care workers and 10% were health service workers. The most sensitivity was observed resistance to Ciprofloxacin, rifampin, linezolid and synercid (91.1%, but the lowest sensitivity was to penicillin (4.7%. of 9 MRSA strains, 1 strain was resistance to vancomycin and 2 strains were resistant to teicoplanin and linezolid. Conclusion: Because of S. aureus strains isolated from hospital staffs were resistant to most common antibiotics, identification and treatment of health care and health service workers can prevent nosocomial infections. Key words: Staphylococcu aureus carriers, hospital personnel, antibiotic resistance.

  5. Enhanced oxidative killing of azole-resistant Candida glabrata strains with ERG11 deletion.

    Science.gov (United States)

    Kan, V L; Geber, A; Bennett, J E

    1996-01-01

    The susceptibility of genetically defined Candida glabrata strains to killing by H2O2 and neutrophils was assessed. Fluconazole-susceptible L5L and L5D strains demonstrated survival rates higher than those of two fluconazole-resistant strains lacking the ERG11 gene coding for 14 alpha-demethylase. Fluconazole resistance can occur by mechanisms which increase fungal susceptibility to oxidative killing by H2O2 and neutrophils. PMID:8807069

  6. Genome Sequences of Two Copper-Resistant Escherichia coli Strains Isolated from Copper-Fed Pigs

    DEFF Research Database (Denmark)

    Lüthje, Freja L.; Hasman, Henrik; Aarestrup, Frank Møller

    2014-01-01

    The draft genome sequences of two copper-resistant Escherichia coli strains were determined. These had been isolated from copper-fed pigs and contained additional putative operons conferring copper and other metal and metalloid resistances.......The draft genome sequences of two copper-resistant Escherichia coli strains were determined. These had been isolated from copper-fed pigs and contained additional putative operons conferring copper and other metal and metalloid resistances....

  7. Sensitivity of antibiotic resistant and antibiotic susceptible Escherichia coli, Enterococcus and Staphylococcus strains against ozone.

    Science.gov (United States)

    Heß, Stefanie; Gallert, Claudia

    2015-12-01

    Tolerance of antibiotic susceptible and antibiotic resistant Escherichia coli, Enterococcus and Staphylococcus strains from clinical and wastewater samples against ozone was tested to investigate if ozone, a strong oxidant applied for advanced wastewater treatment, will affect the release of antibiotic resistant bacteria into the aquatic environment. For this purpose, the resistance pattern against antibiotics of the mentioned isolates and their survival after exposure to 4 mg/L ozone was determined. Antibiotic resistance (AR) of the isolates was not correlating with higher tolerance against ozone. Except for ampicillin resistant E. coli strains, which showed a trend towards increased resistance, E. coli strains that were also resistant against cotrimoxazol, ciprofloxacin or a combination of the three antibiotics were similarly or less resistant against ozone than antibiotic sensitive strains. Pigment-producing Enterococcus casseliflavus and Staphylococcus aureus seemed to be more resistant against ozone than non-pigmented species of these genera. Furthermore, aggregation or biofilm formation apparently protected bacteria in subsurface layers from inactivation by ozone. The relatively large variance of tolerance against ozone may indicate that resistance to ozone inactivation most probably depends on several factors, where AR, if at all, does not play a major role.

  8. Antimicrobial resistance and production of biofilms in clinical isolates of coagulase-negative Staphylococcus strains.

    Science.gov (United States)

    de Allori, María Cristina Gaudioso; Jure, María Angela; Romero, Cintia; de Castillo, Marta Elena Cecilia

    2006-08-01

    Coagulase-negative Staphylococcus (CNS) strains are frequently associated with bacteremia and hospital-acquired infections. 293 CNS strains were isolated from 744 samples from a dialysis center in S. M. de Tucumán, Argentina, from hemocultures, catheters and urine and identified as S. epidermidis, S. haemolyticus, S. saprophyticus, S. hominis and S. cohnii. 13 antibiotics were tested for antibacterial resistance. 75% of S. saprophyticus, 66% of S. epidermidis and 57% of S. haemolyticus was resistant to erythromycin and 50% of S. haemolyticus was resistant to ciprofloxacin. OXA resistance was found in 43% of S. haemolyticus. Presence of PBP 2a in OXA-R strains was confirmed with the modified agglutination assay (MRSA) and presence of the mecA gene. 15 strains with intermediate halos for vancomycin and teicoplanin showed a MIC in solid and liquid medium resistance to methicillin and biofilm production are decisive for a prompt and appropriate antimicrobial therapy and limited use of inappropriate glycopeptides.

  9. Enriched whole genome sequencing identified compensatory mutations in the RNA polymerase gene of rifampicin-resistant Mycobacterium leprae strains.

    Science.gov (United States)

    Lavania, Mallika; Singh, Itu; Turankar, Ravindra P; Gupta, Anuj Kumar; Ahuja, Madhvi; Pathak, Vinay; Sengupta, Utpal

    2018-01-01

    Despite more than three decades of multidrug therapy (MDT), leprosy remains a major public health issue in several endemic countries, including India. The emergence of drug resistance in Mycobacterium leprae (M. leprae) is a cause of concern and poses a threat to the leprosy-control program, which might ultimately dampen the achievement of the elimination program of the country. Rifampicin resistance in clinical strains of M. leprae are supposed to arise from harboring bacterial strains with mutations in the 81-bp rifampicin resistance determining region (RRDR) of the rpoB gene. However, complete dynamics of rifampicin resistance are not explained only by this mutation in leprosy strains. To understand the role of other compensatory mutations and transmission dynamics of drug-resistant leprosy, a genome-wide sequencing of 11 M. leprae strains - comprising five rifampicin-resistant strains, five sensitive strains, and one reference strain - was done in this study. We observed the presence of compensatory mutations in two rifampicin-resistant strains in rpoC and mmpL7 genes, along with rpoB , that may additionally be responsible for conferring resistance in those strains. Our findings support the role for compensatory mutation(s) in RNA polymerase gene(s), resulting in rifampicin resistance in relapsed leprosy patients.

  10. The Fitness Cost of Fluoride Resistance for Different Streptococcus mutans Strains in Biofilms

    Directory of Open Access Journals (Sweden)

    Yanling Cai

    2017-08-01

    Full Text Available The cariogenic bacterium Streptococcus mutans can develop stable resistance to fluoride through chromosomal mutations in vitro. Fluoride-resistant S. mutans has seldom been isolated in clinical settings, despite the wide application of fluoride in oral-care products. One explanation is that the fluoride-resistant S. mutans strains have decreased fitness. However, so far, there has been no conclusive evidence to support this idea. The aim of this study was to investigate the fitness cost of 48-h biofilms of two fluoride-resistant S. mutans strains, UF35 and UA159-FR (UAFR, using the wild-type fluoride-sensitive strain UA159 as a reference. The engineered UF35 strain contains one point mutation, whereas UAFR, selected from NaF-containing agar plates, has multiple chromosomal mutations. All biofilms were formed for 48 h under a constantly neutral pH or a pH-cycling (8 h of neutral pH and 16 h of pH 5.5 condition in the absence of fluoride. The biomass of the biofilms was quantified with a crystal violet assay. The biofilms were also treated with chlorhexidine or solutions at pH 3.0, after which their lactic acid production was quantified. Compared to the UF35 and UA159 biofilms, the biomass of UAFR biofilms was two–four fold higher, and the UAFR biofilms were more resistant to chlorhexidine and low pH in terms of lactic acid production. No difference in biomass and lactic acid production was detected between UF35 and UA159 biofilms. The fluoride resistance of UAFR and UF35 strains in biofilms was further confirmed by treating the biofilms with NaF solutions. The level of NaF resistance of the three biofilms is generally ranked as follows: UAFR > UF35 > UA159. In conclusion, there is indeed a fitness consequence in UAFR, but surprisingly, this fluoride-resistant strain performs better than UF35 and UA159 under the described conditions. In addition, UF35 did not display a reduced fitness; it performed as well as the wild-type fluoride

  11. Bench to Bed Evidences for Pharmacokinetic and Pharmacodynamic Interactions Involving Oseltamivir and Chinese Medicine

    Directory of Open Access Journals (Sweden)

    Qi Chang

    2014-01-01

    Full Text Available Oseltamivir (OA, an ethyl ester prodrug of oseltamivir carboxylate (OC, is clinically used as a potent and selective inhibitor of neuraminidase. Chinese medicines have been advocated to combine with conventional drug for avian influenza. The current study aims to investigate the potential pharmacokinetic and pharmacodynamic interactions of a Chinese medicine formula, namely, Yin Qiao San and Sang Ju Yin (CMF1, commonly used for anti-influenza in combination with OA in both rat and human, and to reveal the underlined mechanisms. It was found that although Cmax, AUC and urinary recovery of OC, as well as metabolic ratio (AUCOC/AUCOA, were significantly decreased in a dose-dependent manner following combination use of CMF1 and OA in rat studies (P<0.01, such coadministration in 14 healthy volunteers only resulted in a trend of minor decrease in the related parameters. Further mechanistic studies found that although CMF1 could reduce absorption and metabolism of OA, it appears to enhance viral inhibition of OA (P<0.01. In summary, although there was potential interaction between OA and CMF1 found in rat studies, its clinical impact was expected to be minimal. The coadministration of OA and CMF1 at the clinical recommended dosages is, therefore, considered to be safe.

  12. Phenotypic and genetic diversity of chlorine-resistant Methylobacterium strains isolated from various environments.

    Science.gov (United States)

    Hiraishi, A; Furuhata, K; Matsumoto, A; Koike, K A; Fukuyama, M; Tabuchi, K

    1995-01-01

    Strains of pink-pigmented facultative methylotrophs which were isolated previously from various environments and assigned tentatively to the genus Methylobacterium were characterized in comparison with authentic strains of previously known species of this genus. Most of the isolates derived from chlorinated water supplies exhibited resistance to chlorine, whereas 29 to 40% of the isolates from air, natural aquatic environments, and clinical materials were chlorine resistant. None of the tested authentic strains of Methylobacterium species obtained from culture collections exhibited chlorine resistance. Numerical analysis of phenotypic profiles showed that the test organisms tested were separated from each other except M. organophilum and M. rhodesianum. The chlorine-resistant isolates were randomly distributed among all clusters. The 16S ribosomal DNA (rDNA) sequence-based phylogenetic analyses showed that representatives of the isolates together with known Methylobacterium species formed a line of descent distinct from that of members of related genera in the alpha-2 subclass of the Proteobacteria and were divided into three subclusters within the Methylobacterium group. These results demonstrate that there is phenotypic and genetic diversity among chlorine-resistant Methylobacterium strains within the genus. PMID:7793931

  13. Phenotypic and genetic diversity of chlorine-resistant Methylobacterium strains isolated from various environments.

    Science.gov (United States)

    Hiraishi, A; Furuhata, K; Matsumoto, A; Koike, K A; Fukuyama, M; Tabuchi, K

    1995-06-01

    Strains of pink-pigmented facultative methylotrophs which were isolated previously from various environments and assigned tentatively to the genus Methylobacterium were characterized in comparison with authentic strains of previously known species of this genus. Most of the isolates derived from chlorinated water supplies exhibited resistance to chlorine, whereas 29 to 40% of the isolates from air, natural aquatic environments, and clinical materials were chlorine resistant. None of the tested authentic strains of Methylobacterium species obtained from culture collections exhibited chlorine resistance. Numerical analysis of phenotypic profiles showed that the test organisms tested were separated from each other except M. organophilum and M. rhodesianum. The chlorine-resistant isolates were randomly distributed among all clusters. The 16S ribosomal DNA (rDNA) sequence-based phylogenetic analyses showed that representatives of the isolates together with known Methylobacterium species formed a line of descent distinct from that of members of related genera in the alpha-2 subclass of the Proteobacteria and were divided into three subclusters within the Methylobacterium group. These results demonstrate that there is phenotypic and genetic diversity among chlorine-resistant Methylobacterium strains within the genus.

  14. Genetic pathway in acquisition and loss of vancomycin resistance in a methicillin resistant Staphylococcus aureus (MRSA strain of clonal type USA300.

    Directory of Open Access Journals (Sweden)

    Susana Gardete

    2012-02-01

    Full Text Available An isolate of the methicillin-resistant Staphylococcus aureus (MRSA clone USA300 with reduced susceptibility to vancomycin (SG-R (i.e, vancomycin-intermediate S. aureus, VISA and its susceptible "parental" strain (SG-S were recovered from a patient at the end and at the beginning of an unsuccessful vancomycin therapy. The VISA phenotype was unstable in vitro generating a susceptible revertant strain (SG-rev. The availability of these 3 isogenic strains allowed us to explore genetic correlates of antibiotic resistance as it emerged in vivo. Compared to the susceptible isolate, both the VISA and revertant strains carried the same point mutations in yycH, vraG, yvqF and lspA genes and a substantial deletion within an intergenic region. The revertant strain carried a single additional frameshift mutation in vraS which is part of two component regulatory system VraSR. VISA isolate SG-R showed complex alterations in phenotype: decreased susceptibility to other antibiotics, slow autolysis, abnormal cell division and increased thickness of cell wall. There was also altered expression of 239 genes including down-regulation of major virulence determinants. All phenotypic properties and gene expression profile returned to parental levels in the revertant strain. Introduction of wild type yvqF on a multicopy plasmid into the VISA strain caused loss of resistance along with loss of all the associated phenotypic changes. Introduction of the wild type vraSR into the revertant strain caused recovery of VISA type resistance. The yvqF/vraSR operon seems to function as an on/off switch: mutation in yvqF in strain SG-R turns on the vraSR system, which leads to increase in vancomycin resistance and down-regulation of virulence determinants. Mutation in vraS in the revertant strain turns off this regulatory system accompanied by loss of resistance and normal expression of virulence genes. Down-regulation of virulence genes may provide VISA strains with a "stealth

  15. Antibiotic resistance patterns of coagulase-negative staphylococcus strains isolated from blood cultures of septicemic patients in Turkey.

    Science.gov (United States)

    Koksal, F; Yasar, H; Samasti, M

    2009-01-01

    The aim of this study is to determine antibiotic resistance patterns and slime production characteristics of coagulase-negative Staphylococci (CoNS) caused nosocomial bacteremia. A total of 200 CoNS strains were isolated from blood samples of patients with true bacteremia who were hospitalized in intensive care units and in other departments of Istanbul University Cerrahpasa Medical Hospital between 1999 and 2006. Among 200 CoNS isolates, Staphylococcus epidermidis was the most prevalent species (87) followed by Staphylococcus haemolyticus (23), Staphylococcus hominis (19), Staphylococcus lugdunensis (18), Staphylococcus capitis (15), Staphylococcus xylosus (10), Staphylococcus warneri (8), Staphylococcus saprophyticus (5), Staphylococcus lentus (5), Staphylococcus simulans (4), Staphylococcus chromogenes (3), Staphylococcus cohnii (1), Staphylococcus schleiferi (1), and Staphylococcus auricularis (1). Resistance to methicillin was detected in 67.5% of CoNS isolates. Methicillin-resistant CoNS strains were determined to be more resistant to antibiotics than methicillin-susceptible CoNS strains. Resistance rates of methicillin-resistant and methicillin-susceptible CoNS strains to the antibacterial agents, respectively, were as follows: gentamicin 90% and 17%, erythromycin 80% and 37%, clindamycin 72% and 18%, trimethoprim-sulfamethoxazole 68% and 38%, ciprofloxacin 67% and 23%, tetracycline 60% and 45%, chloramphenicol 56% and 13% and fusidic acid 25% and 15%. None of the strains were resistant to vancomycin and teicoplanin. Slime production was detected in 86 of 200 CoNS strains. Resistance to methicillin was found in 81% of slime-positive and in 57% of slime-negative strains. Our results indicated that there is a high level of resistance to widely used agents in causative methicillin-resistant CoNS strains. However fusidic acid has the smallest resistance ratio, with the exception of glycopeptides. Additionally, most S. epidermidis strains were slime

  16. Continuous Morbidity Registration at Dutch Sentinel Stations 2007.

    NARCIS (Netherlands)

    Donker, G.A.

    2007-01-01

    The flu epidemic in the winter of 2007/2008 was less severe but lasted longer than the epidemic of the previous year. Unexpectedly, 27% of the AH1N1 influenza viruses found last year proved to be resistant to the antiviral drug oseltamivir (Tamiflu). AH1N1 was the most common strain found during the

  17. Molecular characterization, fitness and mycotoxin production of Fusarium graminearum laboratory strains resistant to benzimidazoles.

    Science.gov (United States)

    Sevastos, A; Markoglou, A; Labrou, N E; Flouri, F; Malandrakis, A

    2016-03-01

    Six benzimidazole (BMZ)-resistant Fusarium graminearum strains were obtained after UV mutagenesis and selection on carbendazim (MBC)-amended medium. In vitro bioassays resulted in the identification of two resistant phenotypes that were highly HR (Rf: 40-170, based on EC50) and moderately MR (Rf: 10-20) resistant to carbendazim. Cross resistance studies with other fungicides showed that all mutant strains tested were also resistant to other BMZs, such as benomyl and thiabendazole, but retained their parental sensitivity to fungicides belonging to other chemical groups. A point mutation at codon 6 (His6Asn) was found in the β2-tubulin gene of MR isolates while another mutation at codon 200 (Phe200Tyr) was present in one MR and one HR isolates. Interestingly, low temperatures suppressed MBC-resistance in all isolates bearing the H6N mutation. The three-dimensional homology model of the wild-type and mutants of β-tubulins were constructed, and the possible carbendazim binding site was analyzed. Studies on fitness parameters showed that the mutation(s) for resistance to BMZs did not affect the mycelial growth rate whereas adverse effects were found in sporulation and conidial germination in most of the resistant mutants. Pathogenicity tests on corn cobs revealed that mutants were less or equally aggressive to the wild-type strain but expressed their BMZ-resistance after inoculation on maize cobs treated with MBC. Analysis of mycotoxin production by high performance liquid chromatography revealed that only two HR strains produced zearalenone (ZEA) at concentrations similar to that of the wild-type strain, while no ZEA levels were detected in the rest of the mutants. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Identification of Resistance to Wet Bubble Disease and Genetic Diversity in Wild and Cultivated Strains of Agaricus bisporus

    Directory of Open Access Journals (Sweden)

    Yongping Fu

    2016-09-01

    Full Text Available Outbreaks of wet bubble disease (WBD caused by Mycogone perniciosa are increasing across the world and seriously affecting the yield of Agaricus bisporus. However, highly WBD-resistant strains are rare. Here, we tested 28 A. bisporus strains for WBD resistance by inoculating M. perniciosa spore suspension on casing soil, and assessed genetic diversity of these strains using 17 new simple sequence repeat (SSR markers developed in this study. We found that 10 wild strains originating from the Tibetan Plateau in China were highly WBD-resistant strains, and 13 cultivated strains from six countries were highly susceptible strains. A total of 88 alleles were detected in these 28 strains, and the observed number of alleles per locus ranged from 2 to 8. Cluster and genetic structure analysis results revealed the wild resources from China have a relatively high level of genetic diversity and occur at low level of gene flow and introgression with cultivated strains. Moreover, the wild strains from China potentially have the consensus ancestral genotypes different from the cultivated strains and evolved independently. Therefore, the highly WBD-resistant wild strains from China and newly developed SSR markers could be used as novel sources for WBD-resistant breeding and quantitative trait locus (QTL mapping of WBD-resistant gene of A. bisporus.

  19. Recovery of electrical resistance in copper films on polyethylene terephthalate subjected to a tensile strain

    International Nuclear Information System (INIS)

    Glushko, O.; Marx, V.M.; Kirchlechner, C.; Zizak, I.; Cordill, M.J.

    2014-01-01

    Substantial recovery (decrease) of electrical resistance during and after unloading is demonstrated for copper films on polyethylene terephthalate substrates subjected to a tensile strain with different peak values. Particularly, the films strained to 5% exhibit full resistance recovery after unloading despite clearly visible plastic deformation of the film. The recovery of electrical resistance in connection with the mechanical behavior of film/substrate couple is discussed with the help of in situ scanning electron microscopy and X-ray diffraction analysis. - Highlights: • Tensile tests on 200 nm Cu films on PET substrate are performed. • Electrical resistance is recorded in-situ during loading and unloading. • Significant recovery (decrease) of resistance is observed during and after unloading. • Films strained to 5% demonstrate full resistance recovery. • Viscoelastic relaxation of PET is responsible for recovery of Cu film resistance

  20. Faecal shedding of antimicrobial-resistant Clostridium difficile strains by dogs.

    Science.gov (United States)

    Álvarez-Pérez, S; Blanco, J L; Peláez, T; Lanzarot, M P; Harmanus, C; Kuijper, E; García, M E

    2015-03-01

    To longitudinally assess the shedding of antimicrobial resistant Clostridium difficile strains by clinically healthy dogs raised at breeding facilities. 18 puppies from three different litters (#1, 2 and 3) were sampled weekly from parturition to day 20-55 postpartum. Faecal samples from the mothers of litters #2 and 3 were also available for analysis. Bacterial isolates were ribotyped, tested for in vitro antimicrobial susceptibility and further characterised. C. difficile was recovered from all sampled animals of litters #1 and 2, and a third of puppies from litter #3, but marked differences in C. difficile recovery were detected in different age groups (0-100%). Recovered PCR ribotypes included 056 (22 isolates), 010 (6 isolates), 078 and 213 (2 isolates each), and 009 and 020 (1 isolate each). Different ribotypes were shed by four individual animals. Regardless of their origin and ribotype, all isolates demonstrated full resistance to levofloxacin. Additionally, all but one isolate (belonging to ribotype 078) were resistant to ertapenem, and all ribotype 010 isolates displayed high-level resistance to clindamycin, clarithromycin and erythromycin. A single ribotype 078 isolate showed metronidazole heteroresistance. Healthy dogs can shed antimicrobial-resistant C. difficile strains. © 2014 British Small Animal Veterinary Association.

  1. RNAi validation of resistance genes and their interactions in the highly DDT-resistant 91-R strain of Drosophila melanogaster.

    Science.gov (United States)

    Gellatly, Kyle J; Yoon, Kyong Sup; Doherty, Jeffery J; Sun, Weilin; Pittendrigh, Barry R; Clark, J Marshall

    2015-06-01

    4,4'-dichlorodiphenyltrichloroethane (DDT) has been re-recommended by the World Health Organization for malaria mosquito control. Previous DDT use has resulted in resistance, and with continued use resistance will increase in terms of level and extent. Drosophila melanogaster is a model dipteran that has many available genetic tools, numerous studies done on insecticide resistance mechanisms, and is related to malaria mosquitoes allowing for extrapolation. The 91-R strain of D. melanogaster is highly resistant to DDT (>1500-fold), however, there is no mechanistic scheme that accounts for this level of resistance. Recently, reduced penetration, increased detoxification, and direct excretion have been identified as resistance mechanisms in the 91-R strain. Their interactions, however, remain unclear. Use of UAS-RNAi transgenic lines of D. melanogaster allowed for the targeted knockdown of genes putatively involved in DDT resistance and has validated the role of several cuticular proteins (Cyp4g1 and Lcp1), cytochrome P450 monooxygenases (Cyp6g1 and Cyp12d1), and ATP binding cassette transporters (Mdr50, Mdr65, and Mrp1) involved in DDT resistance. Further, increased sensitivity to DDT in the 91-R strain after intra-abdominal dsRNA injection for Mdr50, Mdr65, and Mrp1 was determined by a DDT contact bioassay, directly implicating these genes in DDT efflux and resistance. Copyright © 2015 Elsevier Inc. All rights reserved.

  2. Title: High-level cefotaxime-resistant Proteus mirabilis strain isolated ...

    African Journals Online (AJOL)

    oaca

    High-level cefotaxime-resistant Proteus mirabilis strain isolated from a Tunisian .... UV- visible) at 37°C. Specific activity is calculated on depending of. Ross and ..... Performance standards for antimicrobial susceptibility testing;. Seventeenth ...

  3. DETERMINATION OF THE SPECTRUM OF ANTIBIOTIC RESISTANCE GENES HAVE PHENOTYPIC RESISTANT STRAINS OF PARIETAL INTESTINAL MICROBIOTA IN RATS BY RT-PCR

    Directory of Open Access Journals (Sweden)

    Bukina Y.V.

    2016-06-01

    Full Text Available Introduction. The problem of formation of bacterial resistance to glycopeptides and beta-lactam antibiotics (cephalosporins and carbapenems are used worldwide for the treatment of severe community acquired and nosocomial infections, especially caused by polymicrobial flora has become global and is a major factor limiting the effectiveness of antibiotic therapy. In this regard, the study of genetic microbial resistance determinants allows not only to carry out an effective antibiotic therapy, but also to identify two main processes leading to the development of epidemiologically significant events: the introduction of the agent in the risk population from the outside and in situ pathogen (spontaneous genetic drift targeted restructuring of the population. Therefore, the aim of our study was to investigate the resistance genes to carbapenems, cephalosporins, glycopeptides have clinically important phenotype of resistant strains of microorganisms families Enterobacteriaceae, Pseudomonadaceae, Bacteroidaceae, Enterococcaceae, Peptostreptococcaceae. Materials and methods. As a material for PCR studies 712 phenotypically resistant strains of microorganisms isolated from 80 rats "Wistar" line in microbiological study microflora of the wall were used. During the investigation 474 isolates of bacteria of the family Enterobacteriaceae, 39 - Pseudomonadaceae, 71 - Bacteroidaceae, 96 - Enterococcaceae, 32 - Peptostreptococcaceae were studied. Isolation of DNA from bacteria in the study was performed using reagents "DNA-Express" ("Litekh", Russia. For the detection of resistance genes by PCR in real time (RT-PCR reagent kits "FLUOROPOL-RV" ("Litekh", Russia were used. During the experiment, the VIM genes, OXA-48, NDM, KPC, responsible for the resistance of microorganisms to carbapenems, CTX-M - resistance to cephalosporins, as well as genes Van A and van B, the development of resistance to glycopeptides (vancomycin and teicoplanin were determined. Analysis

  4. Molecular dynamics simulations suggest that electrostatic funnel directs binding of Tamiflu to influenza N1 neuraminidases.

    Directory of Open Access Journals (Sweden)

    Ly Le

    2010-09-01

    Full Text Available Oseltamivir (Tamiflu is currently the frontline antiviral drug employed to fight the flu virus in infected individuals by inhibiting neuraminidase, a flu protein responsible for the release of newly synthesized virions. However, oseltamivir resistance has become a critical problem due to rapid mutation of the flu virus. Unfortunately, how mutations actually confer drug resistance is not well understood. In this study, we employ molecular dynamics (MD and steered molecular dynamics (SMD simulations, as well as graphics processing unit (GPU-accelerated electrostatic mapping, to uncover the mechanism behind point mutation induced oseltamivir-resistance in both H5N1 "avian" and H1N1pdm "swine" flu N1-subtype neuraminidases. The simulations reveal an electrostatic binding funnel that plays a key role in directing oseltamivir into and out of its binding site on N1 neuraminidase. The binding pathway for oseltamivir suggests how mutations disrupt drug binding and how new drugs may circumvent the resistance mechanisms.

  5. GENETIC DIVERSITY OF DRUG RESISTANT STRAINS OF MYCOBACTERIUM TUBERCULOSIS IN OMSK REGION

    Directory of Open Access Journals (Sweden)

    O. A. Pаsechnik

    2017-01-01

    Full Text Available The article presents the investigation results of the specific epidemic situation on tuberculous infection in Omsk Region in 2006-2015 and molecular genetic features of M. tuberculosis strains with multiple drug resistance circulating in this region. Bacteriological, molecular genetic methods, VNTR-typing were used as well as descriptive techniques of the epidemiological process. Tuberculosis prevalence made 269.2 per 100,000 population. There is an increase in those with bacillary excretion among new cases of respiratory tuberculosis from 39.8% to 53.4%. Drug resistance was detected in 48.0% of new cases. Among drug resistance patterns, MDR made 57%, and extensive drug resistance (XDR increased from 2.5 to 7.0%. In 2015 prevalence of XDR tuberculosis made 8.9 per 100,000 population in Omsk Region. When performing VNTR-typing of 77 samples of M. tuberculosis DNA with MDR, 27 genetic types were identified. The population of MDR strain of M. tuberculosis is heterogeneous and presented by strains of various genetic families -Beijing, LAM, S,Haarlem,Uganda. The investigation showed that isolates ofBeijing family prevailed (76.6%.

  6. Lead-resistant Providencia alcalifaciens strain 2EA bioprecipitates Pb+2 as lead phosphate.

    Science.gov (United States)

    Naik, M M; Khanolkar, D; Dubey, S K

    2013-02-01

    A lead-resistant bacteria isolated from soil contaminated with car battery waste were identified as Providencia alcalifaciens based on biochemical characteristics, FAME profile and 16S rRNA sequencing and designated as strain 2EA. It resists lead nitrate up to 0·0014 mol l(-1) by precipitating soluble lead as insoluble light brown solid. Scanning electron microscopy coupled with energy-dispersive X-ray spectrometric analysis (SEM-EDX) and X-ray diffraction spectroscopy (XRD) revealed extracellular light brown precipitate as lead orthophosphate mineral, that is, Pb(9) (PO(4))(6) catalysed by phosphatase enzyme. This lead-resistant bacterial strain also demonstrated tolerance to high levels of cadmium and mercury along with multiple antibiotic resistance. Providencia alcalifaciens strain 2EA could be used for bioremediation of lead-contaminated environmental sites, as it can efficiently precipitate lead as lead phosphate. © 2012 The Society for Applied Microbiology.

  7. Expression of xenobiotic metabolizing cytochrome P450 genes in a spinosad-resistant Musca domestica L. strain.

    Directory of Open Access Journals (Sweden)

    Dorte H Højland

    Full Text Available Spinosad is important in pest management strategies of multiple insect pests. However, spinosad resistance is emerging in various pest species. Resistance has in some species been associated with alterations of the target-site receptor, but in others P450s seems to be involved. We test the possible importance of nine cytochrome P450 genes in the spinosad-resistant housefly strain 791spin and investigate the influence of spinosad on P450 expression in four other housefly strains.Significant differences in P450 expression of the nine P450 genes in the four strains after spinosad treatment were identified in 40% of cases, most of these as induction. The highly expressed CYP4G2 was induced 6.6-fold in the insecticide susceptible WHO-SRS females, but decreased 2-fold in resistant 791spin males. CYP6G4 was constitutively higher expressed in the resistant strain compared to the susceptible strain. Furthermore, CYP6G4 gene expression was increased in susceptible WHO-SRS flies by spinosad while the expression level did not alter significantly in resistant fly strains. Expression of CYP6A1 and male CYP6D3 was constitutively higher in the resistant strain compared to the susceptible. However, in both cases male expression was higher than female expression.CYP4G2, CYP6A1, CYP6D3 and CYP6G4 have expressions patterns approaching the expectations of a hypothesized sex specific spinosad resistance gene. CYP4G2 fit requirements of a spinosad resistance gene best, making it the most likely candidate. The overall high expression level of CYP4G2 throughout the strains also indicates importance of this gene. However, the data on 791spin are not conclusive concerning spinosad resistance and small contributions from multiple P450s with different enzymatic capabilities could be speculated to do the job in 791spin. Differential expression of P450s between sexes is more a rule than an exception. Noteworthy differences between spinosad influenced expression of P450 genes

  8. Development of the radiation-resistant strain of Moraxella osloensis and effect of penicillin G on its growth

    International Nuclear Information System (INIS)

    Lim, Sangyong; Yun, Hyejeong; Joe, Minho; Kim, Dongho

    2009-01-01

    A series of repeated exposures to γ-radiation with intervening outgrowth of survivors was used to develop radioresistant cultures of Moraxella osloensis that have been recognized as potential pathogenic microorganism. The D 10 value of the radiation-resistant strain, 5.903±0.006 kGy, was increased by four-fold compared to the parent wild-type strain, 1.637±0.004 kGy. Since most strains of M. osloensis are sensitive to penicillin, we have surveyed the sensitivity of radiation-resistant strain to this antibiotic. When the optical density was monitored after the addition of penicillin G, the radioresistant strain appeared to be more resistant to only a low concentration of penicillin G (0.5 U/ml) than the parent strain. Interestingly, however, there was no apparent difference in the number of viable cells between both strains. Scanning electron microscope data showed that the resistance cells were generally larger than the parent cells, suggesting that this increase in size may cause a higher optical density of radioresistant cells. In conclusion, radiation mutation does not affect the penicillin resistance of M. osloensis.

  9. Development of the radiation-resistant strain of Moraxella osloensis and effect of penicillin G on its growth

    Science.gov (United States)

    Lim, Sangyong; Yun, Hyejeong; Joe, Minho; Kim, Dongho

    2009-07-01

    A series of repeated exposures to γ-radiation with intervening outgrowth of survivors was used to develop radioresistant cultures of Moraxella osloensis that have been recognized as potential pathogenic microorganism. The D10 value of the radiation-resistant strain, 5.903±0.006 kGy, was increased by four-fold compared to the parent wild-type strain, 1.637±0.004 kGy. Since most strains of M. osloensis are sensitive to penicillin, we have surveyed the sensitivity of radiation-resistant strain to this antibiotic. When the optical density was monitored after the addition of penicillin G, the radioresistant strain appeared to be more resistant to only a low concentration of penicillin G (0.5 U/ml) than the parent strain. Interestingly, however, there was no apparent difference in the number of viable cells between both strains. Scanning electron microscope data showed that the resistance cells were generally larger than the parent cells, suggesting that this increase in size may cause a higher optical density of radioresistant cells. In conclusion, radiation mutation does not affect the penicillin resistance of M. osloensis.

  10. Development of the radiation-resistant strain of Moraxella osloensis and effect of penicillin G on its growth

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Sangyong; Yun, Hyejeong; Joe, Minho [Radiation Research Division for Biotechnology, Korea Atomic Energy Research Institute, Jeongeup 580-185 (Korea, Republic of); Kim, Dongho [Radiation Research Division for Biotechnology, Korea Atomic Energy Research Institute, Jeongeup 580-185 (Korea, Republic of)], E-mail: fungikim@kaeri.re.kr

    2009-07-15

    A series of repeated exposures to {gamma}-radiation with intervening outgrowth of survivors was used to develop radioresistant cultures of Moraxella osloensis that have been recognized as potential pathogenic microorganism. The D{sub 10} value of the radiation-resistant strain, 5.903{+-}0.006 kGy, was increased by four-fold compared to the parent wild-type strain, 1.637{+-}0.004 kGy. Since most strains of M. osloensis are sensitive to penicillin, we have surveyed the sensitivity of radiation-resistant strain to this antibiotic. When the optical density was monitored after the addition of penicillin G, the radioresistant strain appeared to be more resistant to only a low concentration of penicillin G (0.5 U/ml) than the parent strain. Interestingly, however, there was no apparent difference in the number of viable cells between both strains. Scanning electron microscope data showed that the resistance cells were generally larger than the parent cells, suggesting that this increase in size may cause a higher optical density of radioresistant cells. In conclusion, radiation mutation does not affect the penicillin resistance of M. osloensis.

  11. Silencing Agrobacterium oncogenes in transgenic grapevine results in strain-specific crown gall resistance.

    Science.gov (United States)

    Galambos, A; Zok, A; Kuczmog, A; Oláh, R; Putnoky, P; Ream, W; Szegedi, E

    2013-11-01

    Grapevine rootstock transformed with an Agrobacterium oncogene-silencing transgene was resistant to certain Agrobacterium strains but sensitive to others. Thus, genetic diversity of Agrobacterium oncogenes may limit engineering crown gall resistance. Crown gall disease of grapevine induced by Agrobacterium vitis or Agrobacterium tumefaciens causes serious economic losses in viticulture. To establish crown gall-resistant lines, somatic proembryos of Vitis berlandieri × V. rupestris cv. 'Richter 110' rootstock were transformed with an oncogene-silencing transgene based on iaaM and ipt oncogene sequences from octopine-type, tumor-inducing (Ti) plasmid pTiA6. Twenty-one transgenic lines were selected, and their transgenic nature was confirmed by polymerase chain reaction (PCR). These lines were inoculated with two A. tumefaciens and three A. vitis strains. Eight lines showed resistance to octopine-type A. tumefaciens A348. Resistance correlated with the expression of the silencing genes. However, oncogene silencing was mostly sequence specific because these lines did not abolish tumorigenesis by A. vitis strains or nopaline-type A. tumefaciens C58.

  12. Enriched whole genome sequencing identified compensatory mutations in the RNA polymerase gene of rifampicin-resistant Mycobacterium leprae strains

    Directory of Open Access Journals (Sweden)

    Lavania M

    2018-01-01

    Full Text Available Mallika Lavania,1 Itu Singh,1 Ravindra P Turankar,1 Anuj Kumar Gupta,2 Madhvi Ahuja,1 Vinay Pathak,1 Utpal Sengupta1 1Stanley Browne Laboratory, The Leprosy Mission Trust India, TLM Community Hospital Nand Nagari, 2Agilent Technologies India Pvt Ltd, Jasola District Centre, New Delhi, India Abstract: Despite more than three decades of multidrug therapy (MDT, leprosy remains a major public health issue in several endemic countries, including India. The emergence of drug resistance in Mycobacterium leprae (M. leprae is a cause of concern and poses a threat to the leprosy-control program, which might ultimately dampen the achievement of the elimination program of the country. Rifampicin resistance in clinical strains of M. leprae are supposed to arise from harboring bacterial strains with mutations in the 81-bp rifampicin resistance determining region (RRDR of the rpoB gene. However, complete dynamics of rifampicin resistance are not explained only by this mutation in leprosy strains. To understand the role of other compensatory mutations and transmission dynamics of drug-resistant leprosy, a genome-wide sequencing of 11 M. leprae strains – comprising five rifampicin-resistant strains, five sensitive strains, and one reference strain – was done in this study. We observed the presence of compensatory mutations in two rifampicin-resistant strains in rpoC and mmpL7 genes, along with rpoB, that may additionally be responsible for conferring resistance in those strains. Our findings support the role for compensatory mutation(s in RNA polymerase gene(s, resulting in rifampicin resistance in relapsed leprosy patients. Keywords: leprosy, rifampicin resistance, compensatory mutations, next generation sequencing, relapsed, MDT, India

  13. Drug Resistance and Population Structure of Mycobacterium tuberculosis Beijing Strains Isolated in Poland.

    Science.gov (United States)

    Kozińska, Monika; Augustynowicz-Kopeć, Ewa

    2015-01-01

    In total, 1095 Mycobacterium tuberculosis clinical isolates from 282 patients with drug-resistant and 813 with drug-sensitive tuberculosis (TB) in Poland during 2007-2011 were analysed. Seventy-one (6.5%) patients were found to have strains of Beijing genotype as defined by spoligotyping. The majority of patients were Polish-born; among foreign-born a large proportion came from Chechnya and Vietnam. Analysis showed strong associations between Beijing genotype infection and MDR, pre-XDR and XDR resistance, with a considerable relative risk among new patients, suggesting that this is due to increased spread of drug-resistant strains rather than acquisition of resistance during treatment.

  14. Antimicrobial resistance of Enterococcus faecium strains isolated from commercial probiotic products used in cattle and swine.

    Science.gov (United States)

    Amachawadi, Raghavendra G; Giok, Felicia; Shi, Xiaorong; Soto, Jose; Narayanan, Sanjeev K; Tokach, Mike D; Apley, Mike D; Nagaraja, T G

    2018-04-03

    Probiotics, an antibiotic alternative, are widely used as feed additives for performance benefits in cattle and swine production systems. Among bacterial species contained in probiotics, Enterococcus faecium is common. Antimicrobial resistance (AMR), particularly multidrug resistance, is a common trait among enterococci because of their propensity to acquire resistance and horizontally transfer AMR genes. Also, E. faecium is an opportunistic pathogen, and in the United States, it is the second most common nosocomial pathogen. There has been no published study on AMR and virulence potential in E. faecium contained in probiotic products used in cattle and swine in the United States. Therefore, our objectives were to determine phenotypic susceptibilities or resistance to antimicrobials, virulence genes (asa1, gelE, cylA, esp, and hyl) and assess genetic diversity of E. faecium isolated from commercial products. Twenty-two commercially available E. faecium-based probiotic products used in cattle (n = 13) and swine (n = 9) were procured and E. faecium was isolated and species confirmed. Antimicrobial susceptibility testing to determine minimum inhibitory concentrations was done by micro-broth dilution method using National Antimicrobial Resistance Monitoring Systems Gram-positive Sensititre panel plate (CMV3AGPF), and categorization of strains as susceptible or resistant was as per Clinical Laboratory and Standards Institute's guidelines. E. faecium strains from 7 products (3 for swine and 4 for cattle) were pan-susceptible to the 16 antimicrobials tested. Strains from 15 products (6 for swine and 9 for cattle) exhibited resistance to at least one antimicrobial and a high proportion of strains was resistant to lincomycin (10/22), followed by tetracycline (4/22), daptomycin (4/22), ciprofloxacin (4/22), kanamycin (3/22), and penicillin (2/22). Four strains were multidrug resistant, with resistant phenotypes ranging from 3 to 6 antimicrobials or class. None of the E

  15. Standard test methods for performance characteristics of metallic bonded resistance strain gages

    CERN Document Server

    American Society for Testing and Materials. Philadelphia

    1992-01-01

    1.1 The purpose of this standard is to provide uniform test methods for the determination of strain gauge performance characteristics. Suggested testing equipment designs are included. 1.2 Test Methods E 251 describes methods and procedures for determining five strain gauge parameters: Section Part I—General Requirements 7 Part II—Resistance at a Reference Temperature 8 Part III—Gauge Factor at a Reference Temperature 9 Part IV—Temperature Coefficient of Gauge Factor\t10 Part V—Transverse Sensitivity\t11 Part VI—Thermal Output\t12 1.3 Strain gauges are very sensitive devices with essentially infinite resolution. Their response to strain, however, is low and great care must be exercised in their use. The performance characteristics identified by these test methods must be known to an acceptable accuracy to obtain meaningful results in field applications. 1.3.1 Strain gauge resistance is used to balance instrumentation circuits and to provide a reference value for measurements since all data are...

  16. Phage-resistance linked to cell heterogeneity in the commercial strain Lactobacillus delbrueckii subsp. lactis Ab1.

    Science.gov (United States)

    Suárez, Viviana B; Maciel, Natalia; Guglielmotti, Daniela; Zago, Miriam; Giraffa, Giorgio; Reinheimer, Jorge

    2008-12-10

    The aim of this work was to study the relationship between the cell morphological heterogeneity and the phage-resistance in the commercial strain Lactobacillus delbrueckii subsp. lactis Ab1. Two morphological variants (named C and T) were isolated from this strain. Phage-resistant derivatives were isolated from them and the percentage of occurrence of confirmed phage-resistant cells was 0.001% of the total cellular population. Within these phage-resistant cell derivatives there were T (3 out of 4 total isolates) and C (1 out of 4 total isolates) variants. The study of some technological properties (e.g. proteolytic and acidifying activities) demonstrated that most of phage-resistant derivatives were not as good as the parental strain. However, for one derivative (a T variant), the technological properties were better than those of the parental strain. On the other hand, it was possible to determinate that the system of phage-resistance in the T variants was interference in adsorption step, with adsorption rates M.

  17. Evaluation of Susceptibility of Strains of Candida Albicans Isolated from AIDS Patients to Fluconazole and Determination of CDR2 Resistance Gene in Resistant Strains by RT-PCR Method

    Directory of Open Access Journals (Sweden)

    E Farahbakhsh

    2011-08-01

    Full Text Available Introduction & Objective: Nowadays, opportunistic fungi especially Candida albicans are the most common cause of life-threatening infections in immunodeficiency patients. Increasing Azole-resistant strains of C.albicans are a main problem in human immunodeficiency virus-infected patients. The aim of this study was to evaluate the CDR2 gene in C.albicans azole resistant strains, isolated from AIDS patients with oropharyngeal candidiasis by RT-PCR method. Materials & Methods: The present experimental study was conducted at Tarbiat Modares University of Medical Sciences in 2009. C. albicans isolates from HIV infected patients were identified by standard procedures, including germ tube formation, clamidospor and color of colonies on CHROM agar. At first, susceptibility of C. albicans isolates was assessed by disk diffusion agar technique. Then, CDR2 resistance gene was analyzed by RT-PCR and electrophoresis of the PCR products. Finally, patterns of the resulted bands were compared with standard fluconazole resistant strains. The collected data was analyzed using the SPSS software. Results: The results of drug sensitivity of 66 C. albicans isolates from AIDS patients revealed that 62.6% were susceptible, 8.6% were susceptible-dose dependent (SDD and 28.7% were resistant. In RT-PCR analysis, 6% of patients had the CDR2 gene. Conclusion: The use of phenotypic methods like disk diffusion agar, which is cheaper, along with genotypic methods, like RT-PCR, which provide the possibility of studying the mechanism of drug resistance, is recommended.

  18. Viability, biofilm formation, and MazEF expression in drug-sensitive and drug-resistant Mycobacterium tuberculosis strains circulating in Xinjiang, China.

    Science.gov (United States)

    Zhao, Ji-Li; Liu, Wei; Xie, Wan-Ying; Cao, Xu-Dong; Yuan, Li

    2018-01-01

    Tuberculosis (TB) caused by Mycobacterium tuberculosis (MTB) is one of the most common chronic infectious amphixenotic diseases worldwide. Prevention and control of TB are greatly difficult, due to the increase in drug-resistant TB, particularly multidrug-resistant TB. We speculated that there were some differences between drug-sensitive and drug-resistant MTB strains and that mazEF 3,6,9 toxin-antitoxin systems (TASs) were involved in MTB viability. This study aimed to investigate differences in viability, biofilm formation, and MazEF expression between drug-sensitive and drug-resistant MTB strains circulating in Xinjiang, China, and whether mazEF 3,6,9 TASs contribute to MTB viability under stress conditions. Growth profiles and biofilm-formation abilities of drug-sensitive, drug-resistant MTB strains and the control strain H37Rv were monitored. Using molecular biology experiments, the mRNA expression of the mazF 3, 6, and 9 toxin genes, the mazE 3, 6, and 9 antitoxin genes, and expression of the MazF9 protein were detected in the different MTB strains, H37RvΔ mazEF 3,6,9 mutants from the H37Rv parent strain were generated, and mutant viability was tested. Ex vivo culture analyses demonstrated that drug-resistant MTB strains exhibit higher survival rates than drug-sensitive strains and the control strain H37Rv. However, there was no statistical difference in biofilm-formation ability in the drug-sensitive, drug-resistant, and H37Rv strains. mazE 3,6 mRNA-expression levels were relatively reduced in the drug-sensitive and drug-resistant strains compared to H37Rv. Conversely, mazE 3,9 expression was increased in drug-sensitive strains compared to drug-resistant strains. Furthermore, compared with the H37Rv strain, mazF 3,6 expression was increased in drug-resistant strains, mazF 9 expression was increased in drug-sensitive strains, and mazF 9 exhibited reduced expression in drug-resistant strains compared with drug-sensitive strains. Protein expression of mazF9

  19. Resistance of Permafrost and Modern Acinetobacter lwoffii Strains to Heavy Metals and Arsenic Revealed by Genome Analysis.

    Science.gov (United States)

    Mindlin, Sofia; Petrenko, Anatolii; Kurakov, Anton; Beletsky, Alexey; Mardanov, Andrey; Petrova, Mayya

    2016-01-01

    We performed whole-genome sequencing of five permafrost strains of Acinetobacter lwoffii (frozen for 15-3000 thousand years) and analyzed their resistance genes found in plasmids and chromosomes. Four strains contained multiple plasmids (8-12), which varied significantly in size (from 4,135 to 287,630 bp) and genetic structure; the fifth strain contained only two plasmids. All large plasmids and some medium-size and small plasmids contained genes encoding resistance to various heavy metals, including mercury, cobalt, zinc, cadmium, copper, chromium, and arsenic compounds. Most resistance genes found in the ancient strains of A . lwoffii had their closely related counterparts in modern clinical A . lwoffii strains that were also located on plasmids. The vast majority of the chromosomal resistance determinants did not possess complete sets of the resistance genes or contained truncated genes. Comparative analysis of various A . lwoffii and of A . baumannii strains discovered a number of differences between them: (i) chromosome sizes in A . baumannii exceeded those in A . lwoffii by about 20%; (ii) on the contrary, the number of plasmids in A . lwoffii and their total size were much higher than those in A . baumannii ; (iii) heavy metal resistance genes in the environmental A . lwoffii strains surpassed those in A . baumannii strains in the number and diversity and were predominantly located on plasmids. Possible reasons for these differences are discussed.

  20. Variations in Endosymbiont Infection Between Buprofezin-Resistant and Susceptible Strains of Laodelphax striatellus (Fallén).

    Science.gov (United States)

    Li, Yongteng; Liu, Xiangdong; Guo, Huifang

    2018-06-01

    The endosymbionts Wolbachia and Rickettsia have been shown to be correlated with the insecticide resistance of mosquito and whitefly. The small brown planthopper (SBPH), Laodelphax striatellus, harbours many species of endosymbionts, and has developed a high resistance to buprofezin in China. In this study, we examined the species and the infection incidences of endosymbionts in a buprofezin-resistant (BR) strain, a buprofezin-susceptible (BS) strain, and the BR strain after exposure to buprofezin, and we also investigated the change in buprofezin susceptibility after removal of Wolbachia from the BR strain. The results showed that Wolbachia infection incidences were 100% in both the BR and BS strains, but the Wolbachia density in the BR strain was significantly higher than that in the BS strain. There were no significant differences in Arsenophonus infection incidence between the two strains. However, the infection incidence of Serratia and double infection incidence of Serratia + Wolbachia in the BR strain were significantly higher than that in the BS strain. After the BR strain was exposed to 1200 mg/L buprofezin, the infection incidence of Arsenophonus in the surviving individuals increased, and the infection rate of Serratia did not differ, but the double infection incidence of Serratia + Wolbachia decreased. And when a Wolbachia-infected line originating from the BR strain was cleared of Wolbachia, its susceptibility to buprofezin increased. The results suggest that Serratia and Wolbachia infection might improve the buprofezin resistance of SBPH.

  1. Assessment of strains of Pseudomonas syringae pv. tomato from Tanzania for resistance to copper and streptomycin

    DEFF Research Database (Denmark)

    Shenge, K.C.; Wydra, K.; Mabagala, M.B.

    2008-01-01

    Fifty-six strains of Pseudomonas syringae pv. tomato (P.s. pv. tomato) were collected from tomato-producing areas in Tanzania and assessed for resistance to copper and antibiotics. The collection was done from three tomato-producing regions (Morogoro, Arusha and Iringa), representing three...... different ecological conditions in the country. After isolation and identification, the P. s. pv. tomato strains were grown on King's medium B (KB) amended with 20% copper sulphate (w/v). The strains were also assessed for resistance to antibiotics. Results indicated that there was widespread resistance...... strains of the pathogen were moderately resistant to copper sulphate, such that 54.0% of them were able to grow on the KB medium amended with 20% (w/v) of the copper compound....

  2. Mapping the resistance-associated mobilome of a carbapenem-resistant Klebsiella pneumoniae strain reveals insights into factors shaping these regions and facilitates generation of a 'resistance-disarmed' model organism.

    Science.gov (United States)

    Bi, Dexi; Jiang, Xiaofei; Sheng, Zi-Ke; Ngmenterebo, David; Tai, Cui; Wang, Minggui; Deng, Zixin; Rajakumar, Kumar; Ou, Hong-Yu

    2015-10-01

    This study aims to investigate the landscape of the mobile genome, with a focus on antibiotic resistance-associated factors in carbapenem-resistant Klebsiella pneumoniae. The mobile genome of the completely sequenced K. pneumoniae HS11286 strain (an ST11, carbapenem-resistant, near-pan-resistant, clinical isolate) was annotated in fine detail. The identified mobile genetic elements were mapped to the genetic contexts of resistance genes. The blaKPC-2 gene and a 26 kb region containing 12 clustered antibiotic resistance genes and one biocide resistance gene were deleted, and the MICs were determined again to ensure that antibiotic resistance had been lost. HS11286 contains six plasmids, 49 ISs, nine transposons, two separate In2-related integron remnants, two integrative and conjugative elements (ICEs) and seven prophages. Sixteen plasmid-borne resistance genes were identified, 14 of which were found to be directly associated with Tn1721-, Tn3-, Tn5393-, In2-, ISCR2- and ISCR3-derived elements. IS26 appears to have actively moulded several of these genetic regions. The deletion of blaKPC-2, followed by the deletion of a 26 kb region containing 12 clustered antibiotic resistance genes, progressively decreased the spectrum and level of resistance exhibited by the resultant mutant strains. This study has reiterated the role of plasmids as bearers of the vast majority of resistance genes in this species and has provided valuable insights into the vital role played by ISs, transposons and integrons in shaping the resistance-coding regions in this important strain. The 'resistance-disarmed' K. pneumoniae ST11 strain generated in this study will offer a more benign and readily genetically modifiable model organism for future extensive functional studies. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  3. Resistance to Antibiotics in Strains of Staphylococcus spp., Enterococcus spp. and Escherichia coli Isolated from Rectal Swabs of Pigs

    Directory of Open Access Journals (Sweden)

    M. Kolář

    2008-01-01

    Full Text Available The study aimed at determining the level of resistance of selected bacterial species (Staphylococcus spp., Enterococcus spp., Escherichia coli isolated from rectal swabs of pigs to antimicrobial agents. The tested strains were isolated from piglets aged 7 to 30 days. Bacterial species were identified by standard microbiological techniques and susceptibility to antibiotics was determined quantitatively by the standard microdilution method. Resistance of the Staphylococcus aureus strain to oxacillin was confirmed by detection of the mecA gene and PBP2a. A total of 115 Staphylococcus spp. isolates were collected. In the case of Staphylococcus aureus, the methicillin-resistant strain (MRSA was identified. Moreover, higher frequency of coagulase-negative staphylococci with minimum inhibitory concentration of oxacillin ≥ 0.5 mg/l was noticed. Inducible resistance to clindamycin in the Staphylococcus hominis strain was also detected. The strains of Enterococcus spp. (61 isolates exhibited high resistance to tetracycline (98.5%, erythromycin (86.8% and chloramphenicol (54.4%. Vancomycin-resistant enterococci were not isolated. In the case of Escherichia coli strains (111 isolates, higher frequency of resistant strains to tetracycline (81.1% and ampicillin (62.2% was documented. Resistance to fluoroquinolones and production of broad-spectrum β-lactamases was not noticed. The presented study may be considered as a pilot project assessing the prevalence of resistant bacteria in piglets kept on a single farm. It demonstrated the presence of resistant strains of Staphylococcus spp., including one MRSA strain, Enterococcus spp. and Escherichia coli. These strains may be present as a result of postnatal colonization with both bacterial microflora of dams and environmental microflora.

  4. Comparison of Resistant and Susceptible Strains of Trichomons vaginalis to Metronidazole Using PCR Method

    Directory of Open Access Journals (Sweden)

    M Fallah

    2012-09-01

    Full Text Available Background: Metronidazole is drug of choice recommended by WHO for treatment of trichomoniasis, however, some reports claims drug resistance in Trichomonas vaginalis isolates recently. The objective of this study was to determine the minimum lethal concentration (MLC of metronidazole in resistant and sensitive strains, as well as genetic patterns of these stains by PCR method. Methods: From February 2006 to March 2007, in a cross sectional study, clinical and wet mount examination of vaginal smear along with culture were performed on 683 women attending to public and private outpatient clinics in Hamadan. Trichomoniasis marked based on major clinical symptoms. Diagnosis confirmed using wet mount microscopically and culture in Diamond medium. A serial concentration of metronidazole was provided and all isolated Trichomonas strains (resistant and sensitive tested by standard method. Finally, all sensitive and resistant strains examined by PCR technique. Results: Only 15/683, (2.2% of patients clinically diagnosed trichomonal vaginitis were positive for T. vaginalis by wet smear and culture. The minimum lethal concentration (MLC for clinically sensitive isolates was 25 µg/ml; however, this concentration for resistant isolates was 200 µg/ml after 24 h and 100 µg/ml after 50 h. The results of PCR examination of DNA from sensitive and resistant isolates had same pattern. The lanes appeared by two primers were 98 bp and 261 bp for both clinically sensitive and resistant strains. Conclusion: Resistance to metronidazole in T. vaginalis has not relation to genetic variations and might be related to some physiologic pathways of organism.

  5. [Changes of resistant phenotype and CRISPR/Cas system of four Shigella strains passaged for 90 times without antibiotics].

    Science.gov (United States)

    Zhang, B; Hong, L J; Duan, G C; Liang, W J; Yang, H Y; Xi, Y L

    2017-02-10

    Objective: To explore the stability of resistant phenotypes and changes of clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) gene system on four Shigella strains in the absence of antibiotics. Methods: Four clinical isolated Shigella strains that resistant to different antibiotics were consecutive passaged for 90 times without antibiotics. Agar dilution method was used to determine the minimum inhibitory concentration of Shigella strains. After sequence analysis with PCR, CRISPR Finder and Clustal X 2.1 were applied to identify the changes of CRISPR loci in the Shigella strains. Results: After the consecutive transfer of 90 generations, sensitivity to certain antibiotics of four Shigella strains with different drug resistant spectrums increased. Mel-sf1998024/zz resistance to ampicillin, cephalexin, cefotaxime, chloramphenicol decreased, mel-s2014026/sx resistance to norfloxacin, trimethoprim decreased, mel-sf2004004/sx drug resistance to ampicillin, cefuroxime, cefotaxime, chloramphenicol, trimethoprim decreased and mel-sf2013004/bj resistance to chloramphenicol decreased. The spacer of which matched gene codes Cas and its upstream repeat in 3'end of CRISPR3 got lost in mel-sf1998024/zz and mel-sf2013004/bj. Conclusions: Shigella strains could reduce or lose their resistance to some antibiotics after consecutive transfers, without the interference of antibiotics. CRISPR3 locus had dynamic spacers in Shigella strains while CRISPR3 locus and cas genes might have been co-evolved.

  6. Survey on the phage resistance mechanisms displayed by a dairy Lactobacillus helveticus strain.

    Science.gov (United States)

    Zago, Miriam; Orrù, Luigi; Rossetti, Lia; Lamontanara, Antonella; Fornasari, Maria Emanuela; Bonvini, Barbara; Meucci, Aurora; Carminati, Domenico; Cattivelli, Luigi; Giraffa, Giorgio

    2017-09-01

    In this study the presence and functionality of phage defence mechanisms in Lactobacillus helveticus ATCC 10386, a strain of dairy origin which is sensitive to ΦLh56, were investigated. After exposure of ATCC 10386 to ΦLh56, the whole-genome sequences of ATCC 10386 and of a phage-resistant derivative (LhM3) were compared. LhM3 showed deletions in the S-layer protein and a higher expression of the genes involved in the restriction/modification (R/M) system. Genetic data were substantiated by measurements of bacteriophage adsorption rates, efficiency of plaquing, cell wall protein size and by gene expression analysis. In LhM3 two phage resistance mechanisms, the inhibition of phage adsorption and the upregulation of Type I R/M genes, take place and explain its resistance to ΦLh56. Although present in both ATCC 10386 and LhM3 genomes, the CRISPR machinery did not seem to play a role in the phage resistance of LhM3. Overall, the natural selection of phage resistant strains resulted successful in detecting variants carrying multiple phage defence mechanisms in L. helveticus. The concurrent presence of multiple phage-resistance systems should provide starter strains with increased fitness and robustness in dairy ecosystems. Copyright © 2017 Elsevier Ltd. All rights reserved.

  7. Introgression of ivermectin resistance genes into a susceptible Haemonchus contortus strain by multiple backcrossing.

    Directory of Open Access Journals (Sweden)

    Elizabeth Redman

    2012-02-01

    Full Text Available Anthelmintic drug resistance in livestock parasites is already widespread and in recent years there has been an increasing level of anthelmintic drug selection pressure applied to parasitic nematode populations in humans leading to concerns regarding the emergence of resistance. However, most parasitic nematodes, particularly those of humans, are difficult experimental subjects making mechanistic studies of drug resistance extremely difficult. The small ruminant parasitic nematode Haemonchus contortus is a more amenable model system to study many aspects of parasite biology and investigate the basic mechanisms and genetics of anthelmintic drug resistance. Here we report the successful introgression of ivermectin resistance genes from two independent ivermectin resistant strains, MHco4(WRS and MHco10(CAVR, into the susceptible genome reference strain MHco3(ISE using a backcrossing approach. A panel of microsatellite markers were used to monitor the procedure. We demonstrated that after four rounds of backcrossing, worms that were phenotypically resistant to ivermectin had a similar genetic background to the susceptible reference strain based on the bulk genotyping with 18 microsatellite loci and individual genotyping with a sub-panel of 9 microsatellite loci. In addition, a single marker, Hcms8a20, showed evidence of genetic linkage to an ivermectin resistance-conferring locus providing a starting point for more detailed studies of this genomic region to identify the causal mutation(s. This work presents a novel genetic approach to study anthelmintic resistance and provides a "proof-of-concept" of the use of forward genetics in an important model strongylid parasite of relevance to human hookworms. The resulting strains provide valuable resources for candidate gene studies, whole genome approaches and for further genetic analysis to identify ivermectin resistance loci.

  8. Colistin resistance in Escherichia coli and Salmonella enterica strains isolated from swine in Brazil.

    Science.gov (United States)

    Morales, Adriano Savoia; Fragoso de Araújo, Juliana; de Moura Gomes, Vasco Túlio; Reis Costa, Adrienny Trindade; dos Prazeres Rodrigues, Dália; Porfida Ferreira, Thais Sebastiana; de Lima Filsner, Pedro Henrique Nogueira; Felizardo, Maria Roberta; Micke Moreno, Andrea

    2012-01-01

    Reports about acquired resistance to colistin in different bacteria species are increasing, including E. coli of animal origin, but reports of resistance in wild S. enterica of different serotypes from swine are not found in the literature. Results obtained with one hundred and twenty-six E. coli strains from diseased swine and one hundred and twenty-four S. enterica strains from diseased and carrier swine showed a frequency of 6.3% and 21% of colistin-resistant strains, respectively. When comparing the disk diffusion test with the agar dilution test to evaluate the strains, it was confirmed that the disk diffusion test is not recommended to evaluate colistin resistance as described previously. The colistin MIC 90 and MIC 50 values obtained to E. coli were 0.25 μg/mL and 0.5 μg/mL, the MIC 90 and MIC 50 to S. enterica were 1 μg/mL and 8 μg/mL. Considering the importance of colistin in control of nosocomial human infections with Gram-negative multiresistant bacteria, and the large use of this drug in animal production, the colistin resistance prevalence in enterobacteriaceae of animal origin must be monitored more closely.

  9. Effect of solar radiation on multidrug resistant E. coli strains and antibiotic mixture photodegradation in wastewater polluted stream

    International Nuclear Information System (INIS)

    Rizzo, L.; Fiorentino, A.; Anselmo, A.

    2012-01-01

    The effect of solar radiation on the inactivation of multidrug resistant Escherichia coli (MDR) strains selected from an urban wastewater treatment plant (UWWTP) effluent and the change of their resistance to a mixture of three antibiotics (evaluated in terms of minimum inhibit concentration (MIC)) in wastewater polluted stream were investigated. The solar photodegradation of the mixture of the three target antibiotics (amoxicillin (AMX), ciprofloxacin (CPX), and sulfamethoxazole (SMZ)) was also evaluated. Additionally, since UWWTP effluents are possible sources of antibiotics and antibiotic resistant bacteria, the disinfection by conventional chlorination process of the UWWTP effluent inoculated with MDR strains was investigated too. Solar radiation poorly affected the inactivation of the two selected antibiotic resistant E. coli strains (40 and 60% after 180 min irradiation). Moreover, solar radiation did not affect strain resistance to AMX (MIC > 256 μg/mL) and SMZ (MIC > 1024 μg/mL), but affected resistance of the lower resistance strain to CPX (MIC decreased by 33% but only after 180 min of irradiation). Chlorination of wastewater sample strongly decreased the number of the two selected antibiotic resistant E. coli strains (99.667 and 99.999%), after 60 min of contact time at 2.0 mg/L initial chlorine concentration, but the resistance of survived colonies to antibiotics was unchanged. Finally, the solar photodegradation rate of the antibiotic mixture (1 mg/L initial concentration respectively) resulted in the following order (half-life time): CPX (t 1/2 = 24 min) 1/2 = 99 min) 1/2 = 577 min). Accordingly, the risk of the development of resistance to SMZ in surface water is significantly higher compared to CPX and AMX. - Highlights: ► Solar radiation did not affect E. coli strain resistance to AMX and SMZ. ► Solar radiation affected the resistance of one E. coli strain to CPX. ► MIC for CPX decreased by 33% after 180 min of solar irradiation.

  10. Effect of solar radiation on multidrug resistant E. coli strains and antibiotic mixture photodegradation in wastewater polluted stream

    Energy Technology Data Exchange (ETDEWEB)

    Rizzo, L., E-mail: l.rizzo@unisa.it [Department of Civil Engineering, University of Salerno, via Ponte don Melillo, 1-84084 Fisciano (Italy); Fiorentino, A. [Department of Civil Engineering, University of Salerno, via Ponte don Melillo, 1-84084 Fisciano (Italy); Anselmo, A. [Pluriacque, via Alento, 84060 Prignano Cilento (Italy)

    2012-06-15

    The effect of solar radiation on the inactivation of multidrug resistant Escherichia coli (MDR) strains selected from an urban wastewater treatment plant (UWWTP) effluent and the change of their resistance to a mixture of three antibiotics (evaluated in terms of minimum inhibit concentration (MIC)) in wastewater polluted stream were investigated. The solar photodegradation of the mixture of the three target antibiotics (amoxicillin (AMX), ciprofloxacin (CPX), and sulfamethoxazole (SMZ)) was also evaluated. Additionally, since UWWTP effluents are possible sources of antibiotics and antibiotic resistant bacteria, the disinfection by conventional chlorination process of the UWWTP effluent inoculated with MDR strains was investigated too. Solar radiation poorly affected the inactivation of the two selected antibiotic resistant E. coli strains (40 and 60% after 180 min irradiation). Moreover, solar radiation did not affect strain resistance to AMX (MIC > 256 {mu}g/mL) and SMZ (MIC > 1024 {mu}g/mL), but affected resistance of the lower resistance strain to CPX (MIC decreased by 33% but only after 180 min of irradiation). Chlorination of wastewater sample strongly decreased the number of the two selected antibiotic resistant E. coli strains (99.667 and 99.999%), after 60 min of contact time at 2.0 mg/L initial chlorine concentration, but the resistance of survived colonies to antibiotics was unchanged. Finally, the solar photodegradation rate of the antibiotic mixture (1 mg/L initial concentration respectively) resulted in the following order (half-life time): CPX (t{sub 1/2} = 24 min) < AMX (t{sub 1/2} = 99 min) < SMZ (t{sub 1/2} = 577 min). Accordingly, the risk of the development of resistance to SMZ in surface water is significantly higher compared to CPX and AMX. - Highlights: Black-Right-Pointing-Pointer Solar radiation did not affect E. coli strain resistance to AMX and SMZ. Black-Right-Pointing-Pointer Solar radiation affected the resistance of one E. coli strain

  11. Oseltamivir Pharmacokinetics and Clinical Experience in Neonates and Infants during an Outbreak of H1N1 Influenza A Virus Infection in a Neonatal Intensive Care Unit

    Science.gov (United States)

    Nika, Angela; Tsagris, Vasileios; Kapetanakis, Ioannis; Maltezou, Helena C.; Kafetzis, Dimitris A.; Tsolia, Maria N.

    2012-01-01

    Detailed oseltamivir pharmacokinetics have yet to be reported in neonates and infants; this group is at high risk of serious influenza-associated complications. Extrapolation of doses from older patients is complicated by rapid organ and drug-metabolizing enzyme maturation. A pharmacokinetic study has been conducted during an influenza A(H1N1) outbreak in a neonatal intensive care unit. Each included patient provided 4 samples for oseltamivir and 4 samples for its active metabolite oseltamivir carboxylate. A population pharmacokinetic model was developed with NONMEM. Allometric weight scaling and maturation functions were added a priori to scale for size and age based on literature values. Nine neonates and infants were recruited. A physiologically parameterized pharmacokinetic model predicted typical day 1 area under the curve (AUC0-12) values of 1,966 and 2,484 μg · h/liter for neonates and infants of ≤37 weeks of postmenstrual age (PMA) and >37 weeks of PMA treated with 1 mg/kg of body weight and 2 mg/kg, respectively. The corresponding steady-state AUC0-12 values were 3,670 and 4,559 μg · h/liter. Premature neonates treated with 1 mg/kg and term babies treated with 2 mg/kg should have average oseltamivir carboxylate concentrations in a range similar to that for adults treated with 75 mg, corresponding to >200-fold above the half-maximal inhibitory concentration (IC50) value for influenza A(H1N1) from the start of therapy. PMID:22564835

  12. Enhanced chlorine resistance of tap water-adapted Legionella pneumophila as compared with agar medium-passaged strains.

    Science.gov (United States)

    Kuchta, J M; States, S J; McGlaughlin, J E; Overmeyer, J H; Wadowsky, R M; McNamara, A M; Wolford, R S; Yee, R B

    1985-07-01

    Previous studies have shown that bacteria maintained in a low-nutrient "natural" environment such as swimming pool water are much more resistant to disinfection by various chemical agents than strains maintained on rich media. In the present study a comparison was made of the chlorine (Cl2) susceptibility of hot-water tank isolates of Legionella pneumophila maintained in tap water and strains passaged on either nonselective buffered charcoal-yeast extract or selective differential glycine-vancomycin-polymyxin agar medium. Our earlier work has shown that environmental and clinical isolates of L. pneumophila maintained on agar medium are much more resistant to Cl2 than coliforms are. Under the present experimental conditions (21 degrees C, pH 7.6 to 8.0, and 0.25 mg of free residual Cl2 per liter, we found the tap water-maintained L. pneumophila strains to be even more resistant than the agar-passaged isolates. Under these conditions, 99% kill of tap water-maintained strains of L. pneumophila was usually achieved within 60 to 90 min compared with 10 min for agar-passaged strains. Samples from plumbing fixtures in a hospital yielded legionellae which were "super"-chlorine resistant when assayed under natural conditions. After one agar passage their resistance dropped to levels of comparable strains which had not been previously exposed to additional chlorination. These studies more closely approximate natural conditions than our previous work and show that tap water-maintained L. pneumophila is even more resistant to Cl2 than its already resistant agar medium-passaged counterpart.

  13. Bioethanol strains of Saccharomyces cerevisiae characterised by microsatellite and stress resistance

    Directory of Open Access Journals (Sweden)

    Vanda Renata Reis

    Full Text Available Abstract Strains of Saccharomyces cerevisiae may display characteristics that are typical of rough-type colonies, made up of cells clustered in pseudohyphal structures and comprised of daughter buds that do not separate from the mother cell post-mitosis. These strains are known to occur frequently in fermentation tanks with significant lower ethanol yield when compared to fermentations carried out by smooth strains of S. cerevisiae that are composed of dispersed cells. In an attempt to delineate genetic and phenotypic differences underlying the two phenotypes, this study analysed 10 microsatellite loci of 22 S. cerevisiae strains as well as stress resistance towards high concentrations of ethanol and glucose, low pH and cell sedimentation rates. The results obtained from the phenotypic tests by Principal-Component Analysis revealed that unlike the smooth colonies, the rough colonies of S. cerevisiae exhibit an enhanced resistance to stressful conditions resulting from the presence of excessive glucose and ethanol and high sedimentation rate. The microsatellite analysis was not successful to distinguish between the colony phenotypes as phenotypic assays. The relevant industrial strain PE-2 was observed in close genetic proximity to rough-colony although it does not display this colony morphology. A unique genetic pattern specific to a particular phenotype remains elusive.

  14. Resistance to Diamide Insecticides in Plutella xylostella (Lepidoptera: Plutellidae): Comparison Between Lab-Selected Strains and Field-Collected Populations.

    Science.gov (United States)

    Qin, Chao; Wang, Cheng-Hua; Wang, Ying-Ying; Sun, Shi-Qing; Wang, Huan-Huan; Xue, Chao-Bin

    2018-04-02

    Diamondback moth, Plutella xylostella (L.; Lepidoptera: Plutellidae), is an important pest of crucifers worldwide. The extensive use of diamide insecticides has led to P. xylostella resistance and this presents a serious threat to vegetable production. We selected chlorantraniliprole (Rf) and flubendiamide (Rh) resistance strains of P. xylostella with resistance ratios of 684.54-fold and 677.25-fold, respectively. The Rf and Rh strains underwent 46 and 36 generations of lab-selection for resistance, respectively. Low cross resistance of Rh to cyantraniliprole was found. Cross resistance to chlorfenapyr, tebufenozid, and indoxacarb was not found in Rf and Rh strains. The P. xylostella ryanodine receptor gene (PxRyR) transcripts level in the Rf and Rh strains was up-regulated. Except for Rf34 and Rh36, PxRyR expression in all generations of Rf and Rh selection gradually increased with increasing resistance. Two resistant populations were field-collected from Guangzhou Baiyun (Rb) and Zengcheng (Rz) and propagated for several generations without exposure to any pesticide had higher PxRyR expression than the susceptible strain (S). In the S strain, PxRyR expression was not related to the resistance ratio. Gene sequencing found that the RyR 4946 gene site was glycine (G) in the S, Rf, and Rh strains, and was glutamate (E) with 70% and 80% frequency in the Rb and Rz populations, respectively. The 4946 gene site was substituted by valine (V) with the frequency of 30% and 20% in Rb and Rz populations, respectively. These results increase the understanding of the mechanisms of diamide insecticide resistance in P. xylostella.

  15. Antimalarial activity of novel 4-aminoquinolines active against drug resistant strains.

    Science.gov (United States)

    Kondaparla, Srinivasarao; Soni, Awakash; Manhas, Ashan; Srivastava, Kumkum; Puri, Sunil K; Katti, S B

    2017-02-01

    In the present study we have synthesized a new class of 4-aminoquinolines and evaluated against Plasmodium falciparum in vitro (3D7-sensitive strain & K1-resistant strain) and Plasmodium yoelii in vivo (N-67 strain). Among the series, eleven compounds (5, 6, 7, 8, 9, 11, 12, 13, 14, 15 and 21) showed superior antimalarial activity against K1 strain as compared to CQ. In addition, all these analogues showed 100% suppression of parasitemia on day 4 in the in vivo mouse model against N-67 strain when administered orally. Further, biophysical studies suggest that this series of compounds act on heme polymerization target. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. Study of Klebsiella pneumoniae strains resistant to carbapenems isolated from blood in eastern Liguria

    Directory of Open Access Journals (Sweden)

    Giulia Carnesecchi

    2012-12-01

    Full Text Available Objectives. Study of multi-resistant Klebsiella pneumoniae strains isolated from blood cultures collected from in-patients of hospitals located in eastern Liguria, and evaluation of the susceptibility to carbapenems and other antibiotics by E-test and automated methods. Methods. At the Laboratory of Clinical Microbiology, of Lavagna Hospital in eastern Liguria, 397 Klebsiella pneumoniae strains were collected from in-patients from different wards of hospitals sites, during the year 2011. They included 115 isolates from blood cultures (aerobic and anaerobic and various biological materials. All strains were tested in the laboratory for their susceptibility to antibiotics. Results. Of the 115 strains of Klebsiella pneumoniae collected from blood cultures 59.1% showed resistance to imipenem, ertapenem, meropenem. Conclusions. The data show a high incidence of resistance to carbapenems in Klebsiella pneumoniae isolated from blood cultures.This is important to implement surveillance programs for control and prevention, but also reduce the intake of antibiotics when they are not strictly necessary.

  17. DAYA HAMBAT SARI TANAMAN OBAT TERHADAP PERTUMBUHAN BAKTERI STRAIN Methicillin Resistant Staphylococcus aureus (MRSA

    Directory of Open Access Journals (Sweden)

    Dwi Hilda Putri

    2016-09-01

    Full Text Available Staphylococcus aureus infection can be treated with Methicilin, β lactam class of antibiotics that have drug targets in the cell wall. Bacteria S. aureus that is resistant to methicillin called methicillin-resistant Staphylococcus aureus (MRSA. One alternative that can be used in strains of antibiotic-resistant bacteria that have this is to use medicinal plants. This study aimed to know the ability of medicinal plant extracts inhibit the growth of bacterial strains of MRSA. This kind of research is experimental research. Medicinal plants tested were Garlic, Turmeric, Aloe Vera, Daun Salam, Curcuma, Ginger, Betel Leaf and Alpinia galanga. As a control, which is used Amphicillin, β lactam antibiotic class. The method used to determine the diameter of inhibition area of medicinal plant extracts is paper diffusion method. The results showed that all medicinal plants can inhibit bacterial growth of MRSA strains characterized by the inhibition zone formed on each treatment. The ability of garlic and turmeric extract better than Amphicillin and other medicinal plants to inhibit bacterial growth of MRSA strains. Kata kunci: inhibit,  growth, bacteria, methicillin resistant staphylococcus aureus (MRSA

  18. Detection of antibiotic resistance in clinical bacterial strains from pets

    OpenAIRE

    Poeta, P.; Rodrigues, J.

    2008-01-01

    The identification of different bacterial strains and the occurrence of antibiotic resistance were investigated in several infection processes of pets as skin abscess with purulent discharge, bronco alveolar fluid, earwax, urine, mammary, and eye fluid. Streptococcus spp. and Staphylococcus spp. were the most detected in the different samples. A high frequency of antimicrobial resistance has been observed and this could reflect the wide use of antimicrobials in pets, making the effectiveness ...

  19. Microalgal sensitivity varies between a diuron-resistant strain and two wild strains when exposed to diuron and irgarol, alone and in mixtures.

    Science.gov (United States)

    Dupraz, Valentin; Coquillé, Nathalie; Ménard, Dominique; Sussarellu, Rossana; Haugarreau, Larissa; Stachowski-Haberkorn, Sabine

    2016-05-01

    A wild strain of Chaetoceros calcitrans and wild and diuron-resistant strains of Tetraselmis suecica, were exposed to the PSII inhibitor herbicides diuron and irgarol, individually and in mixtures. The effects of three concentrations of diuron and irgarol and four binary mixtures were evaluated on doubling time, relative reactive oxygen species and lipid content by flow cytometry, and on photosynthetic efficiency by pulse amplitude modulated fluorescence. In both wild strains, significant effects were observed for each molecule at the highest concentration tested: at irgarol 0.5 μg L(-1), C. calcitrans was shown to be more sensitive than T. suecica (+52% and +19% in doubling time, respectively), whereas at diuron 5 μg L(-1), T. suecica was more affected (+125% in doubling time) than C. calcitrans (+21%). Overall, irgarol had a higher toxicity at a lower concentration than diuron (no effect at diuron 0.5 μg L(-1)) for both wild strains. The strongest mixture (irgarol 0.5 μg L(-1) + diuron 5 μg L(-1)) increased doubling time by 356% for T. suecica, thus showing amplified effects when the two compounds were mixed. Sequencing of the diuron-resistant strain demonstrated a single mutation in the psbA gene coding sequence. Although resistance of this strain to diuron was confirmed with no effect at the highest diuron concentration, no resistance to irgarol was shown. In addition, the mutant strain exposed to the strongest mixture showed a 3.5-fold increase in doubling time compared with irgarol alone, thereby supporting the hypothesis of a biochemical interaction between these two compounds. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Studying the Genetics of Resistance to CyHV-3 Disease Using Introgression from Feral to Cultured Common Carp Strains

    Science.gov (United States)

    Tadmor-Levi, Roni; Asoulin, Efrat; Hulata, Gideon; David, Lior

    2017-01-01

    Sustainability and further development of aquaculture production are constantly challenged by outbreaks of fish diseases, which are difficult to prevent or control. Developing fish strains that are genetically resistant to a disease is a cost-effective and a sustainable solution to address this challenge. To do so, heritable genetic variation in disease resistance should be identified and combined together with other desirable production traits. Aquaculture of common carp has suffered substantial losses from the infectious disease caused by the cyprinid herpes virus type 3 (CyHV-3) virus and the global spread of outbreaks indicates that many cultured strains are susceptible. In this research, CyHV-3 resistance from the feral strain “Amur Sassan” was successfully introgressed into two susceptible cultured strains up to the first backcross (BC1) generation. Variation in resistance of families from F1 and BC1 generations was significantly greater compared to that among families of any of the susceptible parental lines, a good starting point for a family selection program. Considerable additive genetic variation was found for CyHV-3 resistance. This phenotype was transferable between generations with contributions to resistance from both the resistant feral and the susceptible cultured strains. Reduced scale coverage (mirror phenotype) is desirable and common in cultured strains, but so far, cultured mirror carp strains were found to be susceptible. Here, using BC1 families ranging from susceptible to resistant, no differences in resistance levels between fully scaled and mirror full-sib groups were found, indicating that CyHV-3 resistance was successfully combined with the desirable mirror phenotype. In addition, the CyHV-3 viral load in tissues throughout the infection of susceptible and resistant fish was followed. Although resistant fish get infected, viral loads in tissues of these fish are significantly lesser than in those of susceptible fish, allowing them

  1. Enhanced Molecular Typing of Treponema pallidum subspecies pallidum Strains From 4 Italian Hospitals Shows Geographical Differences in Strain Type Heterogeneity, Widespread Resistance to Macrolides, and Lack of Mutations Associated With Doxycycline Resistance.

    Science.gov (United States)

    Giacani, Lorenzo; Ciccarese, Giulia; Puga-Salazar, Christian; Dal Conte, Ivano; Colli, Laura; Cusini, Marco; Ramoni, Stefano; Delmonte, Sergio; DʼAntuono, Antonietta; Gaspari, Valeria; Drago, Francesco

    2018-04-01

    Although syphilis rates have been relatively high in Italy for more than 15 years, no data on the molecular types of Treponema pallidum subspecies pallidum circulating in this country are yet available. Likewise, no data on how widespread is resistance to macrolide or tetracycline antibiotics in these strains exist. Such data would, however, promote comprehensive studies on the molecular epidemiology of syphilis infections in Italy and inform future interventions aiming at syphilis control in this and other European countries. Swabs from oral, genital, cutaneous, or anal lesions were obtained from 60 syphilis patients attending dermatology clinics in Milan, Turin, Genoa, and Bologna. Molecular typing of T. pallidum DNA was performed to provide a snapshot of the genetic diversity of strains circulating in Northern Italy. Samples were also screened for mutations conferring resistance to macrolides and tetracyclines. T. pallidum DNA was detected in 88.3% (53/60) of the specimens analyzed. Complete and partial T. pallidum typing data were obtained for 77.3% (41/53) and 15.0% (8/53) of samples, respectively, whereas 4 samples could not be typed despite T. pallidum DNA being detected. The highest strain type heterogeneity was seen in samples from Bologna and Milan, followed by Genoa. Minimal diversity was detected in samples from Turin, despite the highest number of typeable samples collected there. Resistance to macrolides was detected in 94.3% (50/53) of the strains, but no known mutations associated with tetracycline resistance were found. Genetic diversity among T. pallidum strains circulating in Northern Italy varies significantly among geographical areas regardless of physical distance. Resistance to macrolides is widespread.

  2. Mechanisms of first-line antimicrobial resistance in multi-drug and extensively drug resistant strains of Mycobacterium tuberculosis in KwaZulu-Natal, South Africa

    Directory of Open Access Journals (Sweden)

    Navisha Dookie

    2016-10-01

    Full Text Available Abstract Background In South Africa, drug resistant tuberculosis is a major public health crisis in the face of the colossal HIV pandemic. Methods In an attempt to understand the distribution of drug resistance in our setting, we analysed the rpoB, katG, inhA, pncA and embB genes associated with resistance to key drugs used in the treatment of tuberculosis in clinical isolates of Mycobacterium tuberculosis in the KwaZulu-Natal province. Results Classical mutations were detected in the katG, inhA and embB genes associated with resistance to isoniazid and ethambutol. Diverse mutations were recorded in the multidrug resistant (MDR and extensively drug resistant (XDR isolates for the rpoB and pncA gene associated with resistance to rifampicin and pyrazinamide. Conclusions M.tuberculosis strains circulating in our setting display a combination of previously observed mutations, each mediating resistance to a different drug. The MDR and XDR TB isolates analysed in this study displayed classical mutations linked to INH and EMB resistance, whilst diverse mutations were linked to RIF and PZA resistance. The similarity of the XDR strains confirms reports of the clonality of the XDR epidemic. The successful dissemination of the drug resistant strains in the province underscores the need for rapid diagnostics to effectively diagnose drug resistance and guide treatment.

  3. Isolation of a high malic and low acetic acid-producing sake yeast Saccharomyces cerevisiae strain screened from respiratory inhibitor 2,4-dinitrophenol (DNP)-resistant strains.

    Science.gov (United States)

    Kosugi, Shingo; Kiyoshi, Keiji; Oba, Takahiro; Kusumoto, Kenichi; Kadokura, Toshimori; Nakazato, Atsumi; Nakayama, Shunichi

    2014-01-01

    We isolated 2,4-dinitrophenol (DNP)-resistant sake yeast strains by UV mutagenesis. Among the DNP-resistant mutants, we focused on strains exhibiting high malic acid and low acetic acid production. The improved organic acid composition is unlikely to be under the control of enzyme activities related to malic and acetic acid synthesis pathways. Instead, low mitochondrial activity was observed in DNP-resistant mutants, indicating that the excess pyruvic acid generated during glycolysis is not metabolized in the mitochondria but converted to malic acid in the cytosol. In addition, the NADH/NAD(+) ratio of the DNP-resistant strains was higher than that of the parental strain K901. These results suggest that the increased NADH/NAD(+) ratio together with the low mitochondrial activity alter the organic acid composition because malic acid synthesis requires NADH, while acetic acid uses NAD(+). Copyright © 2013 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  4. Growth and Survival of Acid-Resistant and Non-Acid-Resistant Shiga-Toxin-Producing Escherichia coli Strains during the Manufacture and Ripening of Camembert Cheese.

    Science.gov (United States)

    Montet, M P; Jamet, E; Ganet, S; Dizin, M; Miszczycha, S; Dunière, L; Thevenot, D; Vernozy-Rozand, C

    2009-01-01

    Growth and survival of acid-resistant (AR) and non-acid-resistant (NAR) Shiga-toxin-producing Escherichia coli (STEC) strains were investigated during the manufacture and ripening of microfiltered milk Camembert cheeses. The induction of acid resistance of the STEC strains in cheeses was also studied. Six different mixtures of AR and/or NAR STEC strains were inoculated separately into microfiltered milk at a level of 10(3) CFU mL(-1). The STEC counts (AR and NAR) initially increased by 1 to 2 log(10) CFU g(-1) during cheese-making. Thereafter, the populations stabilized during salting/drying and then decreased during the early stages of ripening. Exposing the STEC strains in artificially inoculated cheeses to simulated gastric fluid (SGF - pH: 2.0) reduced the number of NAR strains to undetectable levels within 40 minutes, versus 120 minutes for the AR STEC strains. AR and NAR STEC were able to survive during the manufacture and ripening of Camembert cheese prepared from microfiltered milk with no evidence of induced acid tolerance in NAR STEC strains.

  5. Growth and Survival of Acid-Resistant and Non-Acid-Resistant Shiga-Toxin-Producing Escherichia coli Strains during the Manufacture and Ripening of Camembert Cheese

    Directory of Open Access Journals (Sweden)

    M. P. Montet

    2009-01-01

    Full Text Available Growth and survival of acid-resistant (AR and non-acid-resistant (NAR Shiga-toxin-producing Escherichia coli (STEC strains were investigated during the manufacture and ripening of microfiltered milk Camembert cheeses. The induction of acid resistance of the STEC strains in cheeses was also studied. Six different mixtures of AR and/or NAR STEC strains were inoculated separately into microfiltered milk at a level of 103 CFU mL−1. The STEC counts (AR and NAR initially increased by 1 to 2 log⁡10 CFU g−1 during cheese-making. Thereafter, the populations stabilized during salting/drying and then decreased during the early stages of ripening. Exposing the STEC strains in artificially inoculated cheeses to simulated gastric fluid (SGF - pH: 2.0 reduced the number of NAR strains to undetectable levels within 40 minutes, versus 120 minutes for the AR STEC strains. AR and NAR STEC were able to survive during the manufacture and ripening of Camembert cheese prepared from microfiltered milk with no evidence of induced acid tolerance in NAR STEC strains.

  6. IncA/C plasmids harboured in serious multidrug-resistant Vibrio cholerae serogroup O139 strains in China.

    Science.gov (United States)

    Wang, Ruibai; Yu, Dong; Zhu, Lianhui; Li, Jie; Yue, Junjie; Kan, Biao

    2015-03-01

    Vibrio cholerae serogroup O139 emerged in 1992 and is one of two major serogroups to have caused cholera epidemics. After 1998, serious multidrug-resistant (MDR) O139 strains quickly became common in China, showing a multidrug resistance profile to eight antibiotics. It is a great threat to public health, and elucidation of its mechanisms of resistance will provide a helpful guide for the clinical treatment and prevention of cholera. In this study, mega-plasmids from MDR V. cholerae O139 strains were identified by pulsed-field gel electrophoresis (PFGE) without enzyme digestion. One plasmid was isolated and sequenced, belonging to the IncA/C family. Ten antibiotic resistance genes were found in the MDR regions, including a blaTEM-20 gene, and these genes endowed the host with resistance to seven antibiotics. This kind of plasmid was positive in 71.2% (198/278) of toxigenic O139 strains, and the rate of plasmid positivity was consistent with the yearly change in MDR rates of these strains. This study reveals an important role of the IncA/C family plasmid in the spread of multiple antibiotic resistance of epidemic V. cholerae serogroup O139 strains, which has recombined with plasmids from different bacterial species and transferred among V. cholerae strains. Copyright © 2014 Elsevier B.V. and the International Society of Chemotherapy. All rights reserved.

  7. Antimicrobial Resistant Pattern of Escherichia Coli Strains Isolated from Pediatric Patients in Jordan

    Directory of Open Access Journals (Sweden)

    Mohammad Alshara

    2011-05-01

    Full Text Available The present study was conducted to investigate antimicrobial resistant pattern of Escherichia coli (E. coli strains isolated from clinical specimens of Jordanian pediatric patients during the period from January to December 2008. A total of 444 E. coli strains were isolated from clinical specimens and tested for their susceptibility to different antimicrobial drugs. Overall, high resistance rate was observed for ampicillin (84%, followed by amoxicillin-clavulanic acid (74.3%, cotrimoxazole (71%, nalidixic acid (47.3%, cephalothin (41%. Lower resistance rates were observed for amikacin (0% followed by Cefotaxime (11%, Ceftriaxone (11.7%, ciprofloxacin (14.5%, Norfloxacin (16.5%, gentamicin (17.3% cephalexin (20.9%, Ceftazidime (22.5%, cefixime (29.6%, and cefaclor (32.8%. Ampicillin, amoxicillin-clavulanic acid and cotrimoxazole were found to be ineffective at in vitro inhibition of the E. coli of pediatric origin. Amikacin was highly effective for E. coli with susceptibility rate of 100%. The majority of E. coli strains were susceptible to third generation cephalosporins and fluoroquinolones.

  8. Simple test of synergy between ampicillin and vancomycin for resistant strains of Enterococcus faecium.

    OpenAIRE

    Green, M; Barbadora, K; Wadowsky, R M

    1994-01-01

    The combination of ampicillin and vancomycin kills some but not all strains of ampicillin- and vancomycin-resistant Enterococcus faecium. We compared a simple test for synergy utilizing a commercially available microdilution susceptibility system with time-kill studies and determined acceptable breakpoints for this test for 20 strains of ampicillin- and vancomycin-resistant E. faecium. The combination of ampicillin and vancomycin was tested for synergy by time-kill, broth macrodilution, and b...

  9. Antiplasmodial activity of new 4-aminoquinoline derivatives against chloroquine resistant strain.

    Science.gov (United States)

    Sinha, Manish; Dola, Vasanth R; Agarwal, Pooja; Srivastava, Kumkum; Haq, Wahajul; Puri, Sunil K; Katti, Seturam B

    2014-07-15

    Emergence and spread of multidrug resistant strains of Plasmodium falciparum has severely limited the antimalarial chemotherapeutic options. In order to overcome the obstacle, a set of new side-chain modified 4-aminoquinolines were synthesized and screened against chloroquine-sensitive (3D7) and chloroquine-resistant (K1) strains of P. falciparum. The key feature of the designed molecules is the use of methylpiperazine linked α, β(3)- and γ-amino acids to generate novel side chain modified 4-aminoquinoline analogues. Among the evaluated compounds, 20c and 30 were found more potent than CQ against K1 and displayed a four-fold and a three-fold higher activity respectively, with a good selectivity index (SI=5846 and 11,350). All synthesized compounds had resistance index between 1.06 and >14.13 as against 47.2 for chloroquine. Biophysical studies suggested that this series of compounds act on heme polymerization target. Copyright © 2014 Elsevier Ltd. All rights reserved.

  10. Fusidic acid resistance among staphylococci strains isolated from clinical specimens

    Directory of Open Access Journals (Sweden)

    Özcan Deveci

    2012-03-01

    Full Text Available Objectives: The aim of this study was to investigate in vitrosusceptibility of fusidic acid to clinic isolates of staphylococci.Materials and methods: The forty-one coagulase negativestaphylococci (CNS and 18 Staphylococcus aureusstrains isolated from various clinical specimens were includedin this study. Staphylococci isolates were identifiedby conventional methods such as colony morphologyonto medium, gram staining, catalase and coagulasetests. According to “Clinical and Laboratory Standards Institute(CLSI” criteria, antimicrobial susceptibility testingof isolates was performed by Kirby-Bauer’s disk diffusionmethod.Results: The seventy-two percent of the isolated S.aureuswere defined as methicillin sensitive-S.aureus (MSSA,28% of the isolated S.aureus were defined as methicillinresistant-S.aureus (MRSA. The difference among fusidicacid susceptibility rates of MSSA and MRSA strains wasnot statistically significant (p=0.305. The twenty-nine percentof the isolated CNS were defined as methicillin sensitive-CNS (MS-CNS, 71% of the isolated CNS were definedas methicillin resistant-CNS (MR-CNS. There wasno statistically significant difference between MS-CNSand MR-CNS strains for fusidic acid susceptibility rates(p=0.490. But the difference among fusidic acid susceptibilityrates of CNS and S.aureus strains was statisticallysignificant (p<0.001. CNS strains were found more resistancethan S.aureus strains for fusidic acid.Conclusion: In this study, the resistance rates weredetected to increase for fusidic acid along with methicillinresistance. Among CNS isolates, fusidic acid resistancerates were significantly more elevated than that forS.aureus. Fusidic acid remains as an alternative in thetreatment of infections due to staphylococci.

  11. Bioethanol strains of Saccharomyces cerevisiae characterised by microsatellite and stress resistance.

    Science.gov (United States)

    Reis, Vanda Renata; Antonangelo, Ana Teresa Burlamaqui Faraco; Bassi, Ana Paula Guarnieri; Colombi, Débora; Ceccato-Antonini, Sandra Regina

    Strains of Saccharomyces cerevisiae may display characteristics that are typical of rough-type colonies, made up of cells clustered in pseudohyphal structures and comprised of daughter buds that do not separate from the mother cell post-mitosis. These strains are known to occur frequently in fermentation tanks with significant lower ethanol yield when compared to fermentations carried out by smooth strains of S. cerevisiae that are composed of dispersed cells. In an attempt to delineate genetic and phenotypic differences underlying the two phenotypes, this study analysed 10 microsatellite loci of 22 S. cerevisiae strains as well as stress resistance towards high concentrations of ethanol and glucose, low pH and cell sedimentation rates. The results obtained from the phenotypic tests by Principal-Component Analysis revealed that unlike the smooth colonies, the rough colonies of S. cerevisiae exhibit an enhanced resistance to stressful conditions resulting from the presence of excessive glucose and ethanol and high sedimentation rate. The microsatellite analysis was not successful to distinguish between the colony phenotypes as phenotypic assays. The relevant industrial strain PE-2 was observed in close genetic proximity to rough-colony although it does not display this colony morphology. A unique genetic pattern specific to a particular phenotype remains elusive. Copyright © 2016 Sociedade Brasileira de Microbiologia. Published by Elsevier Editora Ltda. All rights reserved.

  12. Synergistic effect of eugenol with Colistin against clinical isolated Colistin-resistant Escherichia coli strains

    Directory of Open Access Journals (Sweden)

    Yi-ming Wang

    2018-01-01

    Full Text Available Abstract Background Bacterial infections have become more challenging to treat due to the emergence of multidrug-resistant pathogenic bacteria. Combined antibiotics prove to be a relatively effective method to control such resistant strains. This study aim to investigate synergistic activity of eugenol combined with colistin against a collection of clinical isolated Escherichia coli (E.coli strains, and to evaluate potential interaction. Methods Antimicrobial susceptibility, minimum inhibitory concentration (MIC and fractional inhibitory concentration index (FICI of the bacteria were determined by disk diffusion assay, broth microdilution method and checkerboard assay, respectively. The mcr-1 mRNA expression was measured by Real-time PCR. To predict possible interactions between eugenol and MCR-1, molecular docking assay was taken. Results For total fourteen strains including eight colistin-resistant strains, eugenol was determined with MIC values of 4 to 8 μg/mL. Checkerboard dilution test suggested that eugenol exhibited synergistic activity when combined with colistin (FICI ranging from 0.375 to 0.625. Comparison analysis of Real-time PCR showed that synergy could significantly down-regulate expression of mcr-1 gene. A metal ion coordination bond with catalytic zinc atom and a hydrogen bond with crucial amino acid residue Ser284 of MCR-1 were observed after molecular docking, indicating antibacterial activity and direct molecular interactions of eugenol with MCR-1 protein. Conclusions Our results demonstrated that eugenol exhibited synergistic effect with colistin and enhanced its antimicrobial activity. This might further contribute to the antibacterial actions against colistin-resistant E.coli strains. Graphical abstract Synergistic effect of eugenol with colistin against colistin-resistant Escherichia coli isolates.

  13. Effect of solar radiation on multidrug resistant E. coli strains and antibiotic mixture photodegradation in wastewater polluted stream.

    Science.gov (United States)

    Rizzo, L; Fiorentino, A; Anselmo, A

    2012-06-15

    The effect of solar radiation on the inactivation of multidrug resistant Escherichia coli (MDR) strains selected from an urban wastewater treatment plant (UWWTP) effluent and the change of their resistance to a mixture of three antibiotics (evaluated in terms of minimum inhibit concentration (MIC)) in wastewater polluted stream were investigated. The solar photodegradation of the mixture of the three target antibiotics (amoxicillin (AMX), ciprofloxacin (CPX), and sulfamethoxazole (SMZ)) was also evaluated. Additionally, since UWWTP effluents are possible sources of antibiotics and antibiotic resistant bacteria, the disinfection by conventional chlorination process of the UWWTP effluent inoculated with MDR strains was investigated too. Solar radiation poorly affected the inactivation of the two selected antibiotic resistant E. coli strains (40 and 60% after 180 min irradiation). Moreover, solar radiation did not affect strain resistance to AMX (MIC>256 μg/mL) and SMZ (MIC>1024 μg/mL), but affected resistance of the lower resistance strain to CPX (MIC decreased by 33% but only after 180 min of irradiation). Chlorination of wastewater sample strongly decreased the number of the two selected antibiotic resistant E. coli strains (99.667 and 99.999%), after 60 min of contact time at 2.0 mg/L initial chlorine concentration, but the resistance of survived colonies to antibiotics was unchanged. Finally, the solar photodegradation rate of the antibiotic mixture (1mg/L initial concentration respectively) resulted in the following order (half-life time): CPX (t(1/2)=24 min)risk of the development of resistance to SMZ in surface water is significantly higher compared to CPX and AMX. Copyright © 2012 Elsevier B.V. All rights reserved.

  14. Variations in biofilm formation, desiccation resistance and Benzalkonium chloride susceptibility among Listeria monocytogenes strains isolated in Canada.

    Science.gov (United States)

    Piercey, Marta J; Ells, Timothy C; Macintosh, Andrew J; Truelstrup Hansen, Lisbeth

    2017-09-18

    Listeria monocytogenes is a pathogenic foodborne microorganism noted for its ability to survive in the environment and food processing facilities. Survival may be related to the phenotype of individual strains including the ability to form biofilms and resist desiccation and/or sanitizer exposure. The objectives of this research were to compare 14 L. monocytogenes strains isolated from blood (3), food (6) and water (5) with respect to their benzalkonium chloride (BAC) sensitivity, desiccation resistance, and ability to form biofilm. Correlations were tested between those responses, and the presence of the SSI-1 (Stress Survival Islet) and LGI1/CC8 (Listeria Genomic Island 1 in a clonal complex 8 background) genetic markers. Genetic sequences from four strains representing different phenotypes were also probed for predicted amino acid differences in biofilm, desiccation, and membrane related genes. The water isolates were among the most desiccation susceptible strains, while strains exhibiting desiccation resistance harboured SSI-1 or both the SSI-1 and LGI1/CC8 markers. BAC resistance was greatest in planktonic LGI1/CC8 cells (relative to non-LGI1/CC8 cells), and higher BAC concentrations were also needed to inhibit the formation of biofilm by LGI1/CC8 strains during incubation for 48h and 6days compared to other strains. Formation of biofilm on stainless steel was not significantly (p>0.05) different among the strains. Analysis of genetic sequence data from desiccation and BAC sensitive (CP4 5-1, CP5 2-3, both from water), intermediate (Lm568, food) and desiccation and BAC resistant (08 5578, blood, human outbreak) strains led to the finding of amino acid differences in predicted functional protein domains in several biofilm, desiccation and peptidoglycan related genes (e.g., lmo0263, lmo0433, lmo0434, lmo0771, lmo0973, lmo1080, lmo1224, lmo1370, lmo1744, and lmo2558). Notably, the LGI1/CC8 strain 08-5578 had a frameshift mutation in lmo1370, a gene previously

  15. Phenotypic and Genotypic Antimicrobial Resistance of Lactococcus Sp. Strains Isolated from Rainbow Trout (Oncorhynchus Mykiss

    Directory of Open Access Journals (Sweden)

    Ture Mustafa

    2015-04-01

    Full Text Available A current profile of antimicrobial resistance and plasmid of 29 Lactococcus garvieae and one Lactococcus lactis strains isolated from rainbow trouts (Oncorhynchus mykiss from farms throughout Turkey were investigated. All isolates were sensitive to penicillin G (90%, ampicillin (86.7%, florfenicol (83.3%, amoxicillin (80.1%, and tetracycline (73.4%, and resistant to trimethoprim+sulfamethoxazole (86.6% and gentamycin (46.6% by disc diffusion method. Twenty-eight (93% isolates had two to seven antibiotic resistance genes (ARGs determined by PCR. The most prevalent ARGs were tetracycline (tetB, erythromycin (ereB, and β-lactam (blaTEM. Bacterial strains were also screened for plasmid DNA by agarose gel electrophoresis and two strains harboured plasmids, with sizes ranging from 3 to 9 kb.

  16. Expression profiling of the VKORC1 and Calumenin gene in a Danish strain of bromadiolone-resistant Norway rats

    DEFF Research Database (Denmark)

    Markussen, Mette Drude; Heiberg, Ann-Charlotte; Fredholm, Merete

    2008-01-01

    in European strains of Norway rats while high hepatic levels of calumenin has been suggested responsible for resistance in an US strain of rats. To characterize the resistance mechanism in a Danish strain of bromadiolone-resistant Norway rats (with an Y139C-VKORC1 mutation), we compared VKORC1 and Calumenin......Anticoagulant resistance in Norway rats (Rattus norvegicus) has been associated with two genes, VKORC1 and Calumenin, which encodes proteins essential to the vitamin K-dependent gamma-carboxylation system. Mutations in the VKORC1 gene are considered the genetic basis for anticoagulant resistance...... liver gene expression between resistant and anticoagulant-susceptible rats upon saline and bromadiolone-administration. The resistant male and female rats had significantly lower constitutive VKORC1 expression (57 % and 63 %) compared to the susceptible rats (100 %) while the constitutive Calumenin...

  17. Benomyl-resistant mutant strain of Trichoderma sp. with increased mycoparasitic activity.

    Science.gov (United States)

    Olejníková, P; Ondrusová, Z; Krystofová, S; Hudecová, D

    2010-01-01

    Application of UV radiation to the strain Trichoderma sp. T-bt (isolated from lignite) resulted in the T-brm mutant which was resistant to the systemic fungicide benomyl. The tub2 gene sequence in the T-brm mutant differed from the parent as well as the collection strain (replacing tyrosine with histidine in the TUB2 protein). Under in vitro conditions this mutant exhibited a higher mycoparasitic activity toward phytopathogenic fungi.

  18. Survey of strain distribution and antibiotic resistance pattern of group B streptococci (Streptococcus agalactiae isolated from clinical specimens

    Directory of Open Access Journals (Sweden)

    Mousavi, Seyed Masoud

    2016-09-01

    Full Text Available Aim: The aims of the present study were to determine the antibiotic susceptibility profils with particular emphasis on susceptible or resistant strains to macrolides and lincosamids antibiotics and to determine possible antibiotic resistance mechanisms occurring in group B streptococci (GBS strains using PCR assay and disk diffusion method.Methods: A total of 62 clinical GBS strains were investigated. Antibacterial susceptibility testing was performed using the disk diffusion method and inducible resistance test for clindamycin by standard double disk diffusion or D-zone test for all isolates to differentiate macrolide resistance phenotype (M, constitutive macrolide-lincosamide-streptogramin B phenotype (cMLS and induced macrolide-lincosamide-streptogramin B phenotype (iMLS. In addition, minimum inhibitory concentrations (MIC of penicillin were determined for all isolates. Finally, possible existence of antibiotic resistance genes for erythromycin , and and for clindamycin were examined among isolates using PCR assay.Results: All 62 isolates were susceptible to penicillin, ampicillin, linezolid, cefazoline and vancomycin. However, 93.5% (n=58 of isolates showed an increased MIC to penicillin. The overall rate of erythromycin resistance was 35.5% (n=22. All erythromycin-resistant isolates displayed the M phenotype (100%, n=22. All three erythromycin resistance genes (i.e. , and were found in erythromycin-resistant isolates.Conclusion: It was concluded that prescribing antibiotic without antibacterial susceptibility tests should be prevented because of the high prevalence of erythromycin-resistant GBS strains and the fact that erythromycin-resistant GBS strains has shown an increased MIC to penicillin, as the drug of choice for treating GBS infections.

  19. Rapid emergence of a ceftazidime-resistant Burkholderia multivorans strain in a cystic fibrosis patient.

    Science.gov (United States)

    Stokell, Joshua R; Gharaibeh, Raad Z; Steck, Todd R

    2013-12-01

    Burkholderia multivorans poses a serious health threat to cystic fibrosis patients due to innate resistance to multiple antibiotics and acquisition of resistance to a range of antibiotics due to the frequent use of antibiotics to treat chronic infections. Monitoring antibiotic susceptibility is crucial to managing patient care. We identified the rapid emergence of a ceftazidime-resistant strain in a single patient within four days during a hospitalization for treatment of an exacerbation. B. multivorans was isolated from expectorated sputum samples using Burkholderia cepacia selective agar. A macrodilution assay was performed on all isolates to determine the minimum inhibitory concentration of ceftazidime. Approximately 4000 colonies were scored to identify the percent of ceftazidime-resistant colonies. Extracted DNA was used to determine the total bacterial counts and abundance of B. multivorans using quantitative PCR. An increase from no detectable B. multivorans ceftazidime-resistant colonies to over 75% of all colonies tested occurred within a four-day period. The resistant population remained dominant in 6 of the 8 samples in the following 17 months of the study. qPCR revealed an association between change in the percent of resistant colonies and abundance of B. multivorans, but not of total bacteria. No association was found between the acquisition of resistance to ceftazidime and other antibiotics commonly used to treat B. multivorans infections. The rapid emergence of a ceftazidime-resistant by B. multivorans strain occurred during a hospitalization while under selective pressure of antibiotics. The resistant strain maintained dominance in the B. multivorans population which resulted in an overall decline in a patient health and treatment efficacy. Copyright © 2013 European Cystic Fibrosis Society. Published by Elsevier B.V. All rights reserved.

  20. Global Phenotypic Characterization of Effects of Fluoroquinolone Resistance Selection on the Metabolic Activities and Drug Susceptibilities of Clostridium perfringens Strains

    Directory of Open Access Journals (Sweden)

    Miseon Park

    2014-01-01

    Full Text Available Fluoroquinolone resistance affects toxin production of Clostridium perfringens strains differently. To investigate the effect of fluoroquinolone resistance selection on global changes in metabolic activities and drug susceptibilities, four C. perfringens strains and their norfloxacin-, ciprofloxacin-, and gatifloxacin-resistant mutants were compared in nearly 2000 assays, using phenotype microarray plates. Variations among mutant strains resulting from resistance selection were observed in all aspects of metabolism. Carbon utilization, pH range, osmotic tolerance, and chemical sensitivity of resistant strains were affected differently in the resistant mutants depending on both the bacterial genotype and the fluoroquinolone to which the bacterium was resistant. The susceptibilities to gentamicin and erythromycin of all resistant mutants except one increased, but some resistant strains were less susceptible to amoxicillin, cefoxitin, ceftriaxone, chloramphenicol, and metronidazole than their wild types. Sensitivity to ethidium bromide decreased in some resistant mutants and increased in others. Microarray analysis of two gatifloxacin-resistant mutants showed changes in metabolic activities that were correlated with altered expression of various genes. Both the chemical structures of fluoroquinolones and the genomic makeup of the wild types influenced the changes found in resistant mutants, which may explain some inconsistent reports of the effects of therapeutic use of fluoroquinolones on clinical isolates of bacteria.

  1. The involvement of tetA and tetE tetracycline resistance genes in plasmid and chromosomal resistance of Aeromonas in Brazilian strains

    Directory of Open Access Journals (Sweden)

    Ilana Teruszkin Balassiano

    2007-11-01

    Full Text Available This study analyzed the involvement of tetA and tetE genes in the tetracycline resistance of 16 strains of genus Aeromonas, isolated from clinical and food sources. Polymerase chain reactions revealed that 37.5% of the samples were positive for tetA, and also 37.5% were tetE positive. One isolate was positive for both genes. Only the isolate A. caviae 5.2 had its resistance associated to the presence of a plasmid, pSS2. The molecular characterization of pSS2 involved the construction of its restriction map and the determination of its size. The digestion of pSS2 with HindIII originated two fragments (A and B that were cloned separately into the pUC18 vector. The tetA gene was shown to be located on the HindIII-A fragment by PCR. After transforming a tetracycline-sensitive strain with pSS2, the transformants expressed the resistance phenotype and harbored a plasmid whose size was identical to that of pSS2. The results confirmed the association between pSS2 and the tetracycline resistance phenotype, and suggest a feasible dissemination of tetA and tetE among strains of Aeromonas. This study suggests the spreading tetA and tetE genes in Aeromonas in Brazil and describes a resistance plasmid that probably contributes to the dissemination of the resistance.

  2. Development of Antibiotic Resistance Against Ureaplasma urealyticum Strains Isolated from Urogenital Samples

    Directory of Open Access Journals (Sweden)

    Musa Saraçoğlu

    2018-04-01

    Full Text Available Objective: To assess any change in the antibiotic sensitivity of Ureaplasma urealyticum strains isolated from urogenital samples in the course of time. Materials and Methods: Hospital records were retrospectively examined and cases with growth of U. urealyticum in urogenital samples in the years 2008 and 2013 were identified. Furthermore, the change in the course of time was examined by taking into consideration the cases we reported in 2001. Results: Higher rates of sensitivity against tetracycline and doxycycline were observed in 60 patients with isolated U. urealyticum. Higher rates of resistance against ofloxacin and ciprofloxacin were observed. A significant difference was found in resistance against antibiotics when the records of 2008 and 2013 were compared. A statistically significant increase was found in resistance against ofloxacin and ciprofloxacin when the records of 2001 were compared with the records of 2008 and 2013 (p<0.0005. Conclusion: U. urealyticum strains demonstrated high levels of resistance to quinolones. Resistance development is increasing in the course of time. Sensitivity against tetracycline and doxycycline has continued at high rates. It would be beneficial to consider these results during empirical treatment to be applied in cases ineligible for culturing.

  3. Expression analysis of several antiviral related genes to BmNPV in different resistant strains of silkworm, Bombyx mori.

    Science.gov (United States)

    Cheng, Yang; Wang, Xue-yang; Du, Chang; Gao, Juan; Xu, Jia-ping

    2014-05-30

    Bombyx mori L. (Lepidoptera: Bombycidae) nucleopolyhedrovirus (BmNPV) is a highly pathogenic virus in the sericultural industry, often causing severe damage leading to large economic losses. The immune mechanisms of B. mori against this virus remain obscure. Previous studies had demonstrated Bmlipase-1, BmNox and Bmserine protease-2 showing antiviral activity in vitro, but data on the transcription levels of these proteins in different resistant strains were not reported. In order to determine the resistance level of the four different strains (P50, A35, A40, A53) and gain a better understanding of the mechanism of resistance to BmNPV in B. mori, the relative expression level of the genes coding the three antiviral proteins in larval haemolymph and midgut of different B. mori strains resistant to BmNPV was determined. The results showed that these genes expressed significantly higher in the resistant strains compared to the susceptible strain, and the differential expression levels were consistent with the LC50 values in different strains. The transcription level of the target genes almost all up-regulated in the larvae midgut and down-regulated in the haemolymph. The results indicate the correlation of these genes to BmNPV resistance in B. mori. This is an open access paper. We use the Creative Commons Attribution 3.0 license that permits unrestricted use, provided that the paper is properly attributed.

  4. Occurrence of Ambler Class B Metallo-β-Lactamase Gene in Imipenem-Resistant Pseudomonas Aeruginosa Strains Isolated from Clinical Samples

    Directory of Open Access Journals (Sweden)

    Zeynab Golshani

    2014-02-01

    Full Text Available Background: 5TMetallo-β-lactamase (MBLs can hydrolyze a broad spectrum of beta-lactams, including penicillins, cephalosporins, and carbapenems. Genes encoding these enzymes are located on the plasmid that can easily be transferred to other bacteria. The aim of this study was to isolate and identify the Pseudomonas aeruginosa strains encoding VIM1 gene, in clinical samples, using the PCR technique. Materials and Methods: During a 4 month period, 100 strains of Pseudomonas aeruginosa from clinical specimens were collected. Standard tests were performed to identify strains of Pseudomonas aeruginosa. Resistance to antibiotics was examined and then the PCR was used to detect VIM1gene. Results:In this study, the highest rates of resistance to antibiotics, amikacin and cefotaxime was observed (65% and 62%, the lowest resistance to antibiotics piperacillin (48% and imipenem and cefepime with 55% resistance was reported. DDST method was performed for 37 strains for the MBl detection. Among the 37 isolate, 30 strains were MBL-producing with imipenem-EDTA method. Twelve strains (18% were carriers of VIM1 gene using the PCR method. Conclusion: In the present study, the prevalence of strains producing MBL genes in strains of hospitals is a growing trend; correct prescription of medications can prevent the spread of resistant pathogens. It is suggested that molecular methods for rapid detection of resistance genes can be used to prevent the spread of this genes.

  5. Oseltamivir

    Science.gov (United States)

    ... regular or sugar-free chocolate syrup, corn syrup, caramel topping, or light brown sugar dissolved in water ... weekly pill minders and those for eye drops, creams, patches, and inhalers) are not child-resistant and ...

  6. Complete genome sequence of an attenuated Sparfloxacin resistant Streptococcus agalactiae strain 138spar

    Science.gov (United States)

    Through selection of resistance to sparfloxacin, an attenuated Streptococcus agalactiae strain 138spar was obtained from its virulent parent strain S. agalactiae 138P. The full genome of S. agalactiae 138spar is 1,838,126 bp. The availability of this genome will allow comparative genomics to identi...

  7. STUDY ON THE ANTIBIOTIC-RESISTANCE IN STRAINS OF SALMONELLA ISOLATES IN FOOD FROM 2003 TO 2010

    Directory of Open Access Journals (Sweden)

    F. Capuano

    2012-08-01

    Full Text Available A survey on the antibiotics resistance on salmonella strains of food origin was carried out. Four hundred thirty five different strains of Salmonella detected during eight years since 2003 were tested with the protocols of the National Committee for Clinical Laboratory Standard (NCCLS. One hundred twenty Salmonella strains were of cow origin, 166 from swine, 92 from poultry and the remaining 57 from shellfish. Starting from 2007 a reduction in the resistance was evident on the total isolates.

  8. Complexity of resistance mechanisms to imipenem in intensive care unit strains of Pseudomonas aeruginosa.

    Science.gov (United States)

    Fournier, Damien; Richardot, Charlotte; Müller, Emeline; Robert-Nicoud, Marjorie; Llanes, Catherine; Plésiat, Patrick; Jeannot, Katy

    2013-08-01

    Pseudomonas aeruginosa can become resistant to carbapenems by both intrinsic (mutation-driven) and transferable (β-lactamase-based) mechanisms. Knowledge of the prevalence of these various mechanisms is important in intensive care units (ICUs) in order to define optimal prevention and therapeutic strategies. A total of 109 imipenem-non-susceptible (MIC >4 mg/L) strains of P. aeruginosa were collected in June 2010 from the ICUs of 26 French public hospitals. Their resistance mechanisms were characterized by phenotypic, enzymatic, western blotting and molecular methods. Single or associated imipenem resistance mechanisms were identified among the 109 strains. Seven isolates (6.4%) were found to produce a metallo-β-lactamase (one VIM-1, four VIM-2, one VIM-4 and one IMP-29). Porin OprD was lost in 94 (86.2%) strains as a result of mutations or gene disruption by various insertion sequences (ISPa1635, ISPa1328, IS911, ISPs1, IS51, IS222 and ISPa41). Thirteen other strains were shown to be regulatory mutants in which down-regulation of oprD was coupled with overexpressed efflux pumps CzcCBA (n = 1), MexXY (n = 9) and MexEF-OprN (n = 3). The lack of OprD was due to disruption of the oprD promoter by ISPsy2 in one strain and alteration of the porin signal sequence in another. Imipenem resistance in ICU P. aeruginosa strains may result from multiple mechanisms involving metallo-β-lactamase gene acquisition and genetic events (mutations and ISs) inactivating oprD, turning down its expression while increasing efflux activities or preventing insertion of porin OprD in the outer membrane. This diversity of mechanisms allows P. aeruginosa, more than any other nosocomial pathogen, to rapidly adapt to carbapenems in ICUs.

  9. Characterization of multiple antibiotic resistant clinical strains of Staphylococcus isolated from pregnant women vagina.

    Science.gov (United States)

    Hetsa, Bakwena Ashton; Kumar, Ajay; Ateba, Collins Njie

    2018-03-29

    Vagina which is one of the important reservoirs for Staphylococcus and in pregnant women pathogenic strains may infect the child during the birth or by vertical transmission. A total of 68 presumptive Staphylococcus strains isolated from human vagina were found to be gram-positive cocci, and only 32 (47%) isolates were found beta-hemolytic. Matrix-assisted laser desorption/ionization time-of-flight mass-spectrometry (MALDI-TOF MS) results confirmed 33 isolates belonged to Staphylococcus which consisting of 6 species, i.e., S. aureus (14), S. vitulinus (7), S. epidermidis (4), S cohnii (3), S. equorum (3), and S. succinus (2). Further, the result of antibiotic susceptibility tests showed that large proportions (76%-100%) of the isolates were resistant to multiple antibiotics and more often resistant to penicillin (100%), ampicillin (100%), oxacillin (97%), oxytetracycline (97%), vancomycin (97%), rifampin (85%), erythromycin (82%), and streptomycin (76%). In the present study, only the sec enterotoxin gene was detected in four S. aureus strains. DNA fingerprints of the 33 isolates that were generated using random amplified polymorphic DNA (RAPD) and enterobacterial repetitive intergenic consensus (ERIC) PCR analysis revealed great genetic relatedness of isolates. High prevalence of vaginal colonization with multiple antibiotic-resistant staphylococci among pregnant women was observed which were emerged from the single respective species clones that underwent evolution. The vertical transmission of these multiple antibiotic-resistant Staphylococcus species to the infant is possible; therefore, the findings of this study emphasize the need for regular surveillance of antibiotic-resistant bacterial strains in pregnant women in this area.

  10. Development of a rapid screening protocol for selection of strains resistant to spray drying and storage in dry powder.

    Science.gov (United States)

    Reimann, S; Grattepanche, F; Baggenstos, C; Rezzonico, E; Berger, B; Arigoni, F; Lacroix, C

    2010-06-01

    An efficient screening method for selection of Bifidobacterium longum strains resistant to spray drying and storage was developed based on randomly amplified polymorphic DNA (RAPD) for identification of the best survivors in mixed strains bacterial preparations. Three different primers were used to generate RAPD profiles of 22 B. longum strains. All strains were distinguished according to their RAPD profiles except for the strain NCC2705 and its H(2)O(2) resistant derivative variant. The 22 strains were grouped in 3 batches of 7, 7 and 8 strains and subjected to spray drying and storage at 30 and 37 °C under anaerobic conditions. Batch survival rates after spray drying reached 17.1±4.4%. Strains showing the highest prevalence and/or resistance to storage at 37 °C were selected from individual batches for subsequent spray drying and storage testing. After 67 days of storage, NCC572 was identified as the dominant strain in powder. The stability of strain NCC572 was confirmed by performing single spray drying and storage tests. Out of 22 B. longum strains, a robust strain was identified by combining RAPD with a simultaneous screening test for survival under spray drying and storage. The method allowed a fast screening of B. longum strains in mixture for resistance to spray drying and storage compared to traditional screening procedures carried out with individual strains, in the same conditions. This approach could be applied to other stress conditions.

  11. Role of NADPH-insensitive nitroreductase gene to metronidazole resistance of Helicobacter pylori strains

    Directory of Open Access Journals (Sweden)

    M Kargar

    2010-06-01

    Full Text Available Background and the purpose of the study: Current anti-H. pylori therapies are based on the use of two antibiotics with a proton pump inhibitor and/or a bismuth component. Metronidazole is a key component of such combination therapies in Iran. The aim of this study was to determine the role of rdxA gene in resistant strains of H. pylori isolated from Shahrekord Hajar hospital to metronidazole. Methods: This study was a cross-sectional method, which was carried out on 263 patients who referred to endoscopy department of Hajar hospital, in 2007. Biopsy samples were cultured on selective Brucella agar containing 10% blood and incubated under microerophilic condition at 370C for 3 - 7 days. Suspected colonies were tested by Gram staining, urease, oxidase and catalase activities. Organisms were confirmed to be H. pylori on the basis of the presence of ureC(glmM gene by PCR .Specific primers were used for detection of rdxA gene mutation . Results: Eighty and four strains of H. pylori determined by PCR method. Of the isolated strains, 49 (58.33% were resistant, 7 (8.33% were semi-sensitive to metronidazole and 200bp deletion in rdxA gene was observed in 2 strains. Conclusion: Because of the high metronidazole resistance in patients under study it was necessary to replace it by other antibiotics in therapeutic regimens. On the basis of low frequency of resistance mutation in rdxA gene, sequence analysis for identification of other mechanisms is suggested.

  12. Strain diversity and phage resistance in complex dairy starter cultures

    NARCIS (Netherlands)

    Spus, M.; Alexeeva, S.V.; Wolkers-Rooijackers, J.C.M.; Zwietering, M.H.; Abee, T.; Smid, E.J.

    2015-01-01

    The compositional stability of the complex Gouda cheese starter culture Ur is thought to be influenced by diversity in phage resistance of highly related strains that co-exist together with bacteriophages. To analyze the role of bacteriophages in maintaining culture diversity at the level of genetic

  13. Molecular analysis of exotoxin A associated with antimicrobial resistance of Pseudomonas aeruginosa strains isolated from patients in Tehran hospitals

    Directory of Open Access Journals (Sweden)

    Nour Amirmozafari

    2014-12-01

    Full Text Available Background and Aim:  Pseudomonas aeruginosa is a unique bacteria that in order to survive in different environments by complex adaptation process can make changes in his virulence genes expression and drug resistance. The aim of this research is the investigation of existence of a logical association between toxA gene and antibiotic resistance in strains possess the gene. Materials and Methods: Antibiogram test by disk diffusion method (Kirby Bauer was performed according to CLSI protocols. In this study, the existence of toxA gene with the help of polymerase chain reaction (PCR in 102 clinical isolates from blood samples, wound, urine and trachea was examined. Chi-square test was used to investigate the relationship between exotoxin A and antibiotic resistance. Results: The 81 strains (79.4% had toxA gene. Frequency of toxA genes in isolated strains from different infections were wound (91.4%, blood (85.7%, trachea (72.7%, and urine (42.1%. Multiple resistance index in strains possess the toxA gene was calculated 75%. Chi 2 test to determine the relationship between drug resistance and gene toxA was significant (P<0.05. Conclusions: The significant chi-square test and an increase in multi-resistant strains possessing the toxA gene, can represent a considerable genetic switch between exotoxin A activity and resistance to antibiotics in the blood, urine, tracheal, wound infections Respectively, which lead to turn genes on of drug resistance regulating in bacteria. The results of this study will be verified by southern blot, analysis of the expression of toxA gene and determine the mechanism of resistance in resistant strains Methods.

  14. A single amino acid substitution in isozyme GST mu in Triclabendazole resistant Fasciola hepatica (Sligo strain) can substantially influence the manifestation of anthelmintic resistance.

    Science.gov (United States)

    Fernández, V; Estein, S; Ortiz, P; Luchessi, P; Solana, V; Solana, H

    2015-12-01

    The helminth parasite Fasciola hepatica causes fascioliasis in human and domestic ruminants. Economic losses due to this infection are estimated in U$S 2000-3000 million yearly. The most common method of control is the use of anthelmintic drugs. However, there is an increased concern about the growing appearance of F. hepatica resistance to Triclabendazole (TCBZ), an anthelmintic with activity over adult and young flukes. F. hepatica has eight Glutathione S-Transferase (GST) isozymes, which are enzymes involved in the detoxification of a wide range of substrates through chemical conjugation with glutathione. In the present work we identified and characterized the GST mu gene isolated from the TCBZ-susceptible and TCBZ-resistant F. hepatica strains. Total RNA was transcribed into cDNA by reverse transcription and a 657 bp amplicon corresponding to the GST mu gene was obtained. The comparative genetic analysis of the GST mu gene of the TCBZ susceptible strain (Cullompton) and TCBZ resistant strain (Sligo) showed three nucleotide changes and one amino acid change at position 143 in the GST mu isozyme of the TCBZ-resistant strain. These results have potential relevance as they contribute better understand the mechanisms that generate resistance to anthelmintics. Copyright © 2015 Elsevier Inc. All rights reserved.

  15. Comparison of Vibrio harveyi strains isolated from shrimp farms and from culture collection in terms of toxicity and antibiotic resistance.

    Science.gov (United States)

    Nakayama, Tatsuya; Ito, Emi; Nomura, Nakao; Nomura, Nobuhiko; Matsumura, Masatoshi

    2006-05-01

    Vibrio harveyi strains isolated from shrimp farms (wild strains) were compared with those from culture collections in terms of minimum inhibitory concentration (MIC) and toxicity. Wild strains had higher MIC values for four antibiotics (kanamycin, carbenicillin, oxytetracycline and ampicillin) and also showed higher toxicity compared with culture collection strains. Vibrio harveyi with the lowest antibacterial resistance was chosen to test if a gradual increase in antibiotic concentration and frequent subculture would enhance its antibiotic resistance. Results showed that V. harveyi was able to develop resistance to oxytetracycline. The MIC value was 250 times higher compared with the MIC before subculturing. Moreover, the V. harveyi strain developed slightly higher toxicity. Therefore, it is possible that there is a relationship between antibiotic resistance and toxicity in V. harveyi.

  16. Characterization of the Metabolically Modified Heavy Metal-Resistant Cupriavidus metallidurans Strain MSR33 Generated for Mercury Bioremediation

    Science.gov (United States)

    Rojas, Luis A.; Yáñez, Carolina; González, Myriam; Lobos, Soledad; Smalla, Kornelia; Seeger, Michael

    2011-01-01

    Background Mercury-polluted environments are often contaminated with other heavy metals. Therefore, bacteria with resistance to several heavy metals may be useful for bioremediation. Cupriavidus metallidurans CH34 is a model heavy metal-resistant bacterium, but possesses a low resistance to mercury compounds. Methodology/Principal Findings To improve inorganic and organic mercury resistance of strain CH34, the IncP-1β plasmid pTP6 that provides novel merB, merG genes and additional other mer genes was introduced into the bacterium by biparental mating. The transconjugant Cupriavidus metallidurans strain MSR33 was genetically and biochemically characterized. Strain MSR33 maintained stably the plasmid pTP6 over 70 generations under non-selective conditions. The organomercurial lyase protein MerB and the mercuric reductase MerA of strain MSR33 were synthesized in presence of Hg2+. The minimum inhibitory concentrations (mM) for strain MSR33 were: Hg2+, 0.12 and CH3Hg+, 0.08. The addition of Hg2+ (0.04 mM) at exponential phase had not an effect on the growth rate of strain MSR33. In contrast, after Hg2+ addition at exponential phase the parental strain CH34 showed an immediate cessation of cell growth. During exposure to Hg2+ no effects in the morphology of MSR33 cells were observed, whereas CH34 cells exposed to Hg2+ showed a fuzzy outer membrane. Bioremediation with strain MSR33 of two mercury-contaminated aqueous solutions was evaluated. Hg2+ (0.10 and 0.15 mM) was completely volatilized by strain MSR33 from the polluted waters in presence of thioglycolate (5 mM) after 2 h. Conclusions/Significance A broad-spectrum mercury-resistant strain MSR33 was generated by incorporation of plasmid pTP6 that was directly isolated from the environment into C. metallidurans CH34. Strain MSR33 is capable to remove mercury from polluted waters. This is the first study to use an IncP-1β plasmid directly isolated from the environment, to generate a novel and stable bacterial strain

  17. Characterization of the metabolically modified heavy metal-resistant Cupriavidus metallidurans strain MSR33 generated for mercury bioremediation.

    Directory of Open Access Journals (Sweden)

    Luis A Rojas

    Full Text Available BACKGROUND: Mercury-polluted environments are often contaminated with other heavy metals. Therefore, bacteria with resistance to several heavy metals may be useful for bioremediation. Cupriavidus metallidurans CH34 is a model heavy metal-resistant bacterium, but possesses a low resistance to mercury compounds. METHODOLOGY/PRINCIPAL FINDINGS: To improve inorganic and organic mercury resistance of strain CH34, the IncP-1β plasmid pTP6 that provides novel merB, merG genes and additional other mer genes was introduced into the bacterium by biparental mating. The transconjugant Cupriavidus metallidurans strain MSR33 was genetically and biochemically characterized. Strain MSR33 maintained stably the plasmid pTP6 over 70 generations under non-selective conditions. The organomercurial lyase protein MerB and the mercuric reductase MerA of strain MSR33 were synthesized in presence of Hg(2+. The minimum inhibitory concentrations (mM for strain MSR33 were: Hg(2+, 0.12 and CH(3Hg(+, 0.08. The addition of Hg(2+ (0.04 mM at exponential phase had not an effect on the growth rate of strain MSR33. In contrast, after Hg(2+ addition at exponential phase the parental strain CH34 showed an immediate cessation of cell growth. During exposure to Hg(2+ no effects in the morphology of MSR33 cells were observed, whereas CH34 cells exposed to Hg(2+ showed a fuzzy outer membrane. Bioremediation with strain MSR33 of two mercury-contaminated aqueous solutions was evaluated. Hg(2+ (0.10 and 0.15 mM was completely volatilized by strain MSR33 from the polluted waters in presence of thioglycolate (5 mM after 2 h. CONCLUSIONS/SIGNIFICANCE: A broad-spectrum mercury-resistant strain MSR33 was generated by incorporation of plasmid pTP6 that was directly isolated from the environment into C. metallidurans CH34. Strain MSR33 is capable to remove mercury from polluted waters. This is the first study to use an IncP-1β plasmid directly isolated from the environment, to generate a novel

  18. Biotransformation of albendazole and activities of selected detoxification enzymes in Haemonchus contortus strains susceptible and resistant to anthelmintics.

    Science.gov (United States)

    Vokřál, Ivan; Jirásko, Robert; Stuchlíková, Lucie; Bártíková, Hana; Szotáková, Barbora; Lamka, Jiří; Várady, Marián; Skálová, Lenka

    2013-09-23

    The increased activity of drug-metabolizing enzymes can protect helminths against the toxic effect of anthelmintics. The aim of this study was to compare the metabolism of the anthelmintic drug albendazole (ABZ) and the activities of selected biotransformation and antioxidant enzymes in three different strains of Haemonchus contortus: the ISE strain (susceptible to common anthelmintics), the BR strain (resistant to benzimidazole anthelmintics) and the WR strain (multi-resistant). H. contortus adults were collected from the abomasum of experimentally infected lambs. In vitro (subcellular fractions of H. contortus homogenate) as well as ex vivo (living nematodes cultivated in flasks with medium) experiments were performed. HPLC with spectrofluorimetric and mass-spectrometric detection was used in the analysis of ABZ metabolites. The in vitro activities of oxidation/antioxidation and conjugation enzymes toward model substrates were also assayed. The in vitro data showed significant differences between the susceptible (ISE) and resistant (BR, WR) strains regarding the activities of peroxidases, catalase and UDP-glucosyltransferases. S-oxidation of ABZ was significantly lower in BR than in the ISE strain. Ex vivo, four ABZ metabolites were identified: ABZ sulphoxide and three ABZ glucosides. In the resistant strains BR and WR, the ex vivo formation of all ABZ glucosides was significantly higher than in the susceptible ISE strain. The altered activities of certain detoxifying enzymes might partly protect the parasites against the toxic effect of the drugs as well as contribute to drug-resistance in these parasites. Copyright © 2013 Elsevier B.V. All rights reserved.

  19. What is the mechanism for persistent coexistence of drug-susceptible and drug-resistant strains of Streptococcus pneumoniae?

    Science.gov (United States)

    Colijn, Caroline; Cohen, Ted; Fraser, Christophe; Hanage, William; Goldstein, Edward; Givon-Lavi, Noga; Dagan, Ron; Lipsitch, Marc

    2010-01-01

    The rise of antimicrobial resistance in many pathogens presents a major challenge to the treatment and control of infectious diseases. Furthermore, the observation that drug-resistant strains have risen to substantial prevalence but have not replaced drug-susceptible strains despite continuing (and even growing) selective pressure by antimicrobial use presents an important problem for those who study the dynamics of infectious diseases. While simple competition models predict the exclusion of one strain in favour of whichever is ‘fitter’, or has a higher reproduction number, we argue that in the case of Streptococcus pneumoniae there has been persistent coexistence of drug-sensitive and drug-resistant strains, with neither approaching 100 per cent prevalence. We have previously proposed that models seeking to understand the origins of coexistence should not incorporate implicit mechanisms that build in stable coexistence ‘for free’. Here, we construct a series of such ‘structurally neutral’ models that incorporate various features of bacterial spread and host heterogeneity that have been proposed as mechanisms that may promote coexistence. We ask to what extent coexistence is a typical outcome in each. We find that while coexistence is possible in each of the models we consider, it is relatively rare, with two exceptions: (i) allowing simultaneous dual transmission of sensitive and resistant strains lets coexistence become a typical outcome, as does (ii) modelling each strain as competing more strongly with itself than with the other strain, i.e. self-immunity greater than cross-immunity. We conclude that while treatment and contact heterogeneity can promote coexistence to some extent, the in-host interactions between strains, particularly the interplay between coinfection, multiple infection and immunity, play a crucial role in the long-term population dynamics of pathogens with drug resistance. PMID:19940002

  20. Novel plasmids and resistance phenotypes in Yersinia pestis: unique plasmid inventory of strain Java 9 mediates high levels of arsenic resistance.

    Science.gov (United States)

    Eppinger, Mark; Radnedge, Lyndsay; Andersen, Gary; Vietri, Nicholas; Severson, Grant; Mou, Sherry; Ravel, Jacques; Worsham, Patricia L

    2012-01-01

    Growing evidence suggests that the plasmid repertoire of Yersinia pestis is not restricted to the three classical virulence plasmids. The Java 9 strain of Y. pestis is a biovar Orientalis isolate obtained from a rat in Indonesia. Although it lacks the Y. pestis-specific plasmid pMT, which encodes the F1 capsule, it retains virulence in mouse and non-human primate animal models. While comparing diverse Y. pestis strains using subtractive hybridization, we identified sequences in Java 9 that were homologous to a Y. enterocolitica strain carrying the transposon Tn2502, which is known to encode arsenic resistance. Here we demonstrate that Java 9 exhibits high levels of arsenic and arsenite resistance mediated by a novel promiscuous class II transposon, named Tn2503. Arsenic resistance was self-transmissible from Java 9 to other Y. pestis strains via conjugation. Genomic analysis of the atypical plasmid inventory of Java 9 identified pCD and pPCP plasmids of atypical size and two previously uncharacterized cryptic plasmids. Unlike the Tn2502-mediated arsenic resistance encoded on the Y. enterocolitica virulence plasmid; the resistance loci in Java 9 are found on all four indigenous plasmids, including the two novel cryptic plasmids. This unique mobilome introduces more than 105 genes into the species gene pool. The majority of these are encoded by the two entirely novel self-transmissible plasmids, which show partial homology and synteny to other enterics. In contrast to the reductive evolution in Y. pestis, this study underlines the major impact of a dynamic mobilome and lateral acquisition in the genome evolution of the plague bacterium.

  1. Novel plasmids and resistance phenotypes in Yersinia pestis: unique plasmid inventory of strain Java 9 mediates high levels of arsenic resistance.

    Directory of Open Access Journals (Sweden)

    Mark Eppinger

    Full Text Available Growing evidence suggests that the plasmid repertoire of Yersinia pestis is not restricted to the three classical virulence plasmids. The Java 9 strain of Y. pestis is a biovar Orientalis isolate obtained from a rat in Indonesia. Although it lacks the Y. pestis-specific plasmid pMT, which encodes the F1 capsule, it retains virulence in mouse and non-human primate animal models. While comparing diverse Y. pestis strains using subtractive hybridization, we identified sequences in Java 9 that were homologous to a Y. enterocolitica strain carrying the transposon Tn2502, which is known to encode arsenic resistance. Here we demonstrate that Java 9 exhibits high levels of arsenic and arsenite resistance mediated by a novel promiscuous class II transposon, named Tn2503. Arsenic resistance was self-transmissible from Java 9 to other Y. pestis strains via conjugation. Genomic analysis of the atypical plasmid inventory of Java 9 identified pCD and pPCP plasmids of atypical size and two previously uncharacterized cryptic plasmids. Unlike the Tn2502-mediated arsenic resistance encoded on the Y. enterocolitica virulence plasmid; the resistance loci in Java 9 are found on all four indigenous plasmids, including the two novel cryptic plasmids. This unique mobilome introduces more than 105 genes into the species gene pool. The majority of these are encoded by the two entirely novel self-transmissible plasmids, which show partial homology and synteny to other enterics. In contrast to the reductive evolution in Y. pestis, this study underlines the major impact of a dynamic mobilome and lateral acquisition in the genome evolution of the plague bacterium.

  2. Effect of Bacteriophages on the Growth of Flavobacterium psychrophilum and Development of Phage-Resistant Strains

    DEFF Research Database (Denmark)

    Christiansen, Rói Hammershaimb; Madsen, Lone; Dalsgaard, Inger

    2016-01-01

    The controlling effect of single and multiple phages on the density of Flavobacterium psychrophilum at different initial multiplicity of infection (MOI) was assessed in batch cultures to explore the potential for phage-based treatment of this important fish pathogen. A high initial phage concentr......The controlling effect of single and multiple phages on the density of Flavobacterium psychrophilum at different initial multiplicity of infection (MOI) was assessed in batch cultures to explore the potential for phage-based treatment of this important fish pathogen. A high initial phage...... concentration (MOI = 0.3–4) was crucial for efficient viral lysis, resulting in a 104–105-fold reduction of phage-sensitive cells (both single phages and phage cocktails), which was maintained throughout the incubation (>10 days). Following cell lysis, regrowth of phage-resistant strains was examined...... and resistant strains were isolated for further characterization. The application of a mathematical model allowed simulation of phage-host interactions and resistance development, confirming indications from strain isolations that phage-sensitive strains dominated the regrowing population (>99.8 %) at low MOI...

  3. Increasing antibiotic resistance in preservative-tolerant bacterial strains isolated from cosmetic products.

    Science.gov (United States)

    Orús, Pilar; Gomez-Perez, Laura; Leranoz, Sonia; Berlanga, Mercedes

    2015-03-01

    To ensure the microbiological quality, consumer safety and organoleptic properties of cosmetic products, manufacturers need to comply with defined standards using several preservatives and disinfectants. A drawback regarding the use of these preservatives is the possibility of generating cross-insusceptibility to other disinfectants or preservatives, as well as cross resistance to antibiotics. Therefore, the objective of this study was to understand the adaptive mechanisms of Enterobacter gergoviae, Pseudomonas putida and Burkholderia cepacia that are involved in recurrent contamination in cosmetic products containing preservatives. Diminished susceptibility to formaldehyde-donors was detected in isolates but not to other preservatives commonly used in the cosmetics industry, although increasing resistance to different antibiotics (β-lactams, quinolones, rifampicin, and tetracycline) was demonstrated in these strains when compared with the wild-type strain. The outer membrane protein modifications and efflux mechanism activities responsible for the resistance trait were evaluated. The development of antibiotic-resistant microorganisms due to the selective pressure from preservatives included in cosmetic products could be a risk for the emergence and spread of bacterial resistance in the environment. Nevertheless, the large contribution of disinfection and preservation cannot be denied in cosmetic products. Copyright© by the Spanish Society for Microbiology and Institute for Catalan Studies.

  4. Differential expression of glutathione s-transferase enzyme in different life stages of various insecticide-resistant strains of Anopheles stephensi: a malaria vector.

    Science.gov (United States)

    Sanil, D; Shetty, V; Shetty, N J

    2014-06-01

    Interest in insect glutathione s-transferases (GSTs) has primarily focused on their role in insecticide resistance. These play an important role in biotransformation and detoxification of many different xenobiotic and endogenous substances including insecticides. The GST activity among 10 laboratory selected insecticide resistant and susceptible/control strains of Anopheles stephensi was compared using the substrates 1-chloro-2,4-dinitrobenzene (CDNB). The difference in the GST activities of different life stages of diverse insecticide resistant strains was compared and presented. About 100 larvae, pupae, adult males, adult females and eggs (100 μg in total weight) were collected and used for the experiment. The extracts were prepared from each of the insecticide-resistant strains and control. Protein contents of the enzyme homogenate and GST activities were determined. Deltamethrin and cyfluthrin-resistant strains of An. stephensi showed significantly higher GST activity. Larvae and pupae of DDT-resistant strain showed peak GST activity followed by the propoxur-resistant strain. On contrary, the GST activity was found in reduced quantity in alphamethrin, bifenthrin, carbofuran and chloropyrifos resistant strains. Adults of either sexes showed higher GST activity in mosquito strain resistant to organophosphate group of insecticides namely, temephos and chloropyrifos. The GST activity was closely associated with almost all of the insecticides used in the study, strengthening the fact that one of the mechanisms associated with resistance includes an increase of GST activity. This comparative data on GST activity in An. stephensi can be useful database to identify possible underlying mechanisms governing insecticide-resistance by GSTs.

  5. Occurrence of Antibiotic resistance in some bacterial strains due to gamma radiation, heavy metals or food preservatives

    International Nuclear Information System (INIS)

    Mattar, Z.A.; Bashandy, A.S.

    2006-01-01

    The susceptibility of bacterial strains (B. cereus, Staph. aureus, Escherichia coli and Salmonella) against 10 different antibiotics that are commonly used against food borne pathogens was studied. All the tested strains were observed to tolerate up to 100 mg/l copper sulphate or lead acetate, and there was a positive correlations between the tolerance to high levels of Cu or Pb and multiple antibiotic resistance was investigated. When the food preservatives (potassium sorbate or sodium benzoate) were added to the growth medium at different concentrations, the bacterial strains were able to tolerate up to 1000 ppm potassium sorbate or sodium benzoate (MIC). The antibiotic resistance of these strains was increased when grown on media supplemented with the MIC of sodium sorbate or potassium benzoate. When these bacterial strains were irradiated at dose levels of 1 or 3 or 5 KGy and examined for antibiotic sensitivity, a correlation was observed between the increases of radiation dose up to 5 KGy and the antibiotic resistance in all the studied strains

  6. Microalgal sensitivity varies between a diuron-resistant strain and two wild strains when exposed to diuron and irgarol, alone and in mixtures

    OpenAIRE

    Dupraz, Valentin; Coquille, Nathalie; Menard, Dominique; Sussarellu, Rossana; Haugarreau, Larissa; Stachowski-haberkorn, Sabine

    2016-01-01

    A wild strain of Chaetoceros calcitrans and wild and diuron-resistant strains of Tetraselmis suecica, were exposed to the PSII inhibitor herbicides diuron and irgarol, individually and in mixtures. The effects of three concentrations of diuron and irgarol and four binary mixtures were evaluated on doubling time, relative reactive oxygen species and lipid content by flow cytometry, and on photosynthetic efficiency by pulse amplitude modulated fluorescence. In both wild strains, significant...

  7. FIND Tuberculosis Strain Bank: a Resource for Researchers and Developers Working on Tests To Detect Mycobacterium tuberculosis and Related Drug Resistance.

    Science.gov (United States)

    Tessema, Belay; Nabeta, Pamela; Valli, Eloise; Albertini, Audrey; Collantes, Jimena; Lan, Nguyen Huu; Romancenco, Elena; Tukavdze, Nestani; Denkinger, Claudia M; Dolinger, David L

    2017-04-01

    The spread of multidrug-resistant (MDR) tuberculosis (TB) and extensively drug-resistant (XDR) TB hampers global efforts in the fight against tuberculosis. To enhance the development and evaluation of diagnostic tests quickly and efficiently, well-characterized strains and samples from drug-resistant tuberculosis patients are necessary. In this project, the Foundation for Innovative New Diagnostics (FIND) has focused on the collection, characterization, and storage of such well-characterized reference materials and making them available to researchers and developers. The collection is being conducted at multiple centers in Southeast Asia, South America, Eastern Europe, and soon the sub-Saharan Africa regions. Strains are characterized for their phenotypic resistances and MICs to first-line drugs (FLDs) and second-line drugs (SLDs) using the automated MGIT 960 system following validated procedures and WHO criteria. Analysis of resistance-associated mutations is done by whole-genome sequencing (WGS) using the Illumina NextSeq system. Mycobacterial interspersed repetitive-unit-variable-number tandem-repeat analysis and WGS are used to determine strain lineages. All strains are maintained frozen at -80°C ± 10°C as distinct mother and daughter lots. All strains are extensively quality assured. The data presented here represent an analysis of the initial part of the collection. Currently, the bank contains 118 unique strains with extracted genomic DNA and matched sputum, serum, and plasma samples and will be expanded to a minimum of 1,000 unique strains over the next 3 years. Analysis of the current strains by phenotypic resistance testing shows 102 (86.4%), 10 (8.5%), and 6 (5.1%) MDR, XDR, and mono/poly resistant strains, respectively. Two of the strains are resistant to all 11 drugs that were phenotypically tested. WGS mutation analysis revealed FLD resistance-associated mutations in the rpoB , katG , inhA , embB , embA , and pncA genes; SLD resistance in the gyr

  8. Antibiofilm Effects of Lactobacilli against Ciprofloxacin-Resistant Uropathogenic Escherichia coli strains in Pasteurized Milk

    Directory of Open Access Journals (Sweden)

    Mahsa Yeganeh

    2017-11-01

    Full Text Available  Background and Objective: Uropathogenic Escherichia coli-induced urinary tract infections are the most common uropathogenic Escherichia coli etiological agent. In addition, most of biofilms created by these bacteria can be regarded as a serious problem in the food industry. Foodborne diseases have always been considered an emerging public health concern throughout the world. Many outbreaks have been found to be associated with biofilms. Thus, the aim of the present study is to investigate the anti-adhesive effects of lactic acid bacteria against strains of Ciprofloxacin-Resistant Uropathogenic Escherichia coli using microbial techniques in pasteurized milk.Material and Methods: In this study, strains of Lactobacillus plantarum, Lactobacillus casei and Lactobacillus acidophilus were provided from Pasteur Institute of Iran. Twenty strains of Uropathogenic Escherichia coli-Induced Urinary Tract Infections were isolated from patients with urinary tract infection in Shahid Labbafinejad hospital of Iran. Eight strains with ability of biofilm formation were selected for microbial tests. All of these eight strains were resistant to ciprofloxacin. Disk diffusion method was used to assess the susceptibility of all isolates to the ten common antibiotics. Eight samples of Uropathogenic Escherichia coli were inoculated in pasteurized milk. The microtitre plate 100 method was used to detect anti-adhesive activity of lactobacilli supernatant.Results and Conclusion: Results showed that the eight human isolates were resistant to antibiotics. Isolate of number 4 was the most susceptible strains to antibiofilm effects of lactobacilli in the pasteurized milk. The anti-adhesive effects of lactobacilli on Uropathogenic were confirmed in all microbial tests. In this study, Lactobacillus plantarum revealed the highest inhibitory activity against Uropathogenic Escherichia coli 4 strain with inhibition zones of 42 mm. This strain was reported as a proper probiotic

  9. Inhibition of Hyphal Growth of Azole-Resistant Strains of Candida albicans by Triazole Antifungal Agents in the Presence of Lactoferrin-Related Compounds

    Science.gov (United States)

    Wakabayashi, Hiroyuki; Abe, Shigeru; Teraguchi, Susumu; Hayasawa, Hirotoshi; Yamaguchi, Hideyo

    1998-01-01

    The effects of bovine lactoferrin (LF) or the LF-derived antimicrobial peptide lactoferricin B (LFcin B) on the growth of Candida albicans hyphae, including those of three azole-resistant strains, were investigated by a crystal violet staining method. The hyphae of two highly azole-resistant strains were more susceptible to inhibition by LF or LFcin B than the azole-susceptible strains tested. One moderately azole-resistant strain was defective in the formation of hyphae and showed a susceptibility to LF greater than that of the susceptible strains but a susceptibility to LFcin B similar to that of the susceptible strains. The highly azole-resistant strain TIMM3317 showed trailing growth in the presence of fluconazole or itraconazole, while the extent of growth was reduced by the addition of LF or LFcin B at a sub-MIC. Thus, the addition of LF or LFcin B at a sub-MIC resulted in a substantial decrease in the MICs of fluconazole and itraconazole for two highly azole-resistant strains; e.g., the MIC of fluconazole for TIMM3317 was shifted from >256 to 0.25 μg/ml by LF, but the MICs were not decreased for the susceptible strains. The combination effects observed with triazoles and LF-related compounds in the case of the two highly azole-resistant strains were confirmed to be synergistic by the fractional inhibitory concentration index. These results demonstrate that for some azole-resistant C. albicans strains, LF-related compounds combined with triazoles can inhibit the growth of hyphae, an important form of this organism in pathogenesis. PMID:9660988

  10. Whole-genome pyrosequencing of an epidemic multidrug-resistant Acinetobacter baumannii strain belonging to the European clone II group

    DEFF Research Database (Denmark)

    Iacono, M.; Villa, L.; Fortini, D.

    2008-01-01

    The whole-genome sequence of an epidemic, multidrug-resistant Acinetobacter baumannii strain (strain ACICU) belonging to the European clone II group and carrying the plasmid-mediated bla(OXA-58) carbapenem resistance gene was determined. The A. baumannii ACICU genome was compared with the genomes...

  11. Antibacterial effect of roselle extracts (Hibiscus sabadariffa), sodium hypochlorite and acetic acid against multidrug-resistant Salmonella strains isolated from tomatoes.

    Science.gov (United States)

    Gutiérrez-Alcántara, E J; Rangel-Vargas, E; Gómez-Aldapa, C A; Falfan-Cortes, R N; Rodríguez-Marín, M L; Godínez-Oviedo, A; Cortes-López, H; Castro-Rosas, J

    2016-02-01

    Antibiotic-resistant Salmonella strains were isolated from saladette and red round type tomatoes, and an analysis done of the antibacterial activity of roselle calyx extracts against any of the identified strains. One hundred saladette tomato samples and 100 red round tomato samples were collected from public markets. Each sample consisted of four whole tomatoes. Salmonella was isolated from the samples by conventional culture procedure. Susceptibility to 16 antibiotics was tested for the isolated Salmonella strains by standard test. The antibacterial effect of four roselle calyx extracts (water, methanol, acetone and ethyl acetate), sodium hypochlorite and acetic acid against antibiotic-resistant Salmonella isolates was evaluated on contaminated tomatoes. Twenty-four Salmonella strains were isolated from 12% of each tomato type. Identified Salmonella serotypes were Typhimurium and Typhi. All isolated strains exhibited resistance to at least three antibiotics and some to as many as 12. Over contaminated tomatoes, the roselle calyx extracts produced a greater reduction (2-2·6 log) in antibiotic-resistant Salmonella strain concentration than sodium hypochlorite and acetic acid. The presence of multidrug-resistant Salmonella in vegetables is a significant public health concern. Multidrug-resistant Salmonella strains were isolated from raw tomatoes purchased in public markets in Mexico and challenged with roselle Hibiscus sabdariffa calyx extracts, sodium hypochlorite and acetic acid. On tomatoes, the extracts caused a greater reduction in the concentration of antibiotic-resistant Salmonella strains than sodium hypochlorite and acetic acid. Roselle calyx extracts are a potentially useful addition to disinfection procedures of raw tomatoes in the field, processing plants, restaurants and homes. © 2015 The Society for Applied Microbiology.

  12. Insecticide resistance in two Aedes aegypti (Diptera: Culicidae) strains from Costa Rica.

    Science.gov (United States)

    Bisset, J A; Marín, R; Rodríguez, M M; Severson, D W; Ricardo, Y; French, L; Díaz, M; Pérez, O

    2013-03-01

    Dengue (family Flaviridae, genus Flavivirus, DENV) and dengue hemorrhagic fever (DHF) are presently important public health problems in Costa Rica. The primary strategy for disease control is based on reducing population densities of the main mosquito vector Aedes aegypti (L.) (Diptera: Culicidae). This is heavily dependent on use of chemical insecticides, thus the development of resistance is a frequent threat to control program effectiveness. The objective of this study was to determine the levels of insecticide resistance and the metabolic resistance mechanisms involved in two Ae. aegypti strains collected from two provinces (Puntarenas and Limon) in Costa Rica. Bioassays with larvae were performed according to World Health Organization guidelines and resistance in adults was measured through standard bottle assays. The activities of beta-esterases, cytochrome P450 monooxygenases, and glutathione S-transferases (GST), were assayed through synergists and biochemical tests, wherein the threshold criteria for each enzyme was established using the susceptible Rockefeller strain. The results showed higher resistance levels to the organophosphate (OP) temephos and the pyrethroid deltamethrin in larvae. The efficacy of commercial formulations of temephos in controlling Ae. aegypti populations was 100% mortality up to 11 and 12 d posttreatment with daily water replacements in test containers. Temephos and deltamethrin resistance in larvae were associated with high esterase activity, but not to cytochrome P450 monooxygenase or GST activities. Adult mosquitoes were resistant to deltamethrin, and susceptible to bendiocarb, chlorpyrifos, and cypermethrin. Because temephos and deltamethrin resistance are emerging at the studied sites, alternative insecticides should be considered. The insecticides chlorpyrifos and cypermethrin could be good candidates to use as alternatives for Ae. aegypti control.

  13. Tetracycline-resistant Escherichia coli strains are inherited from parents and persist in the infant's intestines in the absence of selective pressure.

    Science.gov (United States)

    Prelog, Martina; Grif, Katharina; Decristoforo, Cornelia; Würzner, Reinhard; Kiechl-Kohlendorfer, Ursula; Brunner, Andrea; Zimmerhackl, Lothar Bernd; Orth, Dorothea

    2009-10-01

    The study investigated tetracycline (TC), ampicillin (AMP), cefazolin (CEF), and trimethoprim (TMP) resistance in Escherichia coli (E. coli) in the feces of 21 infants up to 6 months of age and in their parents in the absence of selective antimicrobial pressure. Clonality of strains was assessed by pulsed-field gel electrophoresis. Three infants had resistant E. coli strains in their feces identical to the mothers' from week 1 on, which persisted over weeks. From week 2 on, in another four infants, persisting resistant E. coli were found, two of them identical to the mothers'. All of these persisting E. coli strains (except one family) showed at least resistance to TC. In infants, resistant E. coli strains inherited from their mothers tended to persist over months. Therefore, the persistence of resistant E. coli and their possible capacity to cause symptomatic infection or transfer its resistance genes to other bacteria deserves more attention.

  14. Functional analysis of a novel hydrogen peroxide resistance gene in Lactobacillus casei strain Shirota.

    Science.gov (United States)

    Serata, Masaki; Kiwaki, Mayumi; Iino, Tohru

    2016-11-01

    Lactic acid bacteria have a variety of mechanisms for tolerance to oxygen and reactive oxygen species, and these mechanisms differ among species. Lactobacillus casei strain Shirota grows well under aerobic conditions, indicating that the various systems involved in oxidative stress resistance function in this strain. To elucidate the mechanism of oxidative stress resistance in L. casei strain Shirota, we examined the transcriptome response to oxygen or hydrogen peroxide exposure. We then focused on an uncharacterized gene that was found to be up-regulated by both oxygen and hydrogen peroxide stress; we named the gene hprA1 (hydrogen peroxide resistance gene). This gene is widely distributed among lactobacilli. We investigated the involvement of this gene in oxidative stress resistance, as well as the mechanism of tolerance to hydrogen peroxide. Growth of L. casei MS105, an hprA1-disrupted mutant, was not affected by oxygen stress, whereas the survival rate of MS105 after hydrogen peroxide treatment was markedly reduced compared to that of the wild-type. However, the activity of MS105 in eliminating hydrogen peroxide was similar to that of the wild-type. We cloned hprA1 from L. caseiShirota and purified recombinant HprA1 protein from Escherichia coli. We demonstrated that the recombinant HprA1 protein bound to iron and prevented the formation of a hydroxyl radical in vitro. Thus, HprA1 protein probably contributes to hydrogen peroxide tolerance in L. casei strain Shirota by binding to iron in the cells and preventing the formation of a hydroxyl radical.

  15. Acid resistance and response to pH-induced stress in two Lactobacillus plantarum strains with probiotic potential.

    Science.gov (United States)

    Šeme, H; Gjuračić, K; Kos, B; Fujs, Š; Štempelj, M; Petković, H; Šušković, J; Bogovič Matijašić, B; Kosec, G

    2015-01-01

    Two new Lactobacillus plantarum strains, KR6-DSM 28780 and M5 isolated from sour turnip and traditional dried fresh cheese, respectively, were evaluated for species identity, antibiotic susceptibility, resistance to gastrointestinal conditions and adaptive response to low pH. Resistance mechanisms involved in the adaptation to acid-induced stress in these two strains were investigated by quantitative PCR of the atpA, cfa1, mleS and hisD genes. In addition to absence of antibiotic resistance, the two L. plantarum strains showed excellent survival rates at pH values as low as 2.4. Adaptive response to low pH was clearly observed in both strains; strain KR6 was superior to M5, as demonstrated by its ability to survive during 3 h incubation at pH 2.0 upon adaptation to moderately acidic conditions. In contrast, acid adaptation did not significantly affect the survival rate during simulated passage through the gastrointestinal tract. In both strains, induction of histidine biosynthesis (hisD) was upregulated during the acid adaptation response. In addition, significant upregulation of the cfa1 gene, involved in modulation of membrane fatty acid composition, was observed during the adaptation phase in strain KR6 but not in strain M5. Cells adapted to moderately acidic conditions also showed a significantly increased viability after the lyophilisation procedure, a cross-protection phenomenon providing additional advantage in probiotic application.

  16. Enhancement of bile resistance in Lactobacillus plantarum strains by soy lecithin.

    Science.gov (United States)

    Hu, B; Tian, F; Wang, G; Zhang, Q; Zhao, J; Zhang, H; Chen, W

    2015-07-01

    This study evaluated the effect of soy lecithin on the bile resistance of Lactobacillus plantarum. Six strains were cultured in MRS broth supplemented with soy lecithin at different concentrations. The strains incubated in MRS broth with 1·0% soy lecithin showed no inhibitory effect on cell growth. After culturing in MRS broth with 0·2-1·0% soy lecithin, the survival rate of harvested cells increased significantly (P bile challenge compared with the no added soy lecithin group. The cells incubated with 0·6% soy lecithin were able to grow in an MRS broth with a higher bile salt content. The surface hydrophobicity and cell leakage in the bile challenge were assessed to reveal the physical changes caused by the addition of soy lecithin. The cell surface hydrophobicity was enhanced and the membrane integrity in the bile challenge increased after culturing with soy lecithin. A shift in the fatty acid composition was also observed, illustrating the cell membrane change in the soy lecithin culture. In this study, we report for the first time the beneficial effect of adding soy lecithin to an MRS broth on subsequent bile tolerance of Lactobacillus plantarum. Soy lecithin had no inhibitory effect on strain viability but significantly enhanced bile resistance. Surface hydrophobicity and cell integrity increased in strains cultured with soy lecithin. The observed shift in the cell fatty acid composition indicated changes to the cell membrane. As soy lecithin is safe for use in the food industry, its protective effects can be harnessed for the development of bile-sensitive strains with health-benefit functions for use in probiotic products. © 2015 The Society for Applied Microbiology.

  17. Transcriptional analysis of four family 4 P450s in a Puerto Rico strain of Aedes aegypti (Diptera: Culicidae) compared with an Orlando strain and their possible functional roles in permethrin resistance

    Science.gov (United States)

    A field strain of Aedes aegypti was collected from Puerto Rico (PR) in October 2008. Based on LD50 values by topical application, the PR strain was 73-fold resistant to permethrin compared to a susceptible Orlando strain. In the presence of piperonyl butoxide (PBO), the resistance of Puerto Rico str...

  18. Reduced levels of membrane-bound alkaline phosphatase are common to lepidopteran strains resistant to Cry toxins from Bacillus thuringiensis.

    Directory of Open Access Journals (Sweden)

    Juan Luis Jurat-Fuentes

    Full Text Available Development of insect resistance is one of the main concerns with the use of transgenic crops expressing Cry toxins from the bacterium Bacillus thuringiensis. Identification of biomarkers would assist in the development of sensitive DNA-based methods to monitor evolution of resistance to Bt toxins in natural populations. We report on the proteomic and genomic detection of reduced levels of midgut membrane-bound alkaline phosphatase (mALP as a common feature in strains of Cry-resistant Heliothis virescens, Helicoverpa armigera and Spodoptera frugiperda when compared to susceptible larvae. Reduced levels of H. virescens mALP protein (HvmALP were detected by two dimensional differential in-gel electrophoresis (2D-DIGE analysis in Cry-resistant compared to susceptible larvae, further supported by alkaline phosphatase activity assays and Western blotting. Through quantitative real-time polymerase chain reaction (qRT-PCR we demonstrate that the reduction in HvmALP protein levels in resistant larvae are the result of reduced transcript amounts. Similar reductions in ALP activity and mALP transcript levels were also detected for a Cry1Ac-resistant strain of H. armigera and field-derived strains of S. frugiperda resistant to Cry1Fa. Considering the unique resistance and cross-resistance phenotypes of the insect strains used in this work, our data suggest that reduced mALP expression should be targeted for development of effective biomarkers for resistance to Cry toxins in lepidopteran pests.

  19. Molecular detection and antimicrobial resistance of diarrheagenic Escherichia coli strains isolated from diarrheal cases

    International Nuclear Information System (INIS)

    Aslani, Mehdi M.; Salmanzadeh-Ahrabi, S.; Jafari, F.; Zali, Reza M.; Mani, M.; Alikhani, Yousef M.

    2008-01-01

    Objective was to identify and classify Iranian isolates of diarrheagenic Escherichia coli (E. coli) on the basis of presence of virulence genes and to determine antibiotic susceptibility of isolated strains. The current cross-sectional study was conducted in 2005 at the Pasteur Institute, Tehran, Iran. One hundred and ninety-three diarrheagenic E. coli isolated from diarrheal patients in different regions of Iran were included in current study. Virulence factors genees for diarrheagenic E. coli were detected by polymerase chain reaction. Of the 193 diarrheagenic E. coli detected by PCR, 86(44.5%) were Shiga toxin-producing E. coli (STEC), 74 (38.4%) enteropathogenic E. coli (EPEC), 19 (9.8%) enteroaggregative E. coli and 14 (7.3%) enterotoxigenic E. coli isolates. Susceptibility to 12 clinically important antimicrobial agents was determined for 193 strains of diarrhheagenic E. coli. A high incidence of resistance to tetracycline (63%), ampicillin (62%), streptomycin (56%), amoxicillin/clavulanic acid (44.5%), trimetoprim/sulphamethoxazole (39.5%) and cephalothin (37%) was observed. The STEC and EPEC strains with high resistance to tetracycline and ampicillin but highly susceptible to quinolones are among the most important causative agent of diarrhea in Iran. This study suggests that antimicrobial resistance is wide spread among E. coli strains colonizing Iranian patients. Guidelines for appropriate use of antibiotics in developing countries require updating. (author)

  20. Over-expression of multiple cytochrome P450 genes in fenvalerate-resistant field strains of Helicoverpa armigera from north of China.

    Science.gov (United States)

    Xu, Li; Li, Dongzhi; Qin, Jianying; Zhao, Weisong; Qiu, Lihong

    2016-09-01

    Pyrethroid resistance was one of the main reasons for control failure of cotton bollworm Helicoverpa armigera (Hübner) in China. The promotion of Bt crops decreased the application of chemical insecticides in controlling H.armigera. However, the cotton bollworm still kept high levels of resistance to fenvalerate. In this study, the resistance levels of 8 field-collected strains of H. armigera from north of China to 4 insecticides, as well as the expression levels of related P450 genes were investigated. The results of bioassay indicated that the resistance levels to fenvalerate in the field strains varied from 5.4- to 114.7-fold, while the resistance levels to lambda-cyhalothrin, phoxim and methomyl were low, which were ranged from 1.5- to 5.2-, 0.2- to 1.6-, and 2.9- to 8.3- fold, respectively, compared to a susceptible strain. Synergistic experiment showed that PBO was the most effective synergist in increasing the sensitivity of H. armigera to fenvalerate, suggesting that P450 enzymes were involved in the pyrethroid resistance in the field strains. The results of quantitative RT-PCR indicated that eight P450 genes (CYP332A1, CYP4L11, CYP4L5, CYP4M6, CYP4M7, CYP6B7, CYP9A12, CYP9A14) were all significantly overexpressed in Hejian1 and Xiajin1 strains of H. armigera collected in 2013, and CYP4L5 was significantly overexpressed in all the 6 field strains collected in 2014. CYP332A1, CYP6B7 and CYP9A12 had very high overexpression levels in all the field strains, indicating their important roles in fenvalerate resistance. The results suggested that multiple P450 genes were involved in the high-level fenvalerate-resistance in different field strains of H. armigera collected from north of China. Copyright © 2016 Elsevier B.V. All rights reserved.

  1. Analysis of drug resistance in 1,861 strains of Acinetobacter baumannii.

    Science.gov (United States)

    Jin, Hao; Qiu, Fan; Ji, Hong Jian; Lu, Qiang

    2016-04-01

    Acinetobacter baumannii is an emerging human pathogen that causes hospital-acquired infections. The trend in increased antimicrobial resistance limits the choice of effective antimicrobial agents. The present study reports the resistance to Acinetobacter baumannii and analyzes the associations between antibiotic use and resistance rates at a general hospital between 2010 and 2014. A total of 1,861 isolates were obtained from clinical cultures, accounting for 10.33% of all detected bacteria (1,861/18,016). The strains were mainly from respiratory samples (1,628 isolates, 87.5%) and the intensive care unit (696 isolates, 37.4%). The resistance rates of Acinetobacter baumannii to the majority of antibiotics were >50%, particularly the resistance rate to cefoperazone/sulbactam increased from 47.37 in 2011 to 89.25% in 2014. However, the rates of imipenem and cilastatin sodium decreased from 81.03 to 69.44% due to the antibiotic policy. There were Pearson significant associations between the use of three antibiotics and resistance in Acinetobacter baumannii to this drug, piperacillin/tazobactam (r=0.976, Ppolicies are essential to control the emergence of multidrug-resistance Acinetobacter baumannii .

  2. Investigation of carbon storage regulation network (csr genes) and phenotypic differences between acid sensitive and resistant Escherichia coli O157:H7 strains

    Science.gov (United States)

    Background: Escherichia coli O157:H7 and related serotype strains have previously been shown to vary in acid resistance, however, little is known about strain specific mechanisms of acid resistance. We examined sensitive and resistant E. coli strains to determine the effects of growth in minimal and...

  3. A piezo-resistive graphene strain sensor with a hollow cylindrical geometry

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Atsushi, E-mail: nakamura.atsushi@ipc.shizuoka.ac.jp [Graduate School of Integrated Science and Technology, Shizuoka University, 3-5-1 Johoku, Hamamatsu, Shizuoka 432-8011 (Japan); Faculty of Engineering, Shizuoka University, 3-5-1 Johoku, Hamamatsu, Shizuoka 432-8011 (Japan); Hamanishi, Toshiki [Graduate School of Integrated Science and Technology, Shizuoka University, 3-5-1 Johoku, Hamamatsu, Shizuoka 432-8011 (Japan); Kawakami, Shotaro [Faculty of Engineering, Shizuoka University, 3-5-1 Johoku, Hamamatsu, Shizuoka 432-8011 (Japan); Takeda, Masanori [Graduate School of Integrated Science and Technology, Shizuoka University, 3-5-1 Johoku, Hamamatsu, Shizuoka 432-8011 (Japan); Faculty of Engineering, Shizuoka University, 3-5-1 Johoku, Hamamatsu, Shizuoka 432-8011 (Japan)

    2017-05-15

    Highlights: • A hollow tubing graphene fiber was synthesized from CVD-grown graphene on Ni wire. • The strain sensor showed the gauge factor 34.3–48.9 at 8% tensile strain. • The TGF sensors performed a writing finger motion assessment. - Abstract: We propose a resistance-type strain sensor consists of hollow tubing graphene fibers (TGFs) with dimethylpolysiloxane (PDMS) coating for millimeters-scale strain/bending detection applications. The TGFs were synthesized via graphene films grown on Ni wire by chemical vapor deposition (CVD). The TGFs are fundamentally folded continuous few-layered graphene films without edges maintained cylindrical tube supported by PDMS coating. Sensing properties were studied comparing with a multi-wall carbon nanotube (MWCNT)/PDMS composites (CNTCs) and the mechanism were discussed. In terms of the gauge factor, the sensor made of TGF is estimated to be in the range of 34.3–48.9 against 8% tensile strain. For a feasibility study, we demonstrate the human finger monitoring by means of bending angle detection on a finger joint.

  4. Rapid detection of the H275Y oseltamivir resistance mutation in influenza A/H1N1 2009 by single base pair RT-PCR and high-resolution melting.

    Directory of Open Access Journals (Sweden)

    Steven Y C Tong

    Full Text Available We aimed to design a real-time reverse-transcriptase-PCR (rRT-PCR, high-resolution melting (HRM assay to detect the H275Y mutation that confers oseltamivir resistance in influenza A/H1N1 2009 viruses.A novel strategy of amplifying a single base pair, the relevant SNP at position 823 of the neuraminidase gene, was chosen to maintain specificity of the assay. Wildtype and mutant virus were differentiated when using known reference samples of cell-cultured virus. However, when dilutions of these reference samples were assayed, amplification of non-specific primer-dimer was evident and affected the overall melting temperature (T(m of the amplified products. Due to primer-dimer appearance at >30 cycles we found that if the cycle threshold (C(T for a dilution was >30, the HRM assay did not consistently discriminate mutant from wildtype. Where the C(T was 32.98 would have an H275Y assay C(T>30. Analysis of the TaqMan C(T values for 609 consecutive clinical samples predicted that 207 (34% of the samples would result in an HRM assay C(T>30 and therefore not be amenable to the HRM assay.The use of single base pair PCR and HRM can be useful for specifically interrogating SNPs. When applied to H1N1 09, the constraints this placed on primer design resulted in amplification of primer-dimer products. The impact primer-dimer had on HRM curves was adjusted for by plotting T(m against C(T. Although less sensitive than TaqMan assays, the HRM assay can rapidly, and at low cost, screen samples with moderate viral concentrations.

  5. [Investigation of OXA type beta-lactamases and PFGE patterns in Acinetobacter baumannii strains resistant to carbapenems].

    Science.gov (United States)

    Keyik, Serafettin; Arslan, Uğur; Türk Dağı, Hatice; Seyhan, Tuba; Fındık, Duygu

    2014-10-01

    Acinetobacter baumannii is an important opportunistic and multidrug-resistant pathogen leading to nosocomial infections. Over the last 10 years, a significant and threatening increase in resistance to carbapenems, mainly due to the dissemination of class D beta-lactamases, has been reported in A.baumannii worldwide. The most common types of beta-lactamases causing carbapenem resistance in A.baumannii are the OXA-23, OXA-24, OXA-40, OXA-58 and OXA-143 type serine beta-lactamases. The aim of this study was to investigate the presence of OXA type beta-lactamases in carbapenem-resistant A.baumannii strains and the clonal relationship between the strains. A total of 105 non-duplicate carbapenem-resistant A.baumannii strains isolated from various clinical samples (68 blood, 18 bronchoalveolar lavage, 13 drainage, 3 urine, 2 cerebrospinal fluid and 1 catheter samples) in the Microbiology Laboratories of Selcuk University, Meram (2009-2012) and Selcuklu (2007-2008) Medical School Hospitals, were included in the study. The isolates were identified by conventional methods and Phoenix 100 BD (BD Diagnostic, USA) and Vitek II (bioMerieux, France) automated systems. Carbapenem susceptibility test was performed by Kirby-Bauer disk diffusion method according to the CLSI standards. bla(OXA 23-like), bla(OXA 24-like), bla(OXA 58-like) and bla(OXA 51-like) genes were amplified by multiplex PCR assay and clonal relatedness was investigated by pulsed-field gel electrophoresis (PFGE) using ApaI enzyme. The bla(OXA 51-like) gene was determined in all carbapenem-resistant A.baumannii isolates, while the bla(OXA 23-like) and bla(OXA 58-like) genes were detected in 46.6% and 53.3% of isolates, respectively. However bla(OXA 24-like) gene was not demonstrated in any isolates. bla(OXA 23-like) gene was determined in both Meram and Selcuklu Medical School hospitals, but bla(OXA 58-like) gene was detected only in Meram Medical School hospital. PFGE analysis of the isolates revealed 32 different

  6. Biological characterization of lead-enhanced exopolysaccharide produced by a lead resistant Enterobacter cloacae strain P2B.

    Science.gov (United States)

    Naik, Milind Mohan; Pandey, Anju; Dubey, Santosh Kumar

    2012-09-01

    A lead resistant bacterial strain isolated from effluent of lead battery manufacturing company of Goa, India has been identified as Enterobacter cloacae strain P2B based on morphological, biochemical characters, FAME profile and 16S rDNA sequence data. This bacterial strain could resist lead nitrate up to 1.6 mM. Significant increase in exopolysaccharide (EPS) production was observed as the production increased from 28 to 108 mg/L dry weight when exposed to 1.6 mM lead nitrate in Tris buffered minimal medium. Fourier-transformed infrared spectroscopy of this EPS revealed presence of several functional groups involved in metal binding viz. carboxyl, hydroxyl and amide groups along with glucuronic acid. Gas chromatography coupled with mass spectrometry analysis of alditol-acetate derivatives of acid hydrolysed EPS produced in presence of 1.6 mM lead nitrate demonstrated presence of several neutral sugars such as rhamnose, arabinose, xylose, mannose, galactose and glucose, which contribute to lead binding hydroxyl groups. Scanning electron microscope coupled with energy dispersive X-ray spectrometric analysis of this lead resistant strain exposed to 1.6 mM lead nitrate interestingly revealed mucous EPS surrounding bacterial cells which sequestered 17 % lead (as weight %) extracellularly and protected the bacterial cells from toxic effects of lead. This lead resistant strain also showed multidrug resistance. Thus these results significantly contribute to better understanding of structure, function and environmental application of lead-enhanced EPSs produced by bacteria. This lead-enhanced biopolymer can play a very important role in bioremediation of several heavy metals including lead.

  7. The change of antibiotic resistance profiles over the years in Pseudomonas aeruginosa and Acinetobacter baumannii strains isolated from intensive care units

    Directory of Open Access Journals (Sweden)

    M. Cem Şirin

    2015-09-01

    Full Text Available Objective: The aim of this study was to determine the antibiotic resistance profiles of Pseudomonas aeruginosa and Acinetobacter baumannii strains isolated from patients in our hospital intensive care units (ICUs between the years 2011-2014 and to investigate the changes of these profiles over the years. Methods: Identification and antibiotic susceptibility testing of the strains were performed by automated system. Cefoperazone-sulbactam and tigecycline susceptibility was determined by disk diffusion method. Imipenem, meropenem and colistin resistance was confirmed by E-test method. Chi-square and Fisher's exact test were used to compare the antibiotic susceptibilities statistically. Results: The highest resistance rates were determined for imipenem (50.2%, meropenem (51.9% and piperacillin-tazobactam (64.0% in P. aeruginosa strains (n=722. The changes in the rates of antibiotic resistance were not statistically significant in P. aeruginosa strains between the years 2011 and 2014. The decrease in gentamicin, amikacin and trimethoprim-sulfamethoxazole resistance and the increase in cefoperazone-sulbactam and tigecycline resistance was found to be statistically significant in A. baumannii strains (n=1044 between the years 2011 and 2014. The increase in imipenem and meropenem resistance was found to be statistically significant between the years 2012 and 2013. Piperacillin-tazobactam, ceftazidime, cefepime, imipenem and meropenem resistances in A. baumannii strains were found to be over 95% in all the years. Colistin was found to be the most effective antimicrobial agent for both bacteria. Conclusion: The determination of considerably high antibiotic resistance rates in P. aeruginosa and A. baumannii strains isolated from our hospital ICUs has indicated that rational antibiotic use policies and more effective infection control programs should be applied along with monitoring the antibiotic susceptibility profiles constantly. J Clin Exp Invest 2015

  8. An Investigation of Antibiotic Resistance Pattern in the Strains of Methicillin-resistant Staphylococcus epidermidis Isolated From Clinical Samples in Isfahan Province, Iran

    Directory of Open Access Journals (Sweden)

    Fahimeh Nourbakhsh

    2016-08-01

    Full Text Available Background and Objectives: Staphylococcus epidermidis is one of the effective factors causing nosocomial infections. This study was performed to investigate the antibiotic resistance pattern in the methicillin-resistant S. epidermidis strains isolated from clinical samples in Isfahan Province. Methods: In this descriptive cross-sectional study, 150 isolates of S. epidermidis were isolated from detected from the patients hospitalized in hospitals and treatment centers of Isfahan City. The antibiotic resistance pattern was evaluated by disk diffusion method. The presence of the gene encoding antibiotic resistance to methicillin (mec A in the isolates were investigated using PCR method. Data were analyzed with Chi-square and Fisher's exact statistical tests. Results: In this study, most isolates were related to urinary tract infections. The highest resistance was reported to penicillin (98.9%, erythromycin (89.4%, ciprofloxacin (77.7%, clindamycin (65.9%, tetracycline (63.2%, and meticillin (54%. None of the strains showed resistance to vancomycin and linezolid. Molecular studies indicated the presence of mecA gene in 76% of the studied isolates. Conclusion: According to the results of this study, vancomycin and linezolid antibiotics can be the best choice of treatment for infections caused by S. epidermidis. Also, high resistance of S. epidermidis can be a serious warning for increased multiple antibiotic resistance. Molecular studies are indicative of high sensitivity of molecular methods in the investigation of methicillin-resistant isolates.  

  9. Persistence of antibiotic-resistant and -sensitive Proteus mirabilis strains in the digestive tract of the housefly (Musca domestica) and green bottle flies (Calliphoridae).

    Science.gov (United States)

    Wei, Ting; Miyanaga, Kazuhiko; Tanji, Yasunori

    2014-10-01

    Synanthropic flies have been implicated in the rapid dissemination of antibiotic-resistant bacteria and resistance determinants in the biosphere. These flies stably harbor a considerable number of bacteria that exhibit resistance to various antibiotics, but the mechanisms underlying this phenomenon remain unclear. In this study, we investigated the persistence of antibiotic-resistant bacteria in the digestive tract of houseflies and green bottle flies, using Proteus mirabilis as a model microorganism. One resistant strain carried the blaTEM and aphA1 genes, and another carried a plasmid containing qnrD gene. Quantitative PCR and 454 pyrosequencing were used to monitor the relative abundance of the Proteus strains, as well as potential changes in the overall structure of the whole bacterial community incurred by the artificial induction of Proteus cultures. Both antibiotic-resistant and -sensitive P. mirabilis strains persisted in the fly digestive tract for at least 3 days, and there was no significant difference in the relative abundance of resistant and sensitive strains despite the lower growth rate of resistant strains when cultured in vitro. Therefore, conditions in the fly digestive tract may allow resistant strains to survive the competition with sensitive strains in the absence of antibiotic selective pressure. The composition of the fly-associated bacterial community changed over time, but the contribution of the artificially introduced P. mirabilis strains to these changes was not clear. In order to explain these changes, it will be necessary to obtain more information about bacterial interspecies antagonism in the fly digestive tract.

  10. Genomic analysis of globally diverse Mycobacterium tuberculosis strains provides insights into emergence and spread of multidrug resistance

    Science.gov (United States)

    Manson, Abigail L.; Cohen, Keira A.; Abeel, Thomas; Desjardins, Christopher A.; Armstrong, Derek T.; Barry, Clifton E.; Brand, Jeannette; Chapman, Sinéad B.; Cho, Sang-Nae; Gabrielian, Andrei; Gomez, James; Jodals, Andreea M.; Joloba, Moses; Jureen, Pontus; Lee, Jong Seok; Malinga, Lesibana; Maiga, Mamoudou; Nordenberg, Dale; Noroc, Ecaterina; Romancenco, Elena; Salazar, Alex; Ssengooba, Willy; Velayati, A. A.; Winglee, Kathryn; Zalutskaya, Aksana; Via, Laura E.; Cassell, Gail H.; Dorman, Susan E.; Ellner, Jerrold; Farnia, Parissa; Galagan, James E.; Rosenthal, Alex; Crudu, Valeriu; Homorodean, Daniela; Hsueh, Po-Ren; Narayanan, Sujatha; Pym, Alexander S.; Skrahina, Alena; Swaminathan, Soumya; Van der Walt, Martie; Alland, David; Bishai, William R.; Cohen, Ted; Hoffner, Sven; Birren, Bruce W.; Earl, Ashlee M.

    2017-01-01

    Multidrug-resistant tuberculosis (MDR-TB), caused by drug resistant strains of Mycobacterium tuberculosis, is an increasingly serious problem worldwide. In this study, we examined a dataset of 5,310 M. tuberculosis whole genome sequences from five continents. Despite great diversity with respect to geographic point of isolation, genetic background and drug resistance, patterns of drug resistance emergence were conserved globally. We have identified harbinger mutations that often precede MDR. In particular, the katG S315T mutation, conferring resistance to isoniazid, overwhelmingly arose before rifampicin resistance across all lineages, geographic regions, and time periods. Molecular diagnostics that include markers for rifampicin resistance alone will be insufficient to identify pre-MDR strains. Incorporating knowledge of pre-MDR polymorphisms, particularly katG S315, into molecular diagnostics will enable targeted treatment of patients with pre-MDR-TB to prevent further development of MDR-TB. PMID:28092681

  11. Characterization of Multidrug Resistant E. faecalis Strains from Pigs of Local Origin by ADSRRS-Fingerprinting and MALDI -TOF MS; Evaluation of the Compatibility of Methods Employed for Multidrug Resistance Analysis.

    Directory of Open Access Journals (Sweden)

    Aneta Nowakiewicz

    Full Text Available The aim of this study was to characterize multidrug resistant E. faecalis strains from pigs of local origin and to analyse the relationship between resistance and genotypic and proteomic profiles by amplification of DNA fragments surrounding rare restriction sites (ADSRRS-fingerprinting and matrix-assisted laser desorption ionization time-of-flight mass spectrometry (MALDI -TOF MS. From the total pool of Enterococcus spp. isolated from 90 pigs, we selected 36 multidrug resistant E. faecalis strains, which represented three different phenotypic resistance profiles. Phenotypic resistance to tetracycline, macrolides, phenicols, and lincomycin and high-level resistance to aminoglycosides were confirmed by the occurrence of at least one corresponding resistance gene in each strain. Based on the analysis of the genotypic and phenotypic resistance of the strains tested, five distinct resistance profiles were generated. As a complement of this analysis, profiles of virulence genes were determined and these profiles corresponded to the phenotypic resistance profiles. The demonstration of resistance to a wide panel of antimicrobials by the strains tested in this study indicates the need of typing to determine the spread of resistance also at the local level. It seems that in the case of E. faecalis, type and scope of resistance strongly determines the genotypic pattern obtained with the ADSRRS-fingerprinting method. The ADSRRS-fingerprinting analysis showed consistency of the genetic profiles with the resistance profiles, while analysis of data with the use of the MALDI- TOF MS method did not demonstrate direct reproduction of the clustering pattern obtained with this method. Our observations were confirmed by statistical analysis (Simpson's index of diversity, Rand and Wallace coefficients. Even though the MALDI -TOF MS method showed slightly higher discrimination power than ADSRRS-fingerprinting, only the latter method allowed reproduction of the

  12. Strain-induced tunable negative differential resistance in triangle graphene spirals

    Science.gov (United States)

    Tan, Jie; Zhang, Xiaoming; Liu, Wenguan; He, Xiujie; Zhao, Mingwen

    2018-05-01

    Using non-equilibrium Green’s function formalism combined with density functional theory calculations, we investigate the significant changes in electronic and transport properties of triangle graphene spirals (TGSs) in response to external strain. Tunable negative differential resistance (NDR) behavior is predicted. The NDR bias region, NDR width, and peak-to-valley ratio can be well tuned by external strain. Further analysis shows that these peculiar properties can be attributed to the dispersion widths of the p z orbitals. Moreover, the conductance of TGSs is very sensitive to the applied stress, which is promising for applications in nanosensor devices. Our findings reveal a novel approach to produce tunable electronic devices based on graphene spirals.

  13. Derivatives of a vancomycin-resistant Staphylococcus aureus strain isolated at Hershey Medical Center.

    Science.gov (United States)

    Bozdogan, Bülent; Ednie, Lois; Credito, Kim; Kosowska, Klaudia; Appelbaum, Peter C

    2004-12-01

    Antimicrobial susceptibilities and genetic relatedness of the vancomycin-resistant Staphylococcus aureus strain (VRSA) isolated at Hershey, Pa. (VRSA Hershey), and its vancomycin-susceptible and high-level-resistant derivatives were studied and compared to 32 methicillin-resistant S. aureus strains (MRSA) isolated from patients and medical staff in contact with the VRSA patient. Derivatives of VRSA were obtained by subculturing six VRSA colonies from the original culture with or without vancomycin. Ten days of drug-free subculture caused the loss of vanA in two vancomycin-susceptible derivatives for which vancomycin MICs were 1 to 4 microg/ml. Multistep selection of three VRSA clones with vancomycin for 10 days increased vancomycin MICs from 32 to 1,024 to 2,048 microg/ml. MICs of teicoplanin, dalbavancin, and oritavancin were also increased from 4, 0.5, and 0.12 to 64, 1, and 32 microg/ml, respectively. Pulsed-field gel electrophoresis (PFGE) and multilocus sequence typing analysis indicated that VRSA Hershey was the vanA-acquired variety of a common MRSA clone in our hospital with sequence type 5 (ST5). Three of five vancomycin-intermediate S. aureus strains tested from geographically different areas were also ST5, and the Michigan VRSA was ST371, a one-allele variant of ST5. Derivatives of VRSA Hershey had differences in PFGE profiles and the size of SmaI fragment that carries the vanA gene cluster, indicating instability of this cluster in VRSA Hershey. However induction with vancomycin increased glycopeptide MICs and stabilized the resistance.

  14. Molecular Detection of Inducible Clindamycin Resistance among Staphylococcal Strains Isolated from Hospital Patients

    Directory of Open Access Journals (Sweden)

    Shadiyeh Abdollahi

    2013-04-01

    Full Text Available Background & Objectives: Macrolide, lincosamide and streptogramin B (MLSB antimicrobial agents are used in the treatment of staphylococcal infections. They prevent the microbial protein synthesis system through binding to 23 S rRNA. The aim of this study was to apply molecular methods to detect inducible clindamycin resistance genes among staphylococcal strains isolated from clinical specimens.   Methods : Two hundred staphylococcus strains were isolated from nose and throat swabs of patients in Toohid and Besat hospitals in Sanandaj . Antimicrobial susceptibilities of isolates were determined using disc diffusion method, agar screen test and D-Test. A multiplex PCR was performed using primers specific for erm (A, B, C, TR genes.   Results: Out of 200 isolates, 18.5 % were MRSA and 32% were MRCNS (methicillin resistant coagulase negative staphylococci. Of 80 erythromycin resistant isolates, 48 were coagulase negative and 32 were S. aureus. Among the 48 coagulase negative staphylococci (CONS isolates, 11.63% expressed the MLSB-inducible phenotypes. Using PCR, the frequency of different genes in the collection of isolates were as follows: ermA 5.41 % , erm B 5.41 % , and erm C 3.13%. The ermTR gene was negative in all isolates. Among the 32 S. aureus isolates, 9.38% expressed the MLSB-nducible phenotype. Using PCR, these isolates harbored erm A (2.22%, ermB (2.22%, ermC (2.22% and ermTR (2.22% .   Conclusion: This is the first study to show the rate of inducible clindamycin clinical isolates of staphylococci harboring erm genes in Sananadaj. It also demonstrated the frequency of erm genes was higher among CONS isolates than S. aureus. This data suggested the transfer of resistance gene from nonpathogenic to pathogenic strains is likely to happen. Therefore, screening and control of these resistance genes is recommended at clinical laboratories.

  15. Antibiotic resistance, ability to form biofilm and susceptibility to copper alloys of selected staphylococcal strains isolated from touch surfaces in Polish hospital wards

    Directory of Open Access Journals (Sweden)

    Anna Różańska

    2017-08-01

    Full Text Available Abstract Background Despite the employment of sanitary regimes, contact transmission of the aetiological agents of hospital infections is still exceedingly common. The issue of microbe transmission becomes particularly important when facing multidrug-resistant microorganisms such as methicillin-resistant staphylococci. In the case of deficiencies in cleaning and disinfection procedures, hospital equipment made of copper alloys can play an important role, complementing traditional hospital hygiene procedures. The objective of this study was to characterize staphylococcal strains isolated from touch surfaces in Polish hospital wards in terms of their drug resistance, ability to form biofilm and susceptibility to antimicrobial activity of copper alloys. Methods The materials for the study were 95 staphylococcal strains isolated from touch surfaces in 13 different hospital wards from Małopolska province (the south of Poland. Phenotypic and genotypic antibiotic resistance were checked for erythromycin, clindamycin, gentamycin, ciprofloxacin, trimethoprim/sulfamethoxazole and mupirocin. Biofilm formation ability for the tested strains was checked with the use of culture on Congo red agar. Susceptibility to copper, tin bronze, brass and new silver was tested using a modification of the Japanese standard. Results Over 67% of the analysed staphylococcal strains were methicillin-resistant (MR. Four strains were resistant to all of the tested antibiotics, and 14 were resistant to all except mupirocin. Strains classified as MR had significantly increased resistance to the remaining antibiotic groups. About one-third of the analysed strains revealed biofilm-forming ability. Among the majority of species, biofilm-forming and non-biofilm-forming strains were distributed evenly; in the case of S. haemolyticus only, negative strains accounted for 92.8%. Susceptibility to copper alloys was different between strains and rather lower than in the case of the SA

  16. RNA-Seq Analyses for Two Silkworm Strains Reveals Insight into Their Susceptibility and Resistance to Beauveria bassiana Infection.

    Science.gov (United States)

    Xing, Dongxu; Yang, Qiong; Jiang, Liang; Li, Qingrong; Xiao, Yang; Ye, Mingqiang; Xia, Qingyou

    2017-02-10

    The silkworm Bombyx mori is an economically important species. White muscardine caused by Beauveria bassiana is the main fungal disease in sericulture, and understanding the silkworm responses to B. bassiana infection is of particular interest. Herein, we investigated the molecular mechanisms underlying these responses in two silkworm strains Haoyue (HY, sensitive to B. bassiana ) and Kang 8 (K8, resistant to B. bassiana ) using an RNA-seq approach. For each strain, three biological replicates for immersion treatment, two replicates for injection treatment and three untreated controls were collected to generate 16 libraries for sequencing. Differentially expressed genes (DEGs) between treated samples and untreated controls, and between the two silkworm strains, were identified. DEGs and the enriched Kyoto Encyclopedia of Genes and Genomes (KEGG) pathways of the two strains exhibited an obvious difference. Several genes encoding cuticle proteins, serine proteinase inhibitors (SPI) and antimicrobial peptides (AMP) and the drug metabolism pathway involved in toxin detoxification were considered to be related to the resistance of K8 to B. bassiana. These results revealed insight into the resistance and susceptibility of two silkworm strains against B. bassiana infection and provided a roadmap for silkworm molecular breeding to enhance its resistance to B. bassiana .

  17. RNA-Seq Analyses for Two Silkworm Strains Reveals Insight into Their Susceptibility and Resistance to Beauveria bassiana Infection

    Directory of Open Access Journals (Sweden)

    Dongxu Xing

    2017-02-01

    Full Text Available The silkworm Bombyx mori is an economically important species. White muscardine caused by Beauveria bassiana is the main fungal disease in sericulture, and understanding the silkworm responses to B. bassiana infection is of particular interest. Herein, we investigated the molecular mechanisms underlying these responses in two silkworm strains Haoyue (HY, sensitive to B. bassiana and Kang 8 (K8, resistant to B. bassiana using an RNA-seq approach. For each strain, three biological replicates for immersion treatment, two replicates for injection treatment and three untreated controls were collected to generate 16 libraries for sequencing. Differentially expressed genes (DEGs between treated samples and untreated controls, and between the two silkworm strains, were identified. DEGs and the enriched Kyoto Encyclopedia of Genes and Genomes (KEGG pathways of the two strains exhibited an obvious difference. Several genes encoding cuticle proteins, serine proteinase inhibitors (SPI and antimicrobial peptides (AMP and the drug metabolism pathway involved in toxin detoxification were considered to be related to the resistance of K8 to B. bassiana. These results revealed insight into the resistance and susceptibility of two silkworm strains against B. bassiana infection and provided a roadmap for silkworm molecular breeding to enhance its resistance to B. bassiana.

  18. Carbapenem and cefoxitin resistance of Klebsiella pneumoniae strains associated with porin OmpK36 loss and DHA-1 β-lactamase production

    Directory of Open Access Journals (Sweden)

    Weifeng Shi

    2013-01-01

    Full Text Available Clinical isolates of carbapenem-resistant Klebsiella pneumoniae (K. pneumoniae strains are being increased worldwide. Five pan-resistant K. pneumoniae strains have been isolated from respiratory and ICU wards in a Chinese hospital, and reveal strong resistance to all β-lactams, fluoroquinolones and aminoglycosides. Totally 27 β-lactamase genes and 2 membrane pore protein (porin genes in 5 K. pneumoniae strains were screened by polymerase chain reaction (PCR. The results indicated that all of 5 K. pneumoniae strains carried blaTEM-1 and blaDHA-1 genes, as well as base deletion and mutation of OmpK35 or OmpK36 genes. Compared with carbapenem-sensitive isolates by sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE, the resistant isolates markedly lacked the protein band of 34-40 kDa, which might be the outer membrane proteins of OmpK36 according to the electrophoresis mobility. In addition, the conjugation test was confirmed that blaDHA-1 mediated by plasmids could be transferred between resistant and sensitive strains. When reserpine (30 µg/mL and carbonyl cyanide m-chlorophenylhydrazone (CCCP (50 µg/mL were added in imipenem and meropenem, the MICs had no change against K. pneumoniae strains. These results suggest that both DHA-1 β-lactamase and loss or deficiency of porin OmpK36 may be the main reason for the cefoxitin and carbapenem resistance in K. pneumoniae strains in our hospital.

  19. Variations in biofilm formation, desiccation resistance and Benzalkonium chloride susceptibility among Listeria monocytogenes strains isolated in Canada

    DEFF Research Database (Denmark)

    Piercey, Marta J.; C. Ells, Timothy; Macintosh, Andrew J.

    2017-01-01

    needed to inhibit the formation of biofilm by LGI1/CC8 strains during incubation for 48 h and 6 days compared to other strains. Formation of biofilm on stainless steel was not significantly (p > 0.05) different among the strains. Analysis of genetic sequence data from desiccation and BAC sensitive (CP4 5......Listeria monocytogenes is a pathogenic foodborne microorganism noted for its ability to survive in the environment and food processing facilities. Survival may be related to the phenotype of individual strains including the ability to form biofilms and resist desiccation and/or sanitizer exposure....... The objectives of this research were to compare 14 L. monocytogenes strains isolated from blood (3), food (6) and water (5) with respect to their benzalkonium chloride (BAC) sensitivity, desiccation resistance, and ability to form biofilm. Correlations were tested between those responses, and the presence...

  20. Direct sequencing for rapid detection of multidrug resistant Mycobacterium tuberculosis strains in Morocco.

    Science.gov (United States)

    Zakham, Fathiah; Chaoui, Imane; Echchaoui, Amina Hadbae; Chetioui, Fouad; Elmessaoudi, My Driss; Ennaji, My Mustapha; Abid, Mohammed; Mzibri, Mohammed El

    2013-01-01

    Tuberculosis (TB) is a major public health problem with high mortality and morbidity rates, especially in low-income countries. Disturbingly, the emergence of multidrug resistant (MDR) and extensively drug resistant (XDR) TB cases has worsened the situation, raising concerns of a future epidemic of virtually untreatable TB. Indeed, the rapid diagnosis of MDR TB is a critical issue for TB management. This study is an attempt to establish a rapid diagnosis of MDR TB by sequencing the target fragments of the rpoB gene which linked to resistance against rifampicin and the katG gene and inhA promoter region, which are associated with resistance to isoniazid. For this purpose, 133 sputum samples of TB patients from Morocco were enrolled in this study. One hundred samples were collected from new cases, and the remaining 33 were from previously treated patients (drug relapse or failure, chronic cases) and did not respond to anti-TB drugs after a sufficient duration of treatment. All samples were subjected to rpoB, katG and pinhA mutation analysis by polymerase chain reaction and DNA sequencing. Molecular analysis showed that seven strains were isoniazid-monoresistant and 17 were rifampicin-monoresistant. MDR TB strains were identified in nine cases (6.8%). Among them, eight were traditionally diagnosed as critical cases, comprising four chronic and four drug-relapse cases. The last strain was isolated from a new case. The most recorded mutation in the rpoB gene was the substitution TCG > TTG at codon 531 (Ser531 Leu), accounting for 46.15%. Significantly, the only mutation found in the katG gene was at codon 315 (AGC to ACC) with a Ser315Thr amino acid change. Only one sample harbored mutation in the inhA promoter region and was a point mutation at the -15p position (C > T). The polymerase chain reaction sequencing approach is an accurate and rapid method for detection of drug-resistant TB in clinical specimens, and could be of great interest in the management of TB in

  1. Candidacidal Activity of a Novel Killer Toxin from Wickerhamomyces anomalus against Fluconazole-Susceptible and -Resistant Strains

    Directory of Open Access Journals (Sweden)

    Laura Giovati

    2018-02-01

    Full Text Available The isolation and characterization from the sand fly Phlebotomus perniciosus of a Wickerhamomyces anomalus yeast strain (Wa1F1 displaying the killer phenotype was recently reported. In the present work, the killer toxin (KT produced by Wa1F1 was purified and characterized, and its antimicrobial activity in vitro was investigated against fluconazole- susceptible and -resistant clinical isolates and laboratory strains of Candida albicans and C. glabrata displaying known mutations. Wa1F1-KT showed a differential killing ability against different mutant strains of the same species. The results may be useful for the design of therapeutic molecules based on Wa1F1-KT and the study of yeast resistance mechanisms.

  2. Emergence of hyper-resistant Escherichia coli MG1655 derivative strains after applying sub-inhibitory doses of individual constituents of essential oils

    Directory of Open Access Journals (Sweden)

    Beatriz eChueca

    2016-03-01

    Full Text Available The improvement of food preservation by using essential oils (EOs and their individual constituents (ICs is attracting enormous interest worldwide. Until now, researchers considered that treatments with such antimicrobial compounds did not induce bacterial resistance via a phenotypic (i.e. transient response. Nevertheless, the emergence of genotypic (i.e. stable resistance after treatment with these compounds had not been previously tested. Our results confirm that growth of Escherichia coli MG1655 in presence of sub-inhibitory concentrations of the ICs carvacrol, citral, and (+-limonene oxide do not increase resistance to further treatments with either the same IC (direct resistance or with other preservation treatments (cross-resistance such as heat or pulsed electric fields (PEF. Bacterial mutation frequency was likewise lower when those IC’s were applied; however, after 10 days of re-culturing cells in presence of sub-inhibitory concentrations of the ICs, we were able to isolate several derivative strains (i.e. mutants displaying an increased minimum inhibitory concentration to those ICs. Furthermore, when compared to the wild type (WT strain, they also displayed direct resistance and cross-resistance. Derivative strains selected with carvacrol and citral also displayed morphological changes involving filamentation along with cell counts at late-stationary growth phase that were lower than the WT strain. In addition, co-cultures of each derivative strain with the WT strain resulted in a predominance of the original strain in absence of ICs, indicating that mutants would not out-compete WT cells under optimal growth conditions. Nevertheless, growth in the presence of ICs facilitated the selection of these resistant mutants. Thus, as a result, subsequent food preservation treatments of these bacterial cultures might be less effective than expected for WT cultures. In conclusion, this study recommends that treatment with ICs at sub

  3. Emergence of Hyper-Resistant Escherichia coli MG1655 Derivative Strains after Applying Sub-Inhibitory Doses of Individual Constituents of Essential Oils.

    Science.gov (United States)

    Chueca, Beatriz; Berdejo, Daniel; Gomes-Neto, Nelson J; Pagán, Rafael; García-Gonzalo, Diego

    2016-01-01

    The improvement of food preservation by using essential oils (EOs) and their individual constituents (ICs) is attracting enormous interest worldwide. Until now, researchers considered that treatments with such antimicrobial compounds did not induce bacterial resistance via a phenotypic (i.e., transient) response. Nevertheless, the emergence of genotypic (i.e., stable) resistance after treatment with these compounds had not been previously tested. Our results confirm that growth of Escherichia coli MG1655 in presence of sub-inhibitory concentrations of the ICs carvacrol, citral, and (+)-limonene oxide do not increase resistance to further treatments with either the same IC (direct resistance) or with other preservation treatments (cross-resistance) such as heat or pulsed electric fields (PEF). Bacterial mutation frequency was likewise lower when those IC's were applied; however, after 10 days of re-culturing cells in presence of sub-inhibitory concentrations of the ICs, we were able to isolate several derivative strains (i.e., mutants) displaying an increased minimum inhibitory concentration to those ICs. Furthermore, when compared to the wild type (WT) strain, they also displayed direct resistance and cross-resistance. Derivative strains selected with carvacrol and citral also displayed morphological changes involving filamentation along with cell counts at late-stationary growth phase that were lower than the WT strain. In addition, co-cultures of each derivative strain with the WT strain resulted in a predominance of the original strain in absence of ICs, indicating that mutants would not out-compete WT cells under optimal growth conditions. Nevertheless, growth in the presence of ICs facilitated the selection of these resistant mutants. Thus, as a result, subsequent food preservation treatments of these bacterial cultures might be less effective than expected for WT cultures. In conclusion, this study recommends that treatment with ICs at sub

  4. Effect of neuraminidase inhibitor-resistant mutations on pathogenicity of clade 2.2 A/Turkey/15/06 (H5N1) influenza virus in ferrets.

    Science.gov (United States)

    Ilyushina, Natalia A; Seiler, Jon P; Rehg, Jerold E; Webster, Robert G; Govorkova, Elena A

    2010-05-27

    The acquisition of neuraminidase (NA) inhibitor resistance by H5N1 influenza viruses has serious clinical implications, as this class of drugs can be an essential component of pandemic control measures. The continuous evolution of the highly pathogenic H5N1 influenza viruses results in the emergence of natural NA gene variations whose impact on viral fitness and NA inhibitor susceptibility are poorly defined. We generated seven genetically stable recombinant clade 2.2 A/Turkey/15/06-like (H5N1) influenza viruses carrying NA mutations located either in the framework residues (E119A, H274Y, N294S) or in close proximity to the NA enzyme active site (V116A, I117V, K150N, Y252H). NA enzyme inhibition assays showed that NA mutations at positions 116, 117, 274, and 294 reduced susceptibility to oseltamivir carboxylate (IC(50)s increased 5- to 940-fold). Importantly, the E119A NA mutation (previously reported to confer resistance in the N2 NA subtype) was stable in the clade 2.2 H5N1 virus background and induced cross-resistance to oseltamivir carboxylate and zanamivir. We demonstrated that Y252H NA mutation contributed for decreased susceptibility of clade 2.2 H5N1 viruses to oseltamivir carboxylate as compared to clade 1 viruses. The enzyme kinetic parameters (V(max), K(m) and K(i)) of the avian-like N1 NA glycoproteins were highly consistent with their IC(50) values. None of the recombinant H5N1 viruses had attenuated virulence in ferrets inoculated with 10(6) EID(50) dose. Most infected ferrets showed mild clinical disease signs that differed in duration. However, H5N1 viruses carrying the E119A or the N294S NA mutation were lethal to 1 of 3 inoculated animals and were associated with significantly higher virus titers (Pinfluenza drugs that target different virus/host factors and can limit the emergence of resistance.

  5. ANTIMICROBIAL DRUG RESISTANCE IN STRAINS OF Escherichia coli ISOLATED FROM FOOD SOURCES

    Directory of Open Access Journals (Sweden)

    Mohammed Uddin Rasheed

    2014-07-01

    Full Text Available A variety of foods and environmental sources harbor bacteria that are resistant to one or more antimicrobial drugs used in medicine and agriculture. Antibiotic resistance in Escherichia coli is of particular concern because it is the most common Gram-negative pathogen in humans. Hence this study was conducted to determine the antibiotic sensitivity pattern of E. coli isolated from different types of food items collected randomly from twelve localities of Hyderabad, India. A total of 150 samples comprising; vegetable salad, raw egg-surface, raw chicken, unpasteurized milk, and raw meat were processed microbiologically to isolate E. coli and to study their antibiotic susceptibility pattern by the Kirby-Bauer method. The highest percentages of drug resistance in isolates of E. coli were detected from raw chicken (23.3% followed by vegetable salad (20%, raw meat (13.3%, raw egg-surface (10% and unpasteurized milk (6.7%. The overall incidence of drug resistant E. coli was 14.7%. A total of six (4% Extended Spectrum β-Lactamase (ESBL producers were detected, two each from vegetable salads and raw chicken, and one each from raw egg-surface and raw meat. Multidrug resistant strains of E. coli are a matter of concern as resistance genes are easily transferable to other strains. Pathogen cycling through food is very common and might pose a potential health risk to the consumer. Therefore, in order to avoid this, good hygienic practices are necessary in the abattoirs to prevent contamination of cattle and poultry products with intestinal content as well as forbidding the use of untreated sewage in irrigating vegetables.

  6. Role of ArlRS in autolysis in methicillin-sensitive and methicillin-resistant Staphylococcus aureus strains.

    Science.gov (United States)

    Memmi, Guido; Nair, Dhanalakshmi R; Cheung, Ambrose

    2012-02-01

    Autolysis plays an essential role in bacterial cell division and lysis with β-lactam antibiotics. Accordingly, the expression of autolysins is tightly regulated by several endogenous regulators, including ArlRS, a two component regulatory system that has been shown to negatively regulate autolysis in methicillin-sensitive Staphylococcus aureus (MSSA) strains. In this study, we found that inactivation of arlRS does not play a role in autolysis of methicillin-resistant S. aureus (MRSA) strains, such as community-acquired (CA)-MRSA strains USA300 and MW2 or the hospital-acquired (HA)-MRSA strain COL. This contrasts with MSSA strains, including Newman, SH1000, RN6390, and 8325-4, where autolysis is affected by ArlRS. We further demonstrated that the striking difference in the roles of arlRS between MSSA and MRSA strains is not due to the methicillin resistance determinant mecA. Among known autolysins and their regulators, we found that arlRS represses lytN, while no effect was seen on atl, lytM, and lytH expression in both CA- and HA-MRSA strains. Transcriptional-fusion assays showed that the agr transcripts, RNAII and RNAIII, were significantly more downregulated in the arlRS mutant of MW2 than the MSSA strain Newman. Importantly, provision of agr RNAIII in trans to the MW2 arlRS mutant via a multicopy plasmid induced autolysis in this MRSA strain. Also, the autolytic phenotype in the arlRS mutant of MSSA strain Newman could be rescued by a mutation in either atl or lytM. Together, these data showed that ArlRS impacts autolysis differently in MSSA and MRSA strains.

  7. Global insights into acetic acid resistance mechanisms and genetic stability of Acetobacter pasteurianus strains by comparative genomics

    Science.gov (United States)

    Wang, Bin; Shao, Yanchun; Chen, Tao; Chen, Wanping; Chen, Fusheng

    2015-12-01

    Acetobacter pasteurianus (Ap) CICC 20001 and CGMCC 1.41 are two acetic acid bacteria strains that, because of their strong abilities to produce and tolerate high concentrations of acetic acid, have been widely used to brew vinegar in China. To globally understand the fermentation characteristics, acid-tolerant mechanisms and genetic stabilities, their genomes were sequenced. Genomic comparisons with 9 other sequenced Ap strains revealed that their chromosomes were evolutionarily conserved, whereas the plasmids were unique compared with other Ap strains. Analysis of the acid-tolerant metabolic pathway at the genomic level indicated that the metabolism of some amino acids and the known mechanisms of acetic acid tolerance, might collaboratively contribute to acetic acid resistance in Ap strains. The balance of instability factors and stability factors in the genomes of Ap CICC 20001 and CGMCC 1.41 strains might be the basis for their genetic stability, consistent with their stable industrial performances. These observations provide important insights into the acid resistance mechanism and the genetic stability of Ap strains and lay a foundation for future genetic manipulation and engineering of these two strains.

  8. Molecular epidemiology of Staphylococcus spp. contamination in the ward environment: study on mecA and femA genes in methicillin-resistant strains.

    Science.gov (United States)

    Ashimoto, A; Hamada, T; Adachi, A; Tanigawa, T; Tanaka, Y

    1995-01-01

    Staphylococcus spp. were isolated from the ward environment and antibiotic susceptibility tests were performed. Twenty-nine strains out of 274 isolates were S. aureus, and 41.4% of the S. aureus strains were methicillin resistant (MRSA). All 12 strains of MRSA were also resistant to oxacillin, ceftizoxime, ampicillin and clindamycin. Among the coagulase-negative staphylococci (CNS), methicillin-resistant (MR) strains of S. epidermidis, S. capitis, S. warneri, S. haemolyticus, S. hominis, S. auricularis, S. saprophyticus and S. cohnii were isolated. Eight of the 10 S. Haemolyticus strains were methicillin resistant. The femA gene was detected in S. aureus (MSSA and MRSA), but not in CNS by polymerase chain reaction (PCR) analysis and Southern blot analysis. The mecA gene was found in all the MRSA and MR-S. epidermidis strains tested, and one of the two MR-S. hominis strains, but not in MSSA, MS-S. epidermidis, MS-S. hominis, or MS-S. haemolyticus. DNA from one strain of MR-S. hominis and 2 strains of MR-S. haemolyticus was not amplified by PCR using the mecA gene primer, or hybridized by Southern blotting. The ambiguity that mecA was detected in some MR-CNS strains, but not in others is discussed.

  9. Construction and characterisation of near-isogenic Plutella xylostella (Lepidoptera: Plutellidae) strains resistant to Cry1Ac toxin.

    Science.gov (United States)

    Zhu, Xun; Lei, Yanyuan; Yang, Yanjv; Baxter, Simon W; Li, Jianhong; Wu, Qingjun; Wang, Shaoli; Xie, Wen; Guo, Zhaojiang; Fu, Wei; Zhang, Youjun

    2015-02-01

    Resistance to insecticidal Bacillus thuringiensis (Bt) toxins has arisen in multiple populations of the worldwide Brassica pest Plutella xylostella (L.). To help elucidate the mechanism of resistance to Bt Cry1Ac toxin in a population from Florida, two pairs of near-isogenic lines (NILs) were developed. NILs were generated using either backcross or recombinant inbred line methodologies and evaluated for near-isogenicity with inter-simple-sequence-repeat (ISSR) markers. Backcross line BC6F4 maintained a similar level of Cry1Ac resistance to parental strain DBM1Ac-R (>5000-fold) yet showed 98.24% genetic similarity to the susceptible parental strain DBM1Ac-S. Single-pair backcrosses between DBM1Ac-S and BC6F4 revealed that Cry1Ac resistance was controlled by one recessive autosomal locus. BC6F4 exhibited high levels of cross-resistance to Cry1Ab and Cry1Ah but not to Cry1Ca or Cry1Ie. Near-isogenic strains were constructed to provide a reliable biological system to investigate the mechanism of Cry1Ac resistance in P. xylostella. These data suggest that resistance to Cry1Ac, Cry1Ab and Cry1Ah is probably caused by the alteration of a common receptor not recognised by Cry1Ca or Cry1Ie. Understanding Bt toxin cross-resistance provides valuable information to consider when developing pest control strategies to delay resistance evolution. © 2014 Society of Chemical Industry. © 2014 Society of Chemical Industry.

  10. A multiple antibiotic and serum resistant oligotrophic strain, Klebsiella pneumoniae MB45 having novel dfrA30, is sensitive to ZnO QDs

    Directory of Open Access Journals (Sweden)

    Chakrabarti Pinak

    2011-05-01

    Full Text Available Abstract Background The aim of this study was to describe a novel trimethoprim resistance gene cassette, designated dfrA30, within a class 1 integron in a facultatively oligotrophic, multiple antibiotic and human serum resistant test strain, MB45, in a population of oligotrophic bacteria isolated from the river Mahananda; and to test the efficiency of surface bound acetate on zinc oxide quantum dots (ZnO QDs as bactericidal agent on MB45. Methods Diluted Luria broth/Agar (10-3 media was used to cultivate the oligotrophic bacteria from water sample. Multiple antibiotic resistant bacteria were selected by employing replica plate method. A rapid assay was performed to determine the sensitivity/resistance of the test strain to human serum. Variable region of class 1 integron was cloned, sequenced and the expression of gene coding for antibiotic resistance was done in Escherichia coli JM 109. Identity of culture was determined by biochemical phenotyping and 16S rRNA gene sequence analyses. A phylogenetic tree was constructed based on representative trimethoprim resistance-mediating DfrA proteins retrieved from GenBank. Growth kinetic studies for the strain MB45 were performed in presence of varied concentrations of ZnO QDs. Results and conclusions The facultatively oligotrophic strain, MB45, resistant to human serum and ten antibiotics trimethoprim, cotrimoxazole, ampicillin, gentamycin, netilmicin, tobramycin, chloramphenicol, cefotaxime, kanamycin and streptomycin, has been identified as a new strain of Klebsiella pneumoniae. A novel dfr gene, designated as dfrA30, found integrated in class 1 integron was responsible for resistance to trimethoprim in Klebsiella pneumoniae strain MB45. The growth of wild strain MB45 was 100% arrested at 500 mg/L concentration of ZnO QDs. To our knowledge this is the first report on application of ZnO quantum dots to kill multiple antibiotics and serum resistant K. pneumoniae strain.

  11. Risk factors assessment for nasal colonization of Staphylococcus aureus and its methicillin resistant strains among pre-clinical medical students of Nepal.

    Science.gov (United States)

    Ansari, Shamshul; Gautam, Rajendra; Shrestha, Sony; Ansari, Safiur Rahman; Subedi, Shankar Nanda; Chhetri, Muni Raj

    2016-04-12

    Staphylococcus aureus (S. aureus), a normal flora of nasal cavity, can cause minor to life threatening invasive diseases and nosocomial infections. Methicillin resistant strains of S. aureus are causing a great challenge for treatment options. Therefore, the purpose of this study was to assess the nasal carriage rate of S. aureus, its methicillin resistant strains and risk factors in medical students prior to clinical exposure. The bacterial growth of S. aureus from nasal swab culture was identified by using standard microbiological methods recommended by American Society for Microbiology. Modified Kirby-Bauer disk diffusion method was used for antibiotic susceptibility testing and methicillin resistance was confirmed using cefoxitin and oxacillin disks. D-zone test method was used to determine the inducible clindamycin resistance. Among 200 participants, nasal carriage of S. aureus was detected from 30 (15%) subjects. Upper respiratory tract infections significantly (P aureus and their methicillin resistant strains. All of the isolates were reported to be susceptible to vancomycin and teicoplanin. S. aureus strains detected from 8 (4%) students were confirmed to be methicillin resistant. The result of our study demands for strict policy to screen all the students for nasal carriage of S. aureus and its MRSA strains to minimize the transmission of this organism from community to hospital settings.

  12. Intra-species Genomic and Physiological Variability Impact Stress Resistance in Strains of Probiotic Potential.

    Science.gov (United States)

    Arnold, Jason W; Simpson, Joshua B; Roach, Jeffrey; Kwintkiewicz, Jakub; Azcarate-Peril, M Andrea

    2018-01-01

    Large-scale microbiome studies have established that most of the diversity contained in the gastrointestinal tract is represented at the strain level; however, exhaustive genomic and physiological characterization of human isolates is still lacking. With increased use of probiotics as interventions for gastrointestinal disorders, genomic and functional characterization of novel microorganisms becomes essential. In this study, we explored the impact of strain-level genomic variability on bacterial physiology of two novel human Lactobacillus rhamnosus strains (AMC143 and AMC010) of probiotic potential in relation to stress resistance. The strains showed differences with known probiotic strains ( L. rhamnosus GG, Lc705, and HN001) at the genomic level, including nucleotide polymorphisms, mutations in non-coding regulatory regions, and rearrangements of genomic architecture. Transcriptomics analysis revealed that gene expression profiles differed between strains when exposed to simulated gastrointestinal stresses, suggesting the presence of unique regulatory systems in each strain. In vitro physiological assays to test resistance to conditions mimicking the gut environment (acid, alkali, and bile stress) showed that growth of L. rhamnosus AMC143 was inhibited upon exposure to alkaline pH, while AMC010 and control strain LGG were unaffected. AMC143 also showed a significant survival advantage compared to the other strains upon bile exposure. Reverse transcription qPCR targeting the bile salt hydrolase gene ( bsh ) revealed that AMC143 expressed bsh poorly (a consequence of a deletion in the bsh promoter and truncation of bsh gene in AMC143), while AMC010 had significantly higher expression levels than AMC143 or LGG. Insertional inactivation of the bsh gene in AMC010 suggested that bsh could be detrimental to bacterial survival during bile stress. Together, these findings show that coupling of classical microbiology with functional genomics methods for the

  13. Intra-species Genomic and Physiological Variability Impact Stress Resistance in Strains of Probiotic Potential

    Directory of Open Access Journals (Sweden)

    Jason W. Arnold

    2018-02-01

    Full Text Available Large-scale microbiome studies have established that most of the diversity contained in the gastrointestinal tract is represented at the strain level; however, exhaustive genomic and physiological characterization of human isolates is still lacking. With increased use of probiotics as interventions for gastrointestinal disorders, genomic and functional characterization of novel microorganisms becomes essential. In this study, we explored the impact of strain-level genomic variability on bacterial physiology of two novel human Lactobacillus rhamnosus strains (AMC143 and AMC010 of probiotic potential in relation to stress resistance. The strains showed differences with known probiotic strains (L. rhamnosus GG, Lc705, and HN001 at the genomic level, including nucleotide polymorphisms, mutations in non-coding regulatory regions, and rearrangements of genomic architecture. Transcriptomics analysis revealed that gene expression profiles differed between strains when exposed to simulated gastrointestinal stresses, suggesting the presence of unique regulatory systems in each strain. In vitro physiological assays to test resistance to conditions mimicking the gut environment (acid, alkali, and bile stress showed that growth of L. rhamnosus AMC143 was inhibited upon exposure to alkaline pH, while AMC010 and control strain LGG were unaffected. AMC143 also showed a significant survival advantage compared to the other strains upon bile exposure. Reverse transcription qPCR targeting the bile salt hydrolase gene (bsh revealed that AMC143 expressed bsh poorly (a consequence of a deletion in the bsh promoter and truncation of bsh gene in AMC143, while AMC010 had significantly higher expression levels than AMC143 or LGG. Insertional inactivation of the bsh gene in AMC010 suggested that bsh could be detrimental to bacterial survival during bile stress. Together, these findings show that coupling of classical microbiology with functional genomics methods for the

  14. Frequency of resistance to penicillin and erythromycin of pneumococcal strains that caused ottis media

    Directory of Open Access Journals (Sweden)

    Jovanović Luka

    2017-01-01

    Full Text Available Introduction: Streptococcus pneumoniae is an important human pathogen and the most common cause of acute otitis media (AOM, especially in children. It is also a common cause of community acquired pneumonia, sepsis and bacterial meningitis. Drug of choice in the treatment of these disease are beta lactam antibiotics, and the first alternative are macrolides. The increasing prevalence of resistance to penicillin and macrolides, among pneumococci, has considerably complicated the treatment. Aim: The aim of this study was to determine susceptibility of pneumococcal isolates from pediatric AOM in Serbia to antibiotics. Material and methods: Antimicrobial susceptibility testing of 61 pneumococcal AOM was performed, collected from December 2014 to December 2015, using disk diffusion method and E test. Macrolide resistance profile was determined by double disk diffusion test. Results: In our study, 40 strains (65.6% showed reduced sensitivity to penicillin and erythromycin. There were 9 (14.8% high resistant isolates to penicillin, while 31 (50.8% showed reduced susceptibility. The most frequent resistance phenotype was cMLS. Co-resistance to penicillin and macrolides was found in 14.8% strains. Conclusion: Our results showed high resistance rate of S. pneumoniae, which causes AOM among children, to penicillin and macrolides. Further active surveillance of pneumococcal susceptibility to antibiotics is necessary, and use of these medications in empirical therapy should be limited.

  15. The global establishment of a highly-fluoroquinolone resistant Salmonella enterica serotype Kentucky ST198 strain

    Directory of Open Access Journals (Sweden)

    Simon eLe Hello

    2013-12-01

    Full Text Available While the spread of Salmonella enterica serotype Kentucky resistant to ciprofloxacin across Africa and the Middle-East has been described recently, the presence of this strain in humans, food, various animal species (livestock, pets, and wildlife and in environment is suspected in other countries of different continents. Here, we report results of an in-depth molecular epidemiological study on a global human and non-human collection of S. Kentucky (n=70.We performed XbaI-pulsed field gel electrophoresis and multilocus sequence typing, assessed mutations in the quinolone resistance-determining regions, detected β-lactam resistance mechanisms, and screened the presence of the Salmonella genomic island 1 (SGI1. In this study, we highlight the rapid and extensive worldwide dissemination of the ciprofloxacin-resistant S. Kentucky ST198-X1-SGI1 strain since the mid-2000s in an increasingly large number of contaminated sources, including the environment. This strain has accumulated an increasing number of chromosomal and plasmid resistance determinants and has been identified in the Indian subcontinent, Southeast Asia and Europe since 2010. The second substitution at position 87 in GyrA (replacing the amino acid Asp appeared helpful for epidemiological studies to track the origin of contamination.This global study provides evidence leading to the conclusion that high-level resistance to ciprofloxacin in S. Kentucky is a simple microbiological trait that facilitates the identification of the epidemic clone of interest, ST198-X1-SGI1. Taking this into account is essential in order to detect and monitor it easily and to take rapid measures in livestock to ensure control of this infection.

  16. Decreased UV light resistance of spores of Bacillus subtilis strains deficient in pyrimidine dimer repair and small, acid-soluble spore proteins

    International Nuclear Information System (INIS)

    Setlow, B.; Setlow, P.

    1988-01-01

    Loss of small, acid-soluble spore protein alpha reduced spore UV resistance 30- to 50-fold in Bacillus subtilis strains deficient in pyrimidine dimer repair, but gave only a 5- to 8-fold reduction in UV resistance in repair-proficient strains. However, both repair-proficient and -deficient spores lacking this protein had identical heat and gamma-radiation resistance

  17. Potentiation of Artemisinin Activity against Chloroquine-Resistant Plasmodium falciparum Strains by Using Heme Models

    Science.gov (United States)

    Benoit-Vical, Françoise; Robert, Anne; Meunier, Bernard

    1999-01-01

    The influence of different metalloporphyrin derivatives on the antimalarial activity of artemisinin was studied with two chloroquine-resistant strains of Plasmodium falciparum (FcB1-Colombia and FcM29-Cameroon) cultured in human erythrocytes. This potentiation study indicates that the manganese complex of meso-tetrakis(4-sulfonatophenyl)porphyrin has a significant synergistic effect on the activity of artemisinin against both Plasmodium strains. PMID:10508044

  18. Identification of a Candidate Gene in Solanum habrochaites for Resistance to a Race 1 Strain of Pseudomonas syringae pv. tomato

    Directory of Open Access Journals (Sweden)

    Zhilong Bao

    2015-11-01

    Full Text Available Bacterial speck disease caused by pv. ( is a persistent problem on tomato ( L.. Resistance against race 0 strains is conferred by the Pto protein, which recognizes either of two pathogen effectors: AvrPto or AvrPtoB. However, current tomato varieties do not have resistance to the increasingly common race 1 strains, which lack these effectors. We identified accessions of S. Knapp & D. M. Spooner that are resistant to the race 1 strain T1. Genome sequence comparisons of T1 and two strains that are virulent on these accessions suggested that known microbe-associated molecular patterns (MAMPs or effectors are not involved in the resistance. We developed an F population from a cross between one T1-resistant accession, LA2109, and a susceptible tomato cultivar to investigate the genetic basis of this resistance. Linkage analysis using whole-genome sequence of 58 F plants identified quantitative trait loci (QTL, , in a 5.8-Mb region on chromosome 2, and , in a 52.4-Mb region on chromosome 8, which account for 24 and 26% of the phenotypic variability, respectively. High-resolution mapping of confirmed it contributed to T1 resistance and delimited it to a 1060-kb region containing 139 genes, including three encoding receptor-like proteins (RLPs and 17 encoding receptor-like protein kinases (RLKs. One RLK gene, Solyc02g072470, is a promising candidate for , as it is highly expressed in LA2109 and induced on treatment with MAMPs. might be useful for enhancing resistance to race 1 strains and its future characterization could provide insights into the plant immune system.

  19. Antifungal activities of diphenyl diselenide and ebselen against echinocandin-susceptible and -resistant strains of Candida parapsilosis.

    Science.gov (United States)

    Chassot, Francieli; Pozzebon Venturini, Tarcieli; Baldissera Piasentin, Fernanda; Morais Santurio, Janio; Estivalet Svidzinski, Terezinha Inez; Hartz Alves, Sydney

    2016-10-01

    We evaluated the in vitro antifungal activity of diphenyl diselenide and ebselen against echinocandin-susceptible and -resistant strains of Candida parapsilosis using the broth microdilution method. Diphenyl diselenide (MIC range =1-8 µg/mL) and ebselen (MIC range =0.25-4 µg/mL) showed in vitro activity against echinocandin-susceptible isolates. However, ebselen also showed the highest antifungal activity against echinocandin-resistant strains (MIC range =0.06-4 µg/mL). This study demonstrated that the antifungal potential of diphenyl diselenide and ebselen deserves further investigation using in vivo experimental protocols.

  20. Virulence Genes and Antimicrobial Resistance Profiles of Pasteurella multocida Strains Isolated from Rabbits in Brazil

    Directory of Open Access Journals (Sweden)

    Thais Sebastiana Porfida Ferreira

    2012-01-01

    Full Text Available Pasteurella multocida is responsible for a wide range of diseases in domestic animals. In rabbits, the agent is related to nasal discharge, pneumonia, otitis media, pyometra, orchitis, abscess, and septicemia. One hundred and forty rabbits with respiratory diseases from four rabbitries in São Paulo State, Brazil were evaluated for the detection of P. multocida in their nasal cavities. A total of twenty-nine animals were positive to P. multocida isolation, and 46 strains were selected and characterized by means of biochemical tests and PCR. P. multocida strains were tested for capsular type, virulence genes, and resistance profile. A total of 45.6% (21/46 of isolates belonged to capsular type A, and 54.34% (25/46 of the isolates were untypeable. None of the strains harboured toxA or pfhA genes. The frequency of the other twenty genes tested was variable, and the data generated was used to build a dendrogram, showing the relatedness of strains, which were clustered according to origin. Resistance revealed to be more common against sulfonamides and cotrimoxazole, followed by erythromycin, penicillin, and amoxicillin.

  1. Genetic diversity, anti-microbial resistance, plasmid profile and frequency of the Vi antigen in Salmonella Dublin strains isolated in Brazil.

    Science.gov (United States)

    Vilela, F P; Frazão, M R; Rodrigues, D P; Costa, R G; Casas, M R T; Fernandes, S A; Falcão, J P; Campioni, F

    2018-02-01

    Salmonella Dublin is strongly adapted to cattle causing enteritis and/or systemic disease with high rates of mortality. However, it can be sporadically isolated from humans, usually causing serious disease, especially in patients with underlying chronic diseases. The aim of this study was to molecularly type S. Dublin strains isolated from humans and animals in Brazil to verify the diversity of these strains as well as to ascertain possible differences between strains isolated from humans and animals. Moreover, the presence of the capsular antigen Vi and the plasmid profile was characterized in addition to the anti-microbial resistance against 15 drugs. For this reason, 113 S. Dublin strains isolated between 1983 and 2016 from humans (83) and animals (30) in Brazil were typed by PFGE and MLVA. The presence of the capsular antigen Vi was verified by PCR, and the phenotypic expression of the capsular antigen was determined serologically. Also, a plasmid analysis for each strain was carried out. The strains studied were divided into 35 different PFGE types and 89 MLVA-types with a similarity of ≥80% and ≥17.5%, respectively. The plasmid sizes found ranged from 2 to >150 kb and none of the strains studied presented the capsular antigen Vi. Resistance or intermediate resistance was found in 23 strains (20.3%) that were resistant to ampicillin, ciprofloxacin, chloramphenicol, imipenem, nalidixic acid, piperacillin, streptomycin and/or tetracycline. The majority of the S. Dublin strains studied and isolated over a 33-year period may descend from a common subtype that has been contaminating humans and animals in Brazil and able to cause invasive disease even in the absence of the capsular antigen. The higher diversity of resistance phenotypes in human isolates, as compared with animal strains, may be a reflection of the different anti-microbial treatments used to control S. Dublin infections in humans in Brazil. © 2017 Blackwell Verlag GmbH.

  2. Effectiveness of 5-Pyrrolidone-2-carboxylic Acid and Copper Sulfate Pentahydrate Association against Drug Resistant Staphylococcus Strains.

    Science.gov (United States)

    Governa, Paolo; Miraldi, Elisabetta; De Fina, Gianna; Biagi, Marco

    2016-04-01

    Bacterial resistance is an ongoing challenge for pharmacotherapy and pharmaceutical chemistry. Staphylococcus aureus is the bacterial species which makes it most difficult to treat skin and soft tissue infections and it is seen in thousands of hospitalization cases each year. Severe but often underrated infectious diseases, such as complicated nasal infections, are primarily caused by MRSA and S. epidermidis too. With the aim of studying new drugs with antimicrobial activity and effectiveness on drug resistant Staphylococcus strains, our attention in this study was drawn on the activity of a new association between two natural products: 5-pyrrolidone-2-carboxylic acid (PCA), naturally produced by certain Lactobacillus species, and copper sulfate pentahydrate (CS). The antimicrobial susceptibility test was conducted taking into account 12 different Staphylococcus strains, comprising 6 clinical isolates and 6 resistant strains. PCA 4%, w/w, and CS 0.002%, w/w, association in distilled water solution was found to have bactericidal activity against all tested strains. Antimicrobial kinetics highlighted that PCA 4%, w/w, and CS 0.002% association could reduce by 5 log10 viable bacterial counts of MRSA and oxacillin resistant S. epidennidis in less than 5 and 3 minutes respectively. Microscopic investigations suggest a cell wall targeting mechanism of action. Being very safe and highly tolerated, the natural product PCA and CS association proved to be a promising antimicrobial agent to treat Staphylococcus related infections.

  3. Salmonella spp. and antibiotic-resistant strains in wild mammals and birds in north-western Italy from 2002 to 2010

    Directory of Open Access Journals (Sweden)

    Velca Botti

    2013-06-01

    Full Text Available Salmonella is an important zoonotic pathogen of economic importance. In Europe, salmonellosis is the second food-borne infection, in Italy, Salmonella is still the major cause of food-borne outbreaks. In Europe, there are many Salmonella surveillance plans on farmed animals, while Salmonella survey of wild animals is occasionally performed. The aim of this study was to investigate the presence of Salmonella including the antibiotic-resistant strains in wild animals. Between 2002 and 2010, 2,713 wild animals (canids, mustelids, birds, rodents, ungulates, were collected in north-western Italy and tested for Salmonella by classical microbiological culture method followed by serological and biochemical typing. One hundred and seventeen wild animals (63 canids, 25 mustelids, 24 birds, 5 ungulates were found positive for Salmonella (4.3%. One hundred and thirty strains, belonging to several serotypes were isolated, and S. Typhimurium was the most common serotype found. Antibiotic susceptibility was tested by disk-diffusion test on 88 strains. Almost all the analyzed strains (97.7% showed resistance/intermediate resistance to at least one class of antibiotics and the highest resistance values were observed for the tetracycline class. In conclusion, zoonotic and antibiotic-resistant serotypes were found in many species of wildlife.

  4. Coupled stress-strain and electrical resistivity measurements on copper based shape memory single crystals

    Directory of Open Access Journals (Sweden)

    Gonzalez Cezar Henrique

    2004-01-01

    Full Text Available Recently, electrical resistivity (ER measurements have been done during some thermomechanical tests in copper based shape memory alloys (SMA's. In this work, single crystals of Cu-based SMA's have been studied at different temperatures to analyse the relationship between stress (s and ER changes as a function of the strain (e. A good consistency between ER change values is observed in different experiments: thermal martensitic transformation, stress induced martensitic transformation and stress induced reorientation of martensite variants. During stress induced martensitic transformation (superelastic behaviour and stress induced reorientation of martensite variants, a linear relationship is obtained between ER and strain as well as the absence of hys teresis. In conclusion, the present results show a direct evidence of martensite electrical resistivity anisotropy.

  5. Conspicuous multidrug-resistant Mycobacterium tuberculosis cluster strains do not trespass country borders in Latin America and Spain.

    Science.gov (United States)

    Ritacco, Viviana; Iglesias, María-José; Ferrazoli, Lucilaine; Monteserin, Johana; Dalla Costa, Elis R; Cebollada, Alberto; Morcillo, Nora; Robledo, Jaime; de Waard, Jacobus H; Araya, Pamela; Aristimuño, Liselotte; Díaz, Raúl; Gavin, Patricia; Imperiale, Belen; Simonsen, Vera; Zapata, Elsa M; Jiménez, María S; Rossetti, Maria L; Martin, Carlos; Barrera, Lucía; Samper, Sofia

    2012-06-01

    Multidrug-resistant Mycobacterium tuberculosis strain diversity in Ibero-America was examined by comparing extant genotype collections in national or state tuberculosis networks. To this end, genotypes from over 1000 patients with multidrug-resistant tuberculosis diagnosed from 2004 through 2008 in Argentina, Brazil, Chile, Colombia, Venezuela and Spain were compared in a database constructed ad hoc. Most of the 116 clusters identified by IS6110 restriction fragment length polymorphism were small and restricted to individual countries. The three largest clusters, of 116, 49 and 25 patients, were found in Argentina and corresponded to previously documented locally-epidemic strains. Only 13 small clusters involved more than one country, altogether accounting for 41 patients, of whom 13 were, in turn, immigrants from Latin American countries different from those participating in the study (Peru, Ecuador and Bolivia). Most of these international clusters belonged either to the emerging RD(Rio) LAM lineage or to the Haarlem family of M. tuberculosis and four were further split by country when analyzed with spoligotyping and rifampin resistance-conferring mutations, suggesting that they did not represent ongoing transnational transmission events. The Beijing genotype accounted for 1.3% and 10.2% of patients with multidrug-resistant tuberculosis in Latin America and Spain, respectively, including one international cluster of two cases. In brief, Euro-American genotypes were widely predominant among multidrug-resistant M. tuberculosis strains in Ibero-America, reflecting closely their predominance in the general M. tuberculosis population in the region, and no evidence was found of acknowledged outbreak strains trespassing country borders. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. Novos pontos de corte de sensibilidade nas taxas de resistência antimicrobiana de cepas invasivas de pneumococo New susceptibility breakpoints in antimicrobial resistance rates of invasive pneumococcal strains

    Directory of Open Access Journals (Sweden)

    Paula Carolina Bejo Wolkers

    2009-10-01

    Full Text Available OBJETIVO: Avaliar impacto dos novos pontos de corte de sensibilidade à penicilina nas taxas de resistência de cepas de pneumococo obtidas de crianças com pneumonia. MÉTODOS: Cepas de pneumococo isoladas no laboratório de análises clínicas do Hospital de Clínicas de Uberlândia, Uberlândia (MG, a partir de amostras de pacientes internados foram enviadas ao Instituto Adolfo Lutz, Sao Paulo (SP, para confirmação da identificação, sorotipagem e determinação da sensibilidade aos antimicrobianos. RESULTADOS: De abril de 1999 a dezembro de 2008 foram enviadas ao Instituto Adolfo Lutz 330 cepas de pneumococo, sendo 195 (59% provenientes de pacientes com diagnóstico de pneumonia. Destas, foram analisadas 100 cepas de pacientes com idade ≤ 12 anos; a idade dos pacientes variou de 1 a 12,6 anos, com média de 2,4 e mediana de 1,7 anos; 47 pacientes eram do sexo masculino; as fontes de recuperação foram sangue (42% e líquido pleural (58%. Foram detectadas 35 cepas oxacilina-resistentes: segundo os critérios do Clinical and Laboratory Standards Institute (CLSI de 2007 [concentração inibitória mínima (CIM ≤ 0,06 µg/mL para sensibilidade (S, 0,12 a 1 µg/mL para resistência intermediária (RI e ≥ 2 µg/mL para resistência plena (RP], 22 cepas apresentaram RI e 11, RP para penicilina. De acordo com os critérios atuais do CLSI de 2008 (≤ 2 µg/mL para S, 4 µg/mL para RI e ≥ 8 µg/mL para RP apenas uma cepa confirmou RI à penicilina. Detectou-se resistência a cotrimoxazol (80%, tetraciclina (21%, eritromicina (13%, clindamicina (13% e ceftriaxona (uma cepa, simultaneamente resistente a penicilina. CONCLUSÕES: Com a aplicação dos novos pontos de corte para sensibilidade in vitro, as taxas de resistência a penicilina caíram 97%, de 33 para 1%.OBJECTIVE: To evaluate the impact of new penicillin susceptibility breakpoints on resistance rates of pneumococcal strains collected from children with pneumonia. METHODS

  7. [Antibiotic resistance pattern of 24, 526 strains of Vibrio cholerae O1 isolated in Mexico from 1991 to 1993].

    Science.gov (United States)

    Giono-Cerezo, S; Zárate, A; Gutiérrez, L; Valdespino, J L

    1994-01-01

    Profile of antimicrobial resistance by Kirby-Bauer method was performed on 24526 Vibrio cholerae O1 strains isolated in México (1991-1993) from fecal swabs in cholera cases and from asymptomatic carriers. Minimal inhibitory concentration (MIC) tests for tetracycline (Te) and doxycycline (D) were done on selected strains. Single antibiotic discs were used at concentrations of: Te, 30 micrograms; D, 30 micrograms; erythromycin (E), 15 micrograms; chloramphenicol (CM), 30 micrograms; ampicillin (AM), 10 micrograms; trimethoprim-sulfamethoxazole (SXT) 1.25 micrograms/23.75 micrograms. Strains whose halos were of a smaller diameter than the intermediate value were considered resistant. It is important to maintain surveillance on antimicrobial susceptibility as epidemiological marker on geographical selected areas in order to detect changes of resistant patterns.

  8. Mutation of a Nicotiana tabacum L. eukaryotic translation-initiation factor gene reduces susceptibility to a resistance-breaking strain of Potato Virus Y.

    Science.gov (United States)

    Takakura, Yoshimitsu; Udagawa, Hisashi; Shinjo, Akira; Koga, Kazuharu

    2018-04-06

    Eukaryotic translation-initiation factors eIF4E and eIF(iso)4E in plants play key roles in infection by potyviruses and other plant RNA viruses. Mutations in the genes encoding these factors reduce susceptibility to the viruses, and are the basis of several recessive virus-resistance genes widely used in plant breeding. Because virus variants occasionally break such resistance, the molecular basis for this process must be elucidated. Although deletion mutants of eIF4E1-S of tobacco (Nicotiana tabacum L.) resist Potato virus Y (PVY; the type member of the genus Potyvirus), resistance-breaking strains of PVY threaten tobacco production worldwide. Here, we used RNA interference technology to knock down tobacco eIF4E2-S and eIF4E2-T genes or eIF(iso)4E-S and eIF(iso)4E-T genes. Transgenic plants with reduced transcript levels of both eIF(iso)4E-S and eIF(iso)4E-T showed reduced susceptibility to a resistance-breaking PVY strain with a K105E mutation in the viral genome-associated protein (VPg). By screening a population of chemically-induced mutants of eIF(iso)4E-S and eIF(iso)4E-T, we showed that plants with a nonsense mutation in eIF(iso)4E-T, but not eIF(iso)4E-S, showed reduced susceptibility to the resistance-breaking PVY strain. In a yeast two-hybrid assay, VPg of the resistance-breaking strain, but not wild-type PVY, physically interacted with the eIF(iso)4E-T protein. Thus, eIF4E1-S is required for infection by PVY, but eIF(iso)4E-T is required for infection by the resistance-breaking strain. Our study provides the first evidence for the involvement of a host eukaryotic translation-initiation factor in the infection cycle of a resistance-breaking virus strain. The eIF(iso)4E-T mutants will be useful in tobacco breeding to introduce resistance against resistance-breaking PVY strains. This article is protected by copyright. All rights reserved. © 2018 BSPP and John Wiley & Sons Ltd.

  9. Resistance to antibiotics in Lacid acid bacteria - strain Lactococcus

    Directory of Open Access Journals (Sweden)

    Filipić Brankica

    2015-01-01

    Full Text Available Lactic acid bacteria (LAB are widely used in the food industry, especially in the production of fermented dairy products and meat. The most studied species among Lis Lactococcus lactis. L. lactis strains are of great importance in the production of fermented dairy products such as yogurt, butter, fresh cheese and some kind of semi-hard cheese. Although L. lactis acquired the „Generally Regarded As Safe“ (GRAS status, many investigations indicated that lactococci may act as reservoirs of antibiotic resistance genes, which could be transferred to other bacterial species in human gastrointestinal tract includ­ing pathogens. The genome analysis of L. lactis indicated the presence of at least 40 putative drug transporter genes, and only four multidrug resistance (MDR transporters are functionally characterized: LmrA, LmrP, LmrCD i CmbT. LmrA is the first described MDR transporter in prokaryotes. LmrCD is responsible for resistance to cholate, which is an integral part of human bile and LmrCD is important for intestinal survival of lactococci that are used as probiotics. Secondary multidrug transporter LmrP confers resistance to lincosamides, macrolides, streptogramins and tetracyclines. CmbT protein has an effect on the host cell resistance to lincomycin, sulfadiazine, streptomycin, rifampicin, puromycin and sulfametox­azole. Since the food chain is an important way of transmitting resistance genes in human and animal population, it is of great importance to study the mechanisms of resistance in lactococci and other LAB, intended for the food industry. [Projekat Ministarstva nauke Republike Srbije, br. 173019: Izučavanje gena i molekularnih mehanizama u osnovi probiotičke aktivnosti bakterija mlečne kiseline izolovanih sa područja Zapadnog Balkana

  10. Genetic basis for nitrate resistance in Desulfovibrio strains

    Directory of Open Access Journals (Sweden)

    Hannah eKorte

    2014-04-01

    Full Text Available Nitrate is an inhibitor of sulfate-reducing bacteria (SRB. In petroleum production sites, amendments of nitrate and nitrite are used to prevent SRB production of sulfide that causes souring of oil wells. A better understanding of nitrate stress responses in the model SRB, Desulfovibrio vulgaris Hildenborough and Desulfovibrio alaskensis G20, will strengthen predictions of environmental outcomes. Nitrate inhibition of SRB has historically been considered to result from the generation of small amounts of nitrite, to which SRB are quite sensitive. Here we explored the possibility that nitrate might inhibit SRB by a mechanism other than through nitrite inhibition. We found that nitrate-stressed D. vulgaris cultures grown in lactate-sulfate conditions eventually grew in the presence of high concentrations of nitrate, and their resistance continued through several subcultures. Nitrate consumption was not detected over the course of the experiment, suggesting adaptation to nitrate. With high-throughput genetic approaches employing TnLE-seq for D. vulgaris and a pooled mutant library of D. alaskensis, we determined the fitness of many transposon mutants of both organisms in nitrate stress conditions. We found that several mutants, including homologs present in both strains, had a greatly increased ability to grow in the presence of nitrate but not nitrite. The mutated genes conferring nitrate resistance included the gene encoding the putative Rex transcriptional regulator (DVU0916/Dde_2702, as well as a cluster of genes (DVU0251-DVU0245/Dde_0597-Dde_0605 that is poorly annotated. Follow-up studies with individual D. vulgaris transposon and deletion mutants confirmed high-throughput results. We conclude that, in D. vulgaris and D. alaskensis, nitrate resistance in wild-type cultures is likely conferred by spontaneous mutations. Furthermore, the mechanisms that confer nitrate resistance may be different from those that confer nitrite resistance.

  11. Characterization of a Large Antibiotic Resistance Plasmid Found in Enteropathogenic Escherichia coli Strain B171 and Its Relatedness to Plasmids of Diverse E. coli and Shigella Strains.

    Science.gov (United States)

    Hazen, Tracy H; Michalski, Jane; Nagaraj, Sushma; Okeke, Iruka N; Rasko, David A

    2017-09-01

    Enteropathogenic Escherichia coli (EPEC) is a leading cause of severe infantile diarrhea in developing countries. Previous research has focused on the diversity of the EPEC virulence plasmid, whereas less is known regarding the genetic content and distribution of antibiotic resistance plasmids carried by EPEC. A previous study demonstrated that in addition to the virulence plasmid, reference EPEC strain B171 harbors a second, larger plasmid that confers antibiotic resistance. To further understand the genetic diversity and dissemination of antibiotic resistance plasmids among EPEC strains, we describe the complete sequence of an antibiotic resistance plasmid from EPEC strain B171. The resistance plasmid, pB171_90, has a completed sequence length of 90,229 bp, a GC content of 54.55%, and carries protein-encoding genes involved in conjugative transfer, resistance to tetracycline ( tetA ), sulfonamides ( sulI ), and mercury, as well as several virulence-associated genes, including the transcriptional regulator hha and the putative calcium sequestration inhibitor ( csi ). In silico detection of the pB171_90 genes among 4,798 publicly available E. coli genome assemblies indicates that the unique genes of pB171_90 ( csi and traI ) are primarily restricted to genomes identified as EPEC or enterotoxigenic E. coli However, conserved regions of the pB171_90 plasmid containing genes involved in replication, stability, and antibiotic resistance were identified among diverse E. coli pathotypes. Interestingly, pB171_90 also exhibited significant similarity with a sequenced plasmid from Shigella dysenteriae type I. Our findings demonstrate the mosaic nature of EPEC antibiotic resistance plasmids and highlight the need for additional sequence-based characterization of antibiotic resistance plasmids harbored by pathogenic E. coli . Copyright © 2017 American Society for Microbiology.

  12. Outbreak of candidemia caused by fluconazole resistant Candida parapsilosis strains in an intensive care unit.

    Science.gov (United States)

    Pinhati, Henrique Marconi Sampaio; Casulari, Luiz Augusto; Souza, Ana Carolina Remondi; Siqueira, Ricardo Andreotti; Damasceno, Camila Maria Gomes; Colombo, Arnaldo Lopes

    2016-08-20

    Candidemia is an increasing problem in tertiary care hospitals worldwide. Here, we report the first outbreak of candidemia caused by fluconazole-resistant C. parapsilosis (FRCP) strains in Brazil. This was a cross-sectional study of clinical and microbiological data of all candidemic episodes diagnosed from July 2011 to February 2012 in a 200-bed tertiary care hospital. Initial yeast identification and susceptibility testing were performed using the VITEK 2 - System. Isolates of Candida spp. resistant to fluconazole were sent to a reference laboratory (LEMI-UNIFESP) for further molecular identification and confirmation of resistance by CLSI microdilution test. A multivariate analysis was conducted to identify factors associated with FRCP infection. We identified a total of 40 critically ill patients with candidemia (15 women) with a median age of 70 years. The incidence of candidemia was 6 cases/1,000 patients admissions, including 28 cases (70 %) of infection with C. parapsilosis, 21 of which (75 %) were resistant to fluconazole. In only 19 % of FRCP candidemia cases had fluconazole been used previously. The results of our study indicated that diabetes is a risk factor for FRCP candidemia (p = 0.002). Overall, mortality from candidemia was 45 %, and mortality from episodes of FRCP infections was 42.9 %. The clustering of incident cases in the ICU and molecular typing of strains suggest horizontal transmission of FRCP. Accurate vigilant monitoring for new nosocomial strains of FRCP is required.

  13. Whole genome sequencing of clinical strains of Mycobacterium tuberculosis from Mumbai, India: A potential tool for determining drug-resistance and strain lineage.

    Science.gov (United States)

    Chatterjee, Anirvan; Nilgiriwala, Kayzad; Saranath, Dhananjaya; Rodrigues, Camilla; Mistry, Nerges

    2017-12-01

    Amplification of drug resistance in Mycobacterium tuberculosis (M.tb) and its transmission are significant barriers in controlling tuberculosis (TB) globally. Diagnostic inaccuracies and delays impede appropriate drug administration, which exacerbates primary and secondary drug resistance. Increasing affordability of whole genome sequencing (WGS) and exhaustive cataloguing of drug resistance mutations is poised to revolutionise TB diagnostics and facilitate personalized drug therapy. However, application of WGS for diagnostics in high endemic areas is yet to be demonstrated. We report WGS of 74 clinical TB isolates from Mumbai, India, characterising genotypic drug resistance to first- and second-line anti-TB drugs. A concordance analysis between phenotypic and genotypic drug susceptibility of a subset of 29 isolates and the sensitivity of resistance prediction to the 4 drugs was calculated, viz. isoniazid-100%, rifampicin-100%, ethambutol-100% and streptomycin-85%. The whole genome based phylogeny showed almost equal proportion of East Asian (27/74) and Central Asian (25/74) strains. Interestingly we also found a clonal group of 9 isolates, of which 7 patients were found to be from the same geographical location and accessed the same health post. This provides the first evidence of epidemiological linkage for tracking TB transmission in India, an approach which has the potential to significantly improve chances of End-TB goals. Finally, the use of Mykrobe Predictor, as a standalone drug resistance and strain typing tool, requiring just few minutes to analyse raw WGS data into tabulated results, implies the rapid clinical applicability of WGS based TB diagnosis. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. [Isolation, identification and lead adsorption study of lead-resistant Lactobacillus casei strains from feces of healthy newborns].

    Science.gov (United States)

    Shen, Wei; Yu, Qin-Fei; Wang, Yu-Hao; Zhang, Yi-Duo; Meng, Xiao-Jing; Fan, Hong-Ying

    2016-12-20

    To isolate and identify lead-resistant Lactobacillus casei strains with lead adsorption ability from the stool of healthy newborns as a new source of bacteria for developing lead-eliminating food products. MRS was used to isolate lead-resistant bacteria from the feces of 30 healthy and full-term neonates. A phylogenetic tree was constructed based on the morphological characteristics and 16S rRNA sequences of the isolated bacteria. Physiological and biochemical characterizations of the bacteria were performed according to the Berger's Systematic Bacteriology Handbook, followed by antimicrobial susceptibility test and acid-tolerant bile salt test. The adsorption capacity of Pb 2+ of the bacteria was determined by inductively coupled plasma-optical emission spectroscopy (ICP-OES). Three strains of Lactobacillus casei were isolated, which were resistant to penicillin and ceftriaxone and could tolerate the exposure to 500 mg/L Pb 2+ . Acid-tolerant bile salt test showed that the bacteria were resistant to culture in the presence of artificial gastric juice (pH 2.0) for 3 h, and their survival rate reached 62.5% following exposure to 0.3% bile salt for 8 h. The bacteria showed a Pb 2+ adsorption rate of 90.4% at a low Pb 2+ concentration (1 mg/L) and of 86.27% at a high Pb 2+ concentration (50 mg/L). Three Lactobacillus casei strains lead adsorption ability were isolated from the feces of newborns. These bacterial strains provide a new solution to alleviate lead poisoning by probiotic dietary.

  15. Evaluation of possible occurrence of mutation in MMR repair system genes in resistant and sensitiveclinical strains of Mycobacterium tuberculosisby using sequencing method

    Directory of Open Access Journals (Sweden)

    AmirPoyan Afzali

    2016-07-01

    Full Text Available Background:during recent years, the incidence and spread of drug resistance in Mycobacterium tuberculosis, the bacterium causing tuberculosis, has set this disease in World Health Organizationpriorities alignment of diseases like AIDS and hepatitis. Study of close examination of resistant and susceptible clinical strains genotypes is necessary to overcome drug resistance. Among the numerous repair systems, only there are limited number of encoding genes of DNA repair enzymes in Mycobacterium tuberculosis. Commonly these genes have been conserved and any changes among them likely increasethe mutation occurance due to the impossibility of correctionof spontaneous mutations insensitive strains of this bacteria.mut genes encodeDNA repairable enzymes.This study investigated the mutations in these genes and the effect of these mutations on tuberculosis drug resistance. Materials&Methods: In this study,of 29 available specimens,we were selected 8 susceptible strains and 21 resistantstrains andafter ordering appropriate primers and performing the proliferation reaction two types of amplicons produced which includingfragments of genes mut T2 and mut T4 and they were sent inorder to sequencing. Results:The results of chain reactionprimer represents an appropriate choice of primerswhich were investigated. Sequencing results showed that overall 73% of resistant strains that had been selected for study of mutT4gene, have no mutations in codons 48of mutT4 gene, and 70% of resistant strains have no GGA >>> CGA mutation at codon 58 of mutT2 gene. Conclusion: One of the strategies to overcome tuberculosis drug resistance is a close examination of genotypes of resistant and susceptible clinical strains. Results of this study was performedby examining changes in mut T2 and mut T4 gene sequence. The mutation in mut T2 always associated with mutation in mut T4, in this way, the first mutation may occurs in mut T4and after that, the second mutationmay occurs in mut T

  16. Fate of acid-resistant and non-acid resistant Shiga toxin-producing Escherichia coli strains in experimentally contaminated French fermented raw meat sausages.

    Science.gov (United States)

    Montet, M P; Christieans, S; Thevenot, D; Coppet, V; Ganet, S; Muller, M L Delignette; Dunière, L; Miszczycha, S; Vernozy-Rozand, C

    2009-02-28

    Both pathogenic and nonpathogenic E. coli exhibit a stress response to sublethal environmental stresses. Several studies have reported acid tolerance and survival characteristics of E. coli O157:H7 in foodstuffs, but there are few reports about the tolerance of non-O157 serogroups (STEC) to organic acids in foods. The purpose of this study was to examine the effect of the manufacturing process of French fermented raw meat sausages on the growth and survival of acid-resistant (AR) and non-acid resistant (NAR) STEC strains. The six strains, 3 AR and 3 NAR, were inoculated separately into raw sausage mixture at a level of 10(4)-10(5) CFU/g. A total of 19 batches of sausages were manufactured. A rapid and similar decrease in the number of both AR and NAR STEC strains, from less than 1 to 1.5 log(10) CFU/g, was observed during the first 5 days of fermentation at 20-24 degrees C. This rapid decrease was followed by a more gradual but continuous decrease in STEC counts after drying at 13-14 degrees C, up to day 35. The STEC counts were <10 CFU/g after 35 days for the NAR strains and the same concentration for the AR strains on the best before date (day 60). It was not possible to detect any NAR STEC after 60 days. The present study shows that the process used in the manufacture of French sausages results in a complete destruction of NAR STEC strains after 60 days, but it does not have the same effect on the AR STEC strains.

  17. Induced systemic resistance against Botrytis cinerea by Micromonospora strains isolated from root nodules

    Directory of Open Access Journals (Sweden)

    Pilar eMartínez-Hidalgo

    2015-09-01

    Full Text Available Micromonospora is a Gram positive bacterium that can be isolated from nitrogen fixing nodules from healthy leguminous plants, where they could be beneficial to the plant. Their plant growth promoting activity in legume and non-legume plants has been previously demonstrated. The present study explores the ability of Micromonospora strains to control fungal pathogens and to stimulate plant immunity. Micromonospora strains isolated from surface sterilized nodules of alfalfa showed in vitro antifungal activity against several pathogenic fungi. Moreover, root inoculation of tomato plants with these Micromonospora strains effectively reduced leaf infection by the fungal pathogen Botrytis cinerea, despite spatial separation between both microorganisms. This induced systemic resistance, confirmed in different tomato cultivars, is long lasting. Gene expression analyses evidenced that Micromonospora stimulates the plant capacity to activate defense mechanisms upon pathogen attack. The defensive response of tomato plants inoculated with Micromonospora spp. differs from that of non-inoculated plants, showing a stronger induction of jasmonate-regulated defenses when the plant is challenged with a pathogen. The hypothesis of jasmonates playing a key role in this defense priming effect was confirmed using defense-impaired tomato mutants, since the JA-deficient line def1 was unable to display a long term induced resistance upon Micromonospora spp. inoculation.In conclusion, nodule isolated Micromonospora strains should be considered excellent candidates as biocontrol agents as they combine both direct antifungal activity against plant pathogens and the ability to prime plant immunity.

  18. Iota-carrageenan is a potent inhibitor of influenza A virus infection.

    Directory of Open Access Journals (Sweden)

    Andreas Leibbrandt

    Full Text Available The 2009 flu pandemic and the appearance of oseltamivir-resistant H1N1 influenza strains highlight the need for treatment alternatives. One such option is the creation of a protective physical barrier in the nasal cavity. In vitro tests demonstrated that iota-carrageenan is a potent inhibitor of influenza A virus infection, most importantly also of pandemic H1N1/2009 in vitro. Consequently, we tested a commercially available nasal spray containing iota-carrageenan in an influenza A mouse infection model. Treatment of mice infected with a lethal dose of influenza A PR8/34 H1N1 virus with iota-carrageenan starting up to 48 hours post infection resulted in a strong protection of mice similar to mice treated with oseltamivir. Since alternative treatment options for influenza are rare, we conclude that the nasal spray containing iota-carrageenan is an alternative to neuraminidase inhibitors and should be tested for prevention and treatment of influenza A in clinical trials in humans.

  19. Direct sequencing for rapid detection of multidrug resistant Mycobacterium tuberculosis strains in Morocco

    Directory of Open Access Journals (Sweden)

    Zakham F

    2013-11-01

    Full Text Available Fathiah Zakham,1,4 Imane Chaoui,1 Amina Hadbae Echchaoui,2 Fouad Chetioui,3 My Driss Elmessaoudi,3 My Mustapha Ennaji,4 Mohammed Abid,2 Mohammed El Mzibri11Unité de Biologie et Recherché Médicale, Centre National de l'Energie, des Sciences et des Techniques Nucléaires (CNESTEN, Rabat, 2Laboratoire de Génétique Mycobacterienne, Institut Pasteur, Tangier, 3Laboratoire de Tuberculose Institut Pasteur, Casablanca, 4Laboratoire de Microbiologie, Hygiène et Virologie, Faculté des Sciences et Techniques, Mohammedia, MoroccoBackground: Tuberculosis (TB is a major public health problem with high mortality and morbidity rates, especially in low-income countries. Disturbingly, the emergence of multidrug resistant (MDR and extensively drug resistant (XDR TB cases has worsened the situation, raising concerns of a future epidemic of virtually untreatable TB. Indeed, the rapid diagnosis of MDR TB is a critical issue for TB management. This study is an attempt to establish a rapid diagnosis of MDR TB by sequencing the target fragments of the rpoB gene which linked to resistance against rifampicin and the katG gene and inhA promoter region, which are associated with resistance to isoniazid.Methods: For this purpose, 133 sputum samples of TB patients from Morocco were enrolled in this study. One hundred samples were collected from new cases, and the remaining 33 were from previously treated patients (drug relapse or failure, chronic cases and did not respond to anti-TB drugs after a sufficient duration of treatment. All samples were subjected to rpoB, katG and pinhA mutation analysis by polymerase chain reaction and DNA sequencing.Results: Molecular analysis showed that seven strains were isoniazid-monoresistant and 17 were rifampicin-monoresistant. MDR TB strains were identified in nine cases (6.8%. Among them, eight were traditionally diagnosed as critical cases, comprising four chronic and four drug-relapse cases. The last strain was isolated from a

  20. [Antibiotic resistance patterns of Escherichia coli strains isolated from urine cultures in Turkey: a meta-analysis].

    Science.gov (United States)

    Aykan, Sadiye Berna; Ciftci, Ihsan Hakkı

    2013-10-01

    Escherichia coli is the most frequently isolated microorganism from both community-acquired and nosocomial urinary tract infections in Turkey. A large number of studies concerning antibiotic susceptibility of E.coli have been published from different centers throughout the country. The aim of this study was to evaluate the antibiotic resistance patterns of E.coli strains isolated from urine cultures by a meta-analysis in published medical literature between the years of 1996-2012 in Turkey. The study was planned and conducted in accordance with the declaration of PRISMA and describes the methods of literature search, the determining criteria for inclusion and evaluation of articles, data collection and statistical analysis. To find the published series Google Scholar and PubMed international databases were used to access published manuscripts evaluated according to the determined criteria for acceptance and rejection. For each study, general data and antibiotic resistance rates were collected as a common unit. Publications considered as lacking in appropriate content was eliminated from the study. Statistical analysis of the data obtained were 95% confidence intervals, and p≤ 0.05 value was considered as significant difference. A total of 228 articles were found to be published during 1996-2012 period, while 101 of them were included in the meta-analysis according to the eligibility criteria. The analyses indicated that nitrofurantoin and piperacillin resistance rates have been decreased, whereas ciprofloxacin, cefepime, co-trimoxazole and extended-spectrum beta-lactamase (ESBL) positivity rates have been increased during the study period. The increases in the rates of ciprofloxacin and cefepime resistance and and ESBL production were statistically-significant (pAntibiotic resistance rates, except for imipenem, in bacterial strains, isolated from hospitalized patients were found significantly higher in strains obtained from outpatients. The differences between

  1. Phylogenetic analysis of Tomato spotted wilt virus (TSWV) NSs protein demonstrates the isolated emergence of resistance-breaking strains in pepper.

    Science.gov (United States)

    Almási, Asztéria; Csilléry, Gábor; Csömör, Zsófia; Nemes, Katalin; Palkovics, László; Salánki, Katalin; Tóbiás, István

    2015-02-01

    Resurgence of Tomato spotted wilt virus (TSWV) worldwide as well as in Hungary causing heavy economic losses directed the attention to the factors contributing to the outbreak of this serious epidemics. The introgression of Tsw resistance gene into various pepper cultivars seemed to solve TSWV control, but widely used resistant pepper cultivars bearing the same, unique resistance locus evoked the rapid emergence of resistance-breaking (RB) TSWV strains. In Hungary, the sporadic appearance of RB strains in pepper-producing region was first observed in 2010-2011, but in 2012 it was detected frequently. Previously, the non-structural protein (NSs) encoded by small RNA (S RNA) of TSWV was verified as the avirulence factor for Tsw resistance, therefore we analyzed the S RNA of the Hungarian RB and wild type (WT) isolates and compared to previously analyzed TSWV strains with RB properties from different geographical origins. Phylogenetic analysis demonstrated that the different RB strains had the closest relationship with the local WT isolates and there is no conserved mutation present in all the NSs genes of RB isolates from different geographical origins. According to these results, we concluded that the RB isolates evolved separately in geographic point of view, and also according to the RB mechanism.

  2. Epidemiological characterization of resistance and PCR typing of Shigella flexneri and Shigella sonnei strains isolated from bacillary dysentery cases in Southeast Brazil

    Directory of Open Access Journals (Sweden)

    M.P.A. Penatti

    2007-02-01

    Full Text Available Shigella spp are Gram-negative, anaerobic facultative, non-motile, and non-sporulated bacilli of the Enterobacteriaceae family responsible for "Shigellosis" or bacillary dysentery, an important cause of worldwide morbidity and mortality. However, despite this, there are very few epidemiological studies about this bacterium in Brazil. We studied the antibiotic resistance profiles and the clonal structure of 60 Shigella strains (30 S. flexneri and 30 S. sonnei isolated from shigellosis cases in different cities within the metropolitan area of Campinas, State of São Paulo, Brazil. We used the following well-characterized molecular techniques: enterobacterial repetitive intergenic consensus, repetitive extragenic palindromic, and double-repetitive element-polymerase chain reaction to characterize the bacteria. Also, the antibiotic resistance of the strains was determined by the diffusion disk method. Many strains of S. flexneri and S. sonnei were found to be multi-resistant. S. flexneri strains were resistant to ampicillin in 83.3% of cases, chloramphenicol in 70.0%, streptomycin in 86.7%, sulfamethoxazole in 80.0%, and tetracycline in 80.0%, while a smaller number of strains were resistant to cephalothin (3.3% and sulfazotrim (10.0%. S. sonnei strains were mainly resistant to sulfamethoxazole (100.0% and tetracycline (96.7% and, to a lesser extent, to ampicillin (6.7% and streptomycin (26.7%. Polymerase chain reaction-based typing supported the existence of specific clones responsible for the shigellosis cases in the different cities and there was evidence of transmission between cities. This clonal structure would probably be the result of selection for virulence and resistance phenotypes. These data indicate that the human sanitary conditions of the cities investigated should be improved.

  3. Uranium biomineralization by a metal resistant Pseudomonas aeruginosa strain isolated from contaminated mine waste

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, Sangeeta [Department of Biotechnology, Indian Institute of Technology, Kharagpur 721302 (India); Sar, Pinaki, E-mail: sarpinaki@yahoo.com [Department of Biotechnology, Indian Institute of Technology, Kharagpur 721302 (India)

    2011-02-15

    Uranium biomineralization by a metal-resistant Pseudomonas aeruginosa strain isolated from uranium mine waste was characterized for its potential in bioremediation. Uranium resistance, its cellular localization and chemical nature of uranium-bacteria interaction were elucidated. Survival and uranium biomineralization from mine water were investigated using microcosm experiments. The selected bacterium showed U resistance and accumulation (maximum of 275 mg U g{sup -1} cell dry wt.) following incubation in 100 mg U L{sup -1}, pH 4.0, for 6 h. Transmission electron microscopy and X-ray diffraction analyses revealed that bioaccumulated uranium was deposited within the cell envelope as needle shaped U-phosphate compounds that attain crystallinity only at pH 4.0. A synergistic involvement of deprotonated phosphate and carboxyl moieties in facilitating bioprecipitation of uranium was evident from FTIR analysis. Based on these findings we attribute the localized U sequestration by this bacterium as innocuous complex to its possible mechanism of uranium resistance. Microcosm data confirmed that the strain can remove soluble uranium (99%) and sequester it as U oxide and phosphate minerals while maintaining its viability. The study showed that indigenous bacteria from contaminated site that can survive uranium and other heavy metal toxicity and sequester soluble uranium as biominerals could play important role in uranium bioremediation.

  4. Association between genotype and drug resistance profiles of Mycobacterium tuberculosis strains circulating in China in a national drug resistance survey

    NARCIS (Netherlands)

    Zhou, Yang; van den Hof, Susan; Wang, Shengfen; Pang, Yu; Zhao, Bing; Xia, Hui; Anthony, Richard; Ou, Xichao; Li, Qiang; Zheng, Yang; Song, Yuanyuan; Zhao, Yanlin; van Soolingen, Dick

    2017-01-01

    We describe the population structure of a representative collection of 3,133 Mycobacterium tuberculosis isolates, collected within the framework of a national resistance survey from 2007 in China. Genotyping data indicate that the epidemic strains in China can be divided into seven major complexes,

  5. Prevalence and antibiotic resistance of Enterococcus strains isolated from poultry.

    Science.gov (United States)

    Stępień-Pyśniak, Dagmara; Marek, Agnieszka; Banach, Tomasz; Adaszek, Łukasz; Pyzik, Ewelina; Wilczyński, Jarosław; Winiarczyk, Stanisław

    2016-06-01

    The aim of this study was to evaluate the frequency of occurrence of bacteria of the genus Enterococcus in poultry, to identify them by means of matrixassisted laser desorption/ionisation time-of-flight mass spectrometry (MALDITOF MS), and to analyse the antimicrobial susceptibility of the isolated strains to the drugs most frequently used in poultry. The material for the bacteriological tests was obtained mainly from the heart (97%) of the birds investigated. Of a total of 2,970 samples tested, 911 (30.7%) tested positive for Enterococcus spp. Enterococci were detected in broilers (88.1%), laying hens (5.3%), turkeys (3.9%), breeding hens (2.2%), and geese (0.4%). The most commonly identified species were Enterococcus (E.) faecalis (74.7%), E. faecium (10.1%), E. gallinarum (5.5%), E. hirae (4.6%), and E. cecorum (4.1%). The most frequent resistance properties were resistance to sulphamethoxazole/trimethoprim (88%), tylosin (71.4%), enrofloxacin (69.4%), doxycycline (67.3%), and lincomycin/spectinomycin (56.1%). Only one vancomycin-resistant Enterococcus, E. cecorum from a broiler, was found.

  6. Virulence and pathogenesis of the MSW and MSD strains of Californian myxoma virus in European rabbits with genetic resistance to myxomatosis compared to rabbits with no genetic resistance.

    Science.gov (United States)

    Silvers, L; Inglis, B; Labudovic, A; Janssens, P A; van Leeuwen, B H; Kerr, P J

    2006-04-25

    The pathogenesis of two Californian strains of myxoma virus (MSW and MSD) was examined in European rabbits (Oryctolagus cuniculus) that were either susceptible to myxomatosis (laboratory rabbits) or had undergone natural selection for genetic resistance to myxomatosis (Australian wild rabbits). MSW was highly lethal for both types of rabbits with average survival times of 7.3 and 9.4 days, respectively, and 100% mortality. Classical clinical signs of myxomatosis were not present except in one rabbit that survived for 13 days following infection. Previously described clinical signs of trembling and shaking were observed in laboratory but not wild rabbits. Despite the high resistance of wild rabbits to myxomatosis caused by South American strains of myxoma virus, the MSW strain was of such high virulence that it was able to overcome resistance. The acute nature of the infection, relatively low viral titers in the tissues and destruction of lymphoid tissues, suggested that death was probably due to an acute and overwhelming immunopathological response to the virus. No virus was found in the brain. The MSD strain was attenuated compared to previously published descriptions and therefore was only characterized in laboratory rabbits. It is concluded that Californian MSW strain of myxoma virus is at the extreme end of a continuum of myxoma virus virulence but that the basic pathophysiology of the disease induced is not broadly different to other strains of myxoma virus.

  7. An Equal-Strain Analytical Solution for the Radial Consolidation of Unsaturated Soils by Vertical Drains considering Drain Resistance

    Directory of Open Access Journals (Sweden)

    Feng Zhou

    2018-01-01

    Full Text Available Developing an analytical solution for the consolidation of unsaturated soils remains a challenging task due to the complexity of coupled governing equations for air and water phases. This paper presents an equal-strain model for the radial consolidation of unsaturated soils by vertical drains, and the effect of drain resistance is also considered. Simplified governing equations are established, and an analytical solution to calculate the excess pore-air and pore-water pressures is derived by using the methods of matrix analysis and eigenfunction expansion. The average degrees of consolidation for air and water phases and the ground surface settlement are also given. The solutions of the equal-strain model are verified by comparing the proposed free-strain model with the equal-strain model, and reasonably good agreement is obtained. Moreover, parametric studies regarding the drain resistance effect are graphically presented.

  8. Detection and characterisation of trypanosome strains supposedly resistant to trypanocidal drugs in Senegal

    International Nuclear Information System (INIS)

    Diaite, A.; Seye, M.; Mane, A.; Ndiaye, T.; Seye, M.M.

    1997-01-01

    In the region of Sokone cattle are constantly exposed to infections with trypanosomes transmitted by Glossina morsitans submorsitans and G. palpalis gambiensis. Trypanocidal drugs are widely used by the farmers on the 50,000 cattle present in the region. Consequently, drug resistance has become a major problem. During the present study goats were inoculated with trypanosome strains isolated from infected cattle. Following the appearance of parasitaemia, the animals were treated with either Berenil, Samorin or Ethidium. The results indicated the parasites were susceptible to Samorin, but one of the Trypanosoma vivax strains showed resistance to Berenil and Ethidium. In addition, the performance of the antigen detection ELISA was compared with that of the Buffy Coat Technique using more than 1000 serum samples from the Sokone region and 100 samples from Northern Senegal infested with tsetse flies. The results showed a very high specificity of 98%. However, additional tests will be necessary to assess the sensitivity properly. (author). 3 refs, 7 tabs

  9. Expression profile of genes during resistance reversal in a temephos selected strain of the dengue vector, Aedes aegypti.

    Directory of Open Access Journals (Sweden)

    Clare Strode

    Full Text Available BACKGROUND: The mosquito Aedes aegypti is one of the most important disease vectors because it transmits two major arboviruses, dengue and yellow fever, which cause significant global morbidity and mortality. Chemical insecticides form the cornerstone of vector control. The organophosphate temephos a larvicide recommended by WHO for controlling Ae. aegypti, however, resistance to this compound has been reported in many countries, including Brazil. METHODOLOGY/PRINCIPAL FINDINGS: The aim of this study was to identify genes implicated in metabolic resistance in an Ae. aegypti temephos resistant strain, named RecR, through microarray analysis. We utilized a custom 'Ae. aegypti detox chip' and validated microarray data through RT-PCR comparing susceptible and resistant individuals. In addition, we analyzed gene expression in 4(th instar larvae from a reversed susceptible strain (RecRev, exposed and unexposed to temephos. The results obtained revealed a set of 13 and 6 genes significantly over expressed in resistant adult mosquitoes and larvae, respectively. One of these genes, the cytochrome P450 CYP6N12, was up-regulated in both stages. RT-PCR confirmed the microarray results and, additionally, showed no difference in gene expression between temephos exposed and unexposed RecRev mosquitoes. This suggested that the differences in the transcript profiles among the strains are heritable due to a selection process and are not caused by immediate insecticide exposure. Reversal of temephos resistance was demonstrated and, importantly, there was a positive correlation between a decrease in the resistance ratio and an accompanying decrease in the expression levels of previously over expressed genes. Some of the genes identified here have also been implicated in metabolic resistance in other mosquito species and insecticide resistant populations of Ae. aegypti. CONCLUSIONS/SIGNIFICANCE: The identification of gene expression signatures associated to

  10. Characterization of a cadmium resistance Lactococcus lactis subsp. lactis strain by antioxidant assays and proteome profiles methods.

    Science.gov (United States)

    Sheng, Yao; Yang, Xuan; Lian, Yuanyuan; Zhang, Boyang; He, Xiaoyun; Xu, Wentao; Huang, Kunlun

    2016-09-01

    Heavy metal contamination poses a major threat to the environment and human health for their potential toxicity and non-biodegradable properties. At present, some probiotics bacteria are reported to have great potential to eliminate heavy metals from food and water. In this study, resistance properties of a newly isolated Lactococcus lactis subsp. lactis for cadmium were studied by antioxidant assays and proteomics analysis. Antioxidant capacity of this strain was significantly activated under cadmium stress indicated by Fenton reaction, DPPH assay, SOD assay and GSH assay. Intracellular antioxidant enzyme systems, such as superoxide dismutase, glutathione reductase and catalase were suggested to play vital roles in the activated antioxidant capacity. The up-regulated cadA was associated with the activated P-type ATPases that plays an important role in cadmium resistance. Proteomics analysis identified 12 over-expressed proteins under 50mg/L cadmium stress and these proteins are abundant in oxidative stress response and energy metabolism regulation, which were considered as consequences as cadmium resistance of the strain. Thus, the probiotics Lactococcus lactis subsp. lactis may resist cadmium stress through antioxidant approach and enhanced energy metabolism. The food grade lactis strain may be applied in metal decontamination in environment and food/feed. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Thermotolerance, oxidative stress, apoptosis, heat-shock proteins and damages to reproductive cells of insecticide-susceptible and -resistant strains of the diamondback moth Plutella xylostella.

    Science.gov (United States)

    Zhang, L J; Chen, J L; Yang, B L; Kong, X G; Bourguet, D; Wu, G

    2017-08-01

    In this study, we investigated thermotolerance, several physiological responses and damage to reproductive cells in chlorpyrifos-resistant (Rc) and -susceptible (Sm) strains of the diamondback moth, Plutella xylostella subjected to heat stress. The chlorpyrifos resistance of these strains was mediated by a modified acetylcholinesterase encoded by an allele, ace1R, of the ace1 gene. Adults of the Rc strain were less heat resistant than those of the Sm strain; they also had lower levels of enzymatic activity against oxidative damage, higher reactive oxygen species contents, weaker upregulation of two heat shock protein (hsp) genes (hsp69s and hsp20), and stronger upregulation of two apoptotic genes (caspase-7 and -9). The damage to sperm and ovary cells was greater in Rc adults than in Sm adults and was temperature sensitive. The lower fitness of the resistant strain, compared with the susceptible strain, is probably due to higher levels of oxidative stress and apoptosis, which also have deleterious effects on several life history traits. The greater injury observed in conditions of heat stress may be due to both the stronger upregulation of caspase genes and weaker upregulation of hsp genes in resistant than in susceptible individuals.

  12. Analysis of antibiotic resistance pattern of S. aureus strains isolated from the Orthopedics-Traumatology Section of "Sf. Spiridon" Clinical Emergency Hospital, Iaşi.

    Science.gov (United States)

    Tucaliuc, D; Alexa, O; Tuchiluş, Cristina Gabriela; Ursu, Ramona Gabriela; Tucaliuc, Elena Simona; Iancu, Luminiţa Smaranda

    2014-01-01

    The retrospective analysis of antibiotic sensibility of S. aureus strains isolated from infected patients from the Orthopedics-Traumatology Clinic of "Sf. Spiridon" Clinical Emergency Hospital, Iaşi during January 2003-December 2013, in view of determining the evolution trend of the resistance phenomenon and of pinpointing the most useful treatment for these strains. The antibiotic sensitivity test was carried out using two methods: diffusimetric-Kirby-Bauer and the MIC determination by E-test (for the strains isolated in 2013); the interpretation of the sensitivity was made in a standardized manner, in compliance with the CLSI (Clinical and Laboratory Standards Institute) standard for antibiotics testing in force. The sensitivity testing for beta-lactams proved that during the 11 years of the study, the average value of the frequency of resistant strains was of 41.59% +/- 8.68. The highest frequency of MRSA (Methicillin Restant S. aureus) strains was noticed in 2012 (58.6%), followed by 2004 (50.7%). Even if in 2013 it dropped to 38.9%, the trend calculated for 2003-2013 is slightly rising (y = 0.0073x + 0.372). Out of the total of 495 S. aureus strains that were isolated, 164 (33.13%) were completely sensitive to the tested antibiotics and 26 (5.25%) were resistant only to beta-lactams. The other MRSA strains associated multiple resistance and MIC for vancomycin varied between 0.5-2 mg/ml. Two strains whose MIC was of 0.5 mg/ml were sensitive to most classes of tested antibiotics, including beta-lactams, except for macrolides (erythromycin), and the strain whose MIC was of 2 mg/ml, was resistant to all classes of tested antibiotics, except for glycopeptides and oxazolidiones. The other tested strains had a MIC for vancomycin equal to 1 mg/ml. Due to the fact that there are infections with SAMR strains in a rather worrying percentage (53.9%) that are resistant to the other classes of antibiotics, the only therapeutic solution being the vancomycin treatment, its

  13. SYNERGISTIC EFFECTS OF ETHANOL MEDICINAL PLANT EXTRACTS WITH ERYTHROMYCIN AGAINST SKIN STRAINS OF STAPHYLOCOCCI WITH INDUCIBLE PHENOTYPE OF MLS-RESISTANCE

    Directory of Open Access Journals (Sweden)

    Yurchyshyn O.I.

    2017-10-01

    Full Text Available Introduction. One of the main ways to control microorganisms’ resistance to antibiotics is to find substances that are able to overcome it and potentiate antibiotics action, in particular to neutralize the antibiotic-inactivating enzymes or block the active efflux of antibiotic from microbial cells. Every year there is a growing interest in the therapeutic potential of herbal active compounds as modifiers of antibiotic resistance including MLS-resistance (macrolide-lincosamide-streptoramin B. It should be emphasized that a number of biologically active substances of plant origin can potentiate antimicrobial activity of erythromycin (ERY against MLS-resistant staphylococci. The present study was designed to investigate the antibacterial and synergistic effects of eight Ukrainian ethanol medicinal plant extracts with erythromycin against skin strains of staphylococci with inducible phenotype of MLS-resistance. Material & methods. S. aureus and S. epidermidis strains were tested for susceptibility to antibiotics of MLS-group by disk diffusion test. Effective antimicrobial concentrations of plant extracts and erythromycin were determined by two-fold serial dilution in nutrient agar and broth. Combinatory effects between organic extracts and ERY were assessed using the checkerboard assay against tested strains to evaluate culture growth in the presence of two antimicrobials with different concentrations. Results & discussion. The Alnus incana L. fruits extract was the most potent inhibitor against tested strains (MIC 40.625-162.5 µg/mL; while Geranium pratense L. rhizomes extract exhibited the least antimicrobial activity (MIC 650-2,600 µg/mL. The Alnus incana L. fruits extract and the Geranium pratense L. rhizomes extract showed synergistic effect with erythromycin against 100% strains of staphylococci (average FICI 0.028 – 0.057; p<0.001. In the presence of 1/4 MIC of ERY Alnus incana L. fruits extract antimicrobial concentration was

  14. In vitro profiling of antimethicillin-resistant Staphylococcus aureus activity of thymoquinone against selected type and clinical strains.

    Science.gov (United States)

    Hariharan, P; Paul-Satyaseela, M; Gnanamani, A

    2016-03-01

    This study explores antimethicillin-resistant Staphylococcus aureus (MRSA) activity of a bioactive phytochemical constituent, thymoquinone obtained from the medicinal herb, Nigella sativa Linn. Based on initial assessment on crude extract of seeds of Nigella sativa Linn, the pure active constituent was employed in the study. A total of 99 MRSA strains which comprised of 40 types and 59 clinical strains were selected for the study. Minimum inhibitory concentration (MIC), bactericidal activity, postantibiotic effect (PAE) and propensity to select resistant mutants were determined using standard protocols. Results revealed that thymoquinone exhibited MIC in the range of 8-16 μg ml(-1) and MIC90 of 16 μg ml(-1) against MRSA strains. It was bactericidal to MRSA by demonstrating >3 log kill. It showed a longer PAE of 3·2 ± 0·2 h. Upon exposure to high-density inoculum of MRSA, it did not select resistant mutants. Transmission electron microscopy of thymoquinone-treated MRSA showed no lysis but damage to cell wall and cell membrane which corroborated well with the salt tolerance and bacteriolysis assays. In conclusion, MIC90 , bactericidal property, longer PAE, absence of resistant mutant selection and damages in cell membrane and cell wall imply a promising anti-MRSA activity of thymoquinone. This is the first detailed report on anti-MRSA activity of thymoquinone. The assessment was made with both type and clinical strains. Thymoquinone may be a potential lead compound which can be further optimized to discover novel anti-MRSA agents. © 2016 The Society for Applied Microbiology.

  15. Determination of antimicrobial resistance of Enterococcus strains isolated from pigs and their genotypic characterization by method of amplification of DNA fragments surrounding rare restriction sites (ADSRRS fingerprinting).

    Science.gov (United States)

    Nowakiewicz, Aneta; Ziółkowska, Grażyna; Trościańczyk, Aleksandra; Zięba, Przemysław; Gnat, Sebastian

    2017-03-01

    In this study, we analysed phenotypic resistance profiles and their reflection in the genomic profiles of Enterococcus spp. strains isolated from pigs raised on different farms. Samples were collected from five pig farms (n=90 animals) and tested for Enterococcus. MICs of 12 antimicrobials were determined using the broth microdilution method, and epidemiological molecular analysis of strains belonging to selected species (faecalis, faecium and hirae) was performed using the ADSRRS-fingerprinting (amplification of DNA fragments surrounding rare restriction sites) method with a few modifications. The highest percentage of strains was resistant to tetracycline (73.4 %), erythromycin and tylosin (42.5 %) and rifampin (25.2 %), and a large number of strains exhibited high-level resistance to both kanamycin (25.2 %) and streptomycin (27.6 %). The strains of E. faecalis, E. faecium and E. hirae (n=184) revealed varied phenotypic resistance profiles, among which as many as seven met the criteria for multidrug resistance (30.4 % of strains tested). ADSRRS-fingerprinting analysis produced 17 genotypic profiles of individual strains which were correlated with their phenotypic resistance profiles. Only E. hirae strains susceptible to all of the chemotherapeutics tested had two different ADSRRS profiles. Moreover, eight animals were carriers of more than one genotype belonging to the same Enterococcus spp., mainly E. faecalis. Given the possibility of transmission to humans of the high-resistance/multidrug resistance enterococci and the significant role of pigs as food animals in this process, it is necessary to introduce a multilevel control strategy by carrying out research on the resistance and molecular characteristics of indicator bacterial strains isolated from animals on individual farms.

  16. Phenotypes and genotypes of erythromycin-resistant Streptococcus pyogenes strains isolated from invasive and non-invasive infections from Mexico and the USA during 1999–2010

    Science.gov (United States)

    Villaseñor-Sierra, Alberto; Katahira, Eva; Jaramillo-Valdivia, Abril N.; de los Angeles Barajas-García, María; Bryant, Amy; Morfín-Otero, Rayo; Márquez-Díaz, Francisco; Tinoco, Juan Carlos; Sánchez-Corona, José; Stevens, Dennis L.

    2012-01-01

    Summary Objective To compare the prevalence, phenotypes, and genes responsible for erythromycin resistance among Streptococcus pyogenes isolates from Mexico and the USA. Methods Eighty-nine invasive and 378 non-invasive isolates from Mexico, plus 148 invasive, 21 non-invasive, and five unclassified isolates from the USA were studied. Susceptibilities to penicillin, erythromycin, clindamycin, ceftriaxone, and vancomycin were evaluated according to Clinical and Laboratory Standards Institute (CLSI) standards. Phenotypes of erythromycin resistance were identified by triple disk test, and screening for mefA, ermTR, and ermB genes was carried out by PCR. Results All isolates were susceptible to penicillin, ceftriaxone, and vancomycin. Erythromycin resistance was found in 4.9% of Mexican strains and 5.2% of USA strains. Phenotypes in Mexican strains were 95% M and 5% cMLS; in strains from the USA, phenotypes were 33.3% iMLS, 33.3% iMLS-D, and 33.3% M. Erythromycin resistance genes in strains from Mexico were mefA (95%) and ermB (5%); USA strains harbored ermTR (56%), mefA (33%), and none (11%). In Mexico, all erythromycin-resistant strains were non-invasive, whereas 89% of strains from the USA were invasive. Conclusions Erythromycin resistance continues to exist at low levels in both Mexico and the USA, although the genetic mechanisms responsible differ between the two nations. These genetic differences may be related to the invasive character of the S. pyogenes isolated. PMID:22217469

  17. Pulsed-field gel electrophoresis of multidrug-resistant and -sensitive strains of Pseudomonas aeruginosa from a Malaysian hospital.

    Science.gov (United States)

    Thong, Kwai Lin; Lai, Kin Seng; Ganeswrie, R; Puthucheary, S D

    2004-10-01

    Over a period of 6 months from January to June 2002, an unusual increase in the isolation of highly resistant Pseudomonas aeruginosa strains was observed in the various wards and intensive care units of a large general hospital in Johor Bahru, Malaysia. An equal number of multidrug resistant (MDR) and drug-susceptible strains were collected randomly from swabs, respiratory specimens, urine, blood, cerebral spinal fluid, and central venous catheters to determine the clonality and genetic variation of the strains. Macrorestriction analysis by pulsed-field gel electrophoresis showed that the 19 MDR strains were genetically very homogenous; the majority showed the dominant profile S1 (n = 10), the rest very closely related profiles S1a (n = 1), S2 (n = 4), and S2a (n = 3), indicating the endemicity of these strains. In contrast, the 19 drug-sensitive strains isolated during the same time period were genetically more diverse, showing 17 pulsed-field profiles (F = 0.50-1.00), and probably derived from the patients themselves. The presence of the MDR clone poses serious therapeutic problems as it may become endemic in the hospital and give rise to future clonal outbreaks. There is also the potential for wider geographical spread.

  18. Rapid label-free identification of Klebsiella pneumoniae antibiotic resistant strains by the drop-coating deposition surface-enhanced Raman scattering method

    Science.gov (United States)

    Cheong, Youjin; Kim, Young Jin; Kang, Heeyoon; Choi, Samjin; Lee, Hee Joo

    2017-08-01

    Although many methodologies have been developed to identify unknown bacteria, bacterial identification in clinical microbiology remains a complex and time-consuming procedure. To address this problem, we developed a label-free method for rapidly identifying clinically relevant multilocus sequencing typing-verified quinolone-resistant Klebsiella pneumoniae strains. We also applied the method to identify three strains from colony samples, ATCC70063 (control), ST11 and ST15; these are the prevalent quinolone-resistant K. pneumoniae strains in East Asia. The colonies were identified using a drop-coating deposition surface-enhanced Raman scattering (DCD-SERS) procedure coupled with a multivariate statistical method. Our workflow exhibited an enhancement factor of 11.3 × 106 to Raman intensities, high reproducibility (relative standard deviation of 7.4%), and a sensitive limit of detection (100 pM rhodamine 6G), with a correlation coefficient of 0.98. All quinolone-resistant K. pneumoniae strains showed similar spectral Raman shifts (high correlations) regardless of bacterial type, as well as different Raman vibrational modes compared to Escherichia coli strains. Our proposed DCD-SERS procedure coupled with the multivariate statistics-based identification method achieved excellent performance in discriminating similar microbes from one another and also in subtyping of K. pneumoniae strains. Therefore, our label-free DCD-SERS procedure coupled with the computational decision supporting method is a potentially useful method for the rapid identification of clinically relevant K. pneumoniae strains.

  19. Draft Genome Sequences of Two Extensively Drug-Resistant Strains of Mycobacterium tuberculosis Belonging to the Euro-American S Lineage

    NARCIS (Netherlands)

    Malinga, L.A.; Abeel, T.; Desjardins, C.A.; Dlamini, T.C.; Cassell, G.; Chapman, S.B.; Birren, B.W.; Earl, A.M.; Van der Walt, M.

    2016-01-01

    We report the whole-genome sequencing of two extensively drug-resistant tuberculosis strains belonging to the Euro-American S lineage. The RSA 114 strain showed single-nucleotide polymorphisms predicted to have drug efflux activity.

  20. Complete genome sequence of an attenuated Sparfloxacin-resistant Streptococcus agalactiae strain 138spar

    Science.gov (United States)

    The complete genome of a sparfloxacin-resistant Streptococcus agalactiae vaccine strain 138spar is 1,838,126 bp in size. The genome has 1892 coding sequences and 82 RNAs. The annotation of the genome is added by the NCBI Prokaryotic Genome Annotation Pipeline. The publishing of this genome will allo...

  1. Isolation of coagulase-positive staphylococci from bitches' colostrum and milk and genetic typing of methicillin-resistant Staphylococcus pseudintermedius strains.

    Science.gov (United States)

    Rota, Ada; Corrò, Michela; Drigo, Ilenia; Bortolami, Alessio; Börjesson, Stefan

    2015-07-23

    Among the coagulase-positive, potentially pathogenic staphylococci, Staphylococcus pseudintermedius has been frequently isolated from bitches' milk. This organism colonizes the mammary gland or causes infection, while S. aureus has been only occasionally reported. The objective of this study was to investigate the occurrence and persistence of coagulase-positive staphylococci in the colostrum and milk of postpartum bitches, either treated or untreated with antimicrobials, and to assess the incidence, antibiotic resistance profile and genetic type of the methicillin-resistant strains. On postpartum D1, D7 and D15, drops of secretion were collected from the mammary glands of 27 postpartum bitches, nine of which were treated with antimicrobials. Coagulase-positive staphylococci were identified, antimicrobial susceptibility and the presence of mecA were tested and the genetic profile of methicillin-resistant strains was assessed. Staphylococcus pseudintermedius was the only coagulase-positive staphylococcus isolated, and its presence was detected in 21 out of 27 bitches and in 66 out of 145 swabs. In a single bitch, it caused puerperal mastitis. In untreated bitches, the frequency of isolation was lower in colostrum than in milk. All of the isolates except one were resistant to at least three antimicrobial classes, while 14 out of 66 S. pseudintermedius strains were methicillin-resistant mecA positive (MRSP) and were isolated from eight bitches housed in the same breeding kennel. A significant association was found between antimicrobial treatment and the presence of MRSP. Six of the 12 typed isolates belonged to spa-type t02 carrying SCCmec II/III, and another six were non-typeable with spa carrying SCCmec IV. The t02-SCCmec II/III isolates were sequence type (ST) 71; four NT-SCCmec IV isolates were ST258 and two were ST369. PFGE showed that isolates from the same dog had identical band patterns, while isolates from different dogs had unique band patterns. MRSP strains

  2. Draft Genome Sequence of an Invasive Multidrug-Resistant Strain, Pseudomonas aeruginosa BK1, Isolated from a Keratitis Patient

    KAUST Repository

    Jeganathan, Lakshmi Priya; Prakash, Logambiga; Neelamegam, Sivakumar; Antony, Aju; Alqarawi, Sami; Prajna, Lalitha; Devarajan, Bharanidharan; Mohankumar, Vidyarani

    2014-01-01

    Pseudomonas aeruginosa infections are difficult to treat due to the presence of a multitude of virulence factors and antibiotic resistance. Here, we report the draft genome sequence of P. aeruginosa BK1, an invasive and multidrug-resistant strain

  3. Prolonged exposure of methicillin-resistant Staphylococcus aureus (MRSA) COL strain to increasing concentrations of oxacillin results in a multidrug-resistant phenotype

    DEFF Research Database (Denmark)

    Martins, Ana; Couto, Isabel; Aagaard, Lone

    2007-01-01

    Our previous studies demonstrated that exposure of a bacterium to increasing concentrations of an antibiotic would increase resistance to that antibiotic as a consequence of activating efflux pumps. This study utilises the same approach; however, it employs the methicillin-resistant Staphylococcus...... aureus (MRSA) COL strain, which is highly resistant to oxacillin (OXA). MRSA COL was adapted to 3200 mg/L of OXA. Changes in resistance to other antibiotics were evaluated and efflux pump activity during the adaptation process was determined. MRSA COL was exposed to stepwise two-fold increases of OXA....... At the end of each step, minimum inhibitory concentration determination for erythromycin (ERY) and other antibiotics was conducted. Reserpine (RES) was employed to evaluate whether resistance to ERY was dependent on efflux pump activity. Efflux pump activity was also evaluated using the ethidium bromide (EB...

  4. Library sequencing strategies for comparative analysis of stress resistance mechanisms in Escherichia coli strains

    DEFF Research Database (Denmark)

    Lennen, Rebecca; Bonde, Ida; Koza, Anna

    2014-01-01

    and subjected to growth selections. Following selection, the locations of all insertions in the population are counted and can be compared between a control and a target condition, enabling the identification of genes that are both conditionally essential and conditionally detrimental. We have exploited Tn....... Tn-Seq revealed many differences and similarities in resistance mechanisms at the genetic level across strains, allowing correlations to be made with growth phenotypes. Cross-strain comparisons of conditionally essential genes and their relative essentiality also suggest a large degree of variation...

  5. Uranium biomineralization by a metal resistant Pseudomonas aeruginosa strain isolated from contaminated mine waste.

    Science.gov (United States)

    Choudhary, Sangeeta; Sar, Pinaki

    2011-02-15

    Uranium biomineralization by a metal-resistant Pseudomonas aeruginosa strain isolated from uranium mine waste was characterized for its potential in bioremediation. Uranium resistance, its cellular localization and chemical nature of uranium-bacteria interaction were elucidated. Survival and uranium biomineralization from mine water were investigated using microcosm experiments. The selected bacterium showed U resistance and accumulation (maximum of 275 mg U g(-1)cell dry wt.) following incubation in 100 mg U L(-1), pH 4.0, for 6 h. Transmission electron microscopy and X-ray diffraction analyses revealed that bioaccumulated uranium was deposited within the cell envelope as needle shaped U-phosphate compounds that attain crystallinity only at pH 4.0. A synergistic involvement of deprotonated phosphate and carboxyl moieties in facilitating bioprecipitation of uranium was evident from FTIR analysis. Based on these findings we attribute the localized U sequestration by this bacterium as innocuous complex to its possible mechanism of uranium resistance. Microcosm data confirmed that the strain can remove soluble uranium (99%) and sequester it as U oxide and phosphate minerals while maintaining its viability. The study showed that indigenous bacteria from contaminated site that can survive uranium and other heavy metal toxicity and sequester soluble uranium as biominerals could play important role in uranium bioremediation. Copyright © 2010 Elsevier B.V. All rights reserved.

  6. Whole genome sequencing reveals complex evolution patterns of multidrug-resistant Mycobacterium tuberculosis Beijing strains in patients.

    Directory of Open Access Journals (Sweden)

    Matthias Merker

    Full Text Available Multidrug-resistant (MDR Mycobacterium tuberculosis complex (MTBC strains represent a major threat for tuberculosis (TB control. Treatment of MDR-TB patients is long and less effective, resulting in a significant number of treatment failures. The development of further resistances leads to extensively drug-resistant (XDR variants. However, data on the individual reasons for treatment failure, e.g. an induced mutational burst, and on the evolution of bacteria in the patient are only sparsely available. To address this question, we investigated the intra-patient evolution of serial MTBC isolates obtained from three MDR-TB patients undergoing longitudinal treatment, finally leading to XDR-TB. Sequential isolates displayed identical IS6110 fingerprint patterns, suggesting the absence of exogenous re-infection. We utilized whole genome sequencing (WGS to screen for variations in three isolates from Patient A and four isolates from Patient B and C, respectively. Acquired polymorphisms were subsequently validated in up to 15 serial isolates by Sanger sequencing. We determined eight (Patient A and nine (Patient B polymorphisms, which occurred in a stepwise manner during the course of the therapy and were linked to resistance or a potential compensatory mechanism. For both patients, our analysis revealed the long-term co-existence of clonal subpopulations that displayed different drug resistance allele combinations. Out of these, the most resistant clone was fixed in the population. In contrast, baseline and follow-up isolates of Patient C were distinguished each by eleven unique polymorphisms, indicating an exogenous re-infection with an XDR strain not detected by IS6110 RFLP typing. Our study demonstrates that intra-patient microevolution of MDR-MTBC strains under longitudinal treatment is more complex than previously anticipated. However, a mutator phenotype was not detected. The presence of different subpopulations might confound phenotypic and

  7. Simple test of synergy between ampicillin and vancomycin for resistant strains of Enterococcus faecium.

    Science.gov (United States)

    Green, M; Barbadora, K; Wadowsky, R M

    1994-11-01

    The combination of ampicillin and vancomycin kills some but not all strains of ampicillin- and vancomycin-resistant Enterococcus faecium. We compared a simple test for synergy utilizing a commercially available microdilution susceptibility system with time-kill studies and determined acceptable breakpoints for this test for 20 strains of ampicillin- and vancomycin-resistant E. faecium. The combination of ampicillin and vancomycin was tested for synergy by time-kill, broth macrodilution, and broth microdilution procedures. Repeat testing of isolates by macro- and microdilution synergy methods yielded MICs that were within one twofold dilution of each other for both intra- and intertest comparisons. Synergy was always detected by time-kill studies when the MIC of ampicillin in the combination synergy screen was 16 micrograms/ml in the combination microdilution synergy screen. The determination of the synergy by the broth microdilution procedure appears to be simple, convenient, and accurate.

  8. Toxigenic genes, spoilage potential, and antimicrobial resistance of Bacillus cereus group strains from ice cream.

    Science.gov (United States)

    Arslan, Seza; Eyi, Ayla; Küçüksarı, Rümeysa

    2014-02-01

    Bacillus spp. can be recovered from almost every environment. It is also found readily in foods, where it may cause food spoilage and/or food poisoning due to its toxigenic and pathogenic nature, and extracellular enzymes. In this study, 29 Bacillus cereus group strains from ice cream were examined for the presence of following virulence genes hblC, nheA, cytK and ces genes, and tested for a range of the extracellular enzymes, and antimicrobial susceptibility. The strains were found to produce extracellular enzymes: proteolytic and lipolytic activity, gelatin hydrolysis and lecithinase production (100%), DNase production (93.1%) and amylase activity (93.1%). Of 29 strains examined, 24 (82.8%) showed hemolytic activity on blood agar. Beta-lactamase enzyme was only produced by 20.7% of B. cereus group. Among 29 B. cereus group from ice cream, nheA was the most common virulence gene detected in 44.8% of the strains, followed by hblC gene with 17.2%. Four (13.8%) of the 29 strains were positive for both hblC gene and nheA gene. Contrarily, cytK and ces genes were not detected in any of the strains. Antimicrobial susceptibility of ice cream isolates was tested to 14 different antimicrobial agents using the disc diffusion method. We detected resistance to penicillin and ampicillin with the same rate of 89.7%. Thirty-one percent of the strains were multiresistant to three or more antibiotics. This study emphasizes that the presence of natural isolates of Bacillus spp. harboring one or more enterotoxin genes, producing extracellular enzymes which may cause spoilage and acquiring antibiotic resistance might hold crucial importance in the food safety and quality. Copyright © 2013 Elsevier Ltd. All rights reserved.

  9. Prediction of strain energy-based liquefaction resistance of sand-silt mixtures: An evolutionary approach

    Science.gov (United States)

    Baziar, Mohammad H.; Jafarian, Yaser; Shahnazari, Habib; Movahed, Vahid; Amin Tutunchian, Mohammad

    2011-11-01

    Liquefaction is a catastrophic type of ground failure, which usually occurs in loose saturated soil deposits under earthquake excitations. A new predictive model is presented in this study to estimate the amount of strain energy density, which is required for the liquefaction triggering of sand-silt mixtures. A wide-ranging database containing the results of cyclic tests on sand-silt mixtures was first gathered from previously published studies. Input variables of the model were chosen from the available understandings evolved from the previous studies on the strain energy-based liquefaction potential assessment. In order to avoid overtraining, two sets of validation data were employed and a particular monitoring was made on the behavior of the evolved models. Results of a comprehensive parametric study on the proposed model are in accord with the previously published experimental observations. Accordingly, the amount of strain energy required for liquefaction onset increases with increase in initial effective overburden pressure, relative density, and mean grain size. The effect of nonplastic fines on strain energy-based liquefaction resistance shows a more complicated behavior. Accordingly, liquefaction resistance increases with increase in fines up to about 10-15% and then starts to decline for a higher increase in fines content. Further verifications of the model were carried out using the valuable results of some downhole array data as well as centrifuge model tests. These verifications confirm that the proposed model, which was derived from laboratory data, can be successfully utilized under field conditions.

  10. Antifungal Effects of Gold Nanoparticles Conjugated Fluconazole against Fluconazole Resistant Strains of Candida albicans Isolated From Patients with Chronic Vulvovaginitis

    Directory of Open Access Journals (Sweden)

    Mehrdad Memarian

    2016-09-01

    Full Text Available Background and Objectives: A number of women with volvuvaginal candidiasis suffer from certain chronic and recurrent types of this infection that affect their quality of life. Meanwhile, increased use of antifungal drugs, especially azoles, for treatment of chronic candidiasis is an important factor for incidence of drug resistance in Candida isolates from patients with vulvovaginal candidiasis. The aim of this study was to investigate anticandidal effects of gold nanoparticles conjugated fluconazole to develop better drugs for treatment of patients with candidal vaginitis, especially its chronic type. Methods: After collection of 300 vaginal swab specimens and culture and isolation of primary colonies and determination of Candida species, fluconazole resistant strains of Candida albicans were detected using disc diffusion. Finally, antifungal effects of gold nanoparticles conjugated fluconazole and fluconazole were compared by broth microdilution. Results: Only one fluconazole resistant strain of C. albicans was isolated from patients (MIC=64µg/ml. The results obtained from drug susceptibility test showed that this strain was sensitive to gold nanoparticles conjugated fluconazole (MIC=2µg/ml. Conclusion: Given the optimal anticandidal effects of gold nanoparticles conjugated fluconazole on resistant strains of C. albicans, a suitable compound with great anticandidal properties may be achieved in the future.

  11. Colonization, resistance to bile, and virulence properties of Escherichia coli strains: Unusual characteristics associated with biliary tract diseases.

    Science.gov (United States)

    Razaghi, Maryam; Tajeddin, Elahe; Ganji, Leila; Alebouyeh, Masoud; Alizadeh, Amir Houshang Mohammad; Sadeghi, Amir; Zali, Mohammad Reza

    2017-10-01

    Escherichia coli is the species that is most frequently isolated from bile of patients with biliary tract diseases. This study was aimed to investigate any association between resistance and virulence properties of these isolates with occurrence of the diseases. A total of 102 bile samples were obtained from patients subjected to endoscopic retrograde cholangiopancreatography for different biliary diseases. Clinical data were collected and culture of the bile samples was done on selective media. Resistance of characterized Escherichia coli isolates to deoxycholate sodium (0-7%) and nineteen antibiotics was determined and PCR using 16 pairs of primers targeting stx1, stx2, exhA, eae, bfp, agg, pcvd432, lt, st, ipaH, pic, pet, ast, set, sen, and cdtB genes was done. Our results showed a statistically significant association between E. coli colonization and existence of common bile duct and gallbladder stones (p value 0.028). Out of the 22 E. coli strains (22/102) multidrug resistance phenotype was present in 95.45%. None of the strains belonged to common E. coli pathotypes. However, bfp + EhxA-hly, bfp + astA, bfp + EhxA-hly + pic, and EhxA-hly + pic + astA, bfp, and astA genotypes were detected in these strains. bfp (7/22, 31.8%) and astA (5/22, 22.7%) were among most frequent virulence factors in these strains. Results of this study showed significant association between colonization of E. coli and choledocholithiasis. Unusual existence of virulence gene combinations in these strains and their resistance to DOC and multiple classes of antibiotics could be considered as possible causes of their persistence in this harsh microenvironment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. Sensitivity to Lovastatin of Saccharomyces cerevisiae Strains Deleted for Pleiotropic Drug Resistance (PDR) Genes

    DEFF Research Database (Denmark)

    Formenti, Luca Riccardo; Kielland-Brandt, Morten

    2011-01-01

    The use of statins is well established in human therapy, and model organisms such as Saccharomyces cerevisiae are commonly used in studies of drug action at molecular and cellular levels. The investigation of the resistance mechanisms towards statins may suggest new approaches to improve therapy...... based on the use of statins. We investigated the susceptibility to lovastatin of S. cerevisiae strains deleted for PDR genes, responsible for exporting hydrophobic and amphi-philic drugs, such as lovastatin. Strains deleted for the genes tested, PDR1, PDR3, PDR5 and SNQ2, exhibited remarkably different...

  13. Efficient recovery of fluoroquinolone-susceptible and fluoroquinolone-resistant Escherichia coli strains from frozen samples.

    Science.gov (United States)

    Lautenbach, Ebbing; Santana, Evelyn; Lee, Abby; Tolomeo, Pam; Black, Nicole; Babson, Andrew; Perencevich, Eli N; Harris, Anthony D; Smith, Catherine A; Maslow, Joel

    2008-04-01

    We assessed the rate of recovery of fluoroquinolone-resistant and fluoroquinolone-susceptible Escherichia coli isolates from culture of frozen perirectal swab samples compared with the results for culture of the same specimen before freezing. Recovery rates for these 2 classes of E. coli were 91% and 83%, respectively. The majority of distinct strains recovered from the initial sample were also recovered from the frozen sample. The strains that were not recovered were typically present only in low numbers in the initial sample. These findings emphasize the utility of frozen surveillance samples.

  14. Esters of pyrazinoic acid are active against pyrazinamide-resistant strains of Mycobacterium tuberculosis and other naturally resistant mycobacteria in vitro and ex vivo within macrophages.

    KAUST Repository

    Pires, David; Valente, Emí lia; Simoes, Marta; Carmo, Nuno; Testa, Bernard; Constantino, Luí s; Anes, Elsa

    2015-01-01

    In previous work, we have shown that POA esters and amides synthesized in our laboratory were stable in plasma. Although the amides did not present significant activity, the esters were active against sensitive mycobacteria at concentrations 5-to-10 fold lower than those of PZA. Here, we report that these POA derivatives possess antibacterial efficacy in vitro and ex vivo against several species and strains of Mycobacterium with natural or acquired resistance to PZA, including M. bovis and M. avium. Our results indicate that the resistance was probably overcome by cleavage of the prodrugs into POA and a long-chain alcohol. Although it is not possible to rule out that the esters may have intrinsic activity per se, we bring evidence here that long-chain fatty alcohols possess a significant anti-mycobacterial effect against PZA-resistant species and strains and are not mere inactive promoieties. These findings may lead to candidate dual-drugs having enhanced activity against both PZA-susceptible and PZA-resistant isolates and being suitable for clinical development.

  15. Next-Generation Sequence Analysis Reveals Transfer of Methicillin Resistance to a Methicillin-Susceptible Staphylococcus aureus Strain That Subsequently Caused a Methicillin-Resistant Staphylococcus aureus Outbreak: a Descriptive Study.

    Science.gov (United States)

    Weterings, Veronica; Bosch, Thijs; Witteveen, Sandra; Landman, Fabian; Schouls, Leo; Kluytmans, Jan

    2017-09-01

    Resistance to methicillin in Staphylococcus aureus is caused primarily by the mecA gene, which is carried on a mobile genetic element, the staphylococcal cassette chromosome mec (SCC mec ). Horizontal transfer of this element is supposed to be an important factor in the emergence of new clones of methicillin-resistant Staphylococcus aureus (MRSA) but has been rarely observed in real time. In 2012, an outbreak occurred involving a health care worker (HCW) and three patients, all carrying a fusidic acid-resistant MRSA strain. The husband of the HCW was screened for MRSA carriage, but only a methicillin-susceptible S. aureus (MSSA) strain, which was also resistant to fusidic acid, was detected. Multiple-locus variable-number tandem-repeat analysis (MLVA) typing showed that both the MSSA and MRSA isolates were MT4053-MC0005. This finding led to the hypothesis that the MSSA strain acquired the SCC mec and subsequently caused an outbreak. To support this hypothesis, next-generation sequencing of the MSSA and MRSA isolates was performed. This study showed that the MSSA isolate clustered closely with the outbreak isolates based on whole-genome multilocus sequence typing and single-nucleotide polymorphism (SNP) analysis, with a genetic distance of 17 genes and 44 SNPs, respectively. Remarkably, there were relatively large differences in the mobile genetic elements in strains within and between individuals. The limited genetic distance between the MSSA and MRSA isolates in combination with a clear epidemiologic link supports the hypothesis that the MSSA isolate acquired a SCC mec and that the resulting MRSA strain caused an outbreak. Copyright © 2017 American Society for Microbiology.

  16. Evaluation of Drug Resistance Pattern of Escherichia coli Strains Isolated from Fasa Vali-e-Asr Hospital Patients

    Directory of Open Access Journals (Sweden)

    Sara Abdollahi Kheirabadi

    2013-03-01

    Full Text Available Background & Objective: Antibiotic resistance due to the widespread use of antibiotics is one of the major causes of failure in antibiotic treatment. The aim of this study was to investigate the rates of antibiotic resistance among Escherichia coli isolates from Fasa Vali-e-Asr Hospital patients.   Materials & Methods : In total, 234 isolates of Escherichia coli strains, obtained from inpatients and outpatients, were studied. The identity of the isolated strains was confirmed by bacteriologic methods. T he drug sensitivity definition test to 17 antibiotics was done via the disk diffusion antibiogram method. Minimum inhibitory concentration (MIC of the resistant isolates to Ciprofloxacin and Imipenem was measured using the s erial dilution method according to the CLSI standards.   Results : The resistance rates of the isolates to Ciprofloxacin and Imipenem by disk diffusion antibiogram method were 22.65% and 11.11% and by serial dilution method were 19.66 % and 9.4% of all the isolates, respectively.   Conclusion: These results show higher resistance of Escherichia coli to Ciprofloxacin and Imipenem as compared to the results in previous studies. Further investigation will shed light on how to more effectively battle antibiotic resistance of virulent microorganisms.

  17. Detection of mutations in mtrR gene in quinolone resistant strains of N.gonorrhoeae isolated from India

    Directory of Open Access Journals (Sweden)

    S V Kulkarni

    2015-01-01

    Full Text Available Background and Objectives: Emergence of multi-drug resistant Neisseria gonorrhoeae resulting from new genetic mutation is a serious threat in controlling gonorrhea. This study was undertaken to identify and characterise mutations in the mtrR genes in N.gonorrhoeae isolates resistant to six different antibiotics in the quinolone group. Materials and Methods: The Minimum inhibitory concentrations (MIC of five quinolones for 64 N.gonorrhoeae isolates isolated during Jan 2007-Jun 2009 were determined by E-test method. Mutations in MtrR loci were examined by deoxyribonucleic acid (DNA sequencing. Results: The proportion of N.gonorrhoeae strains resistant to anti-microbials was 98.4% for norfloxacin and ofloxacin, 96.8% for enoxacin and ciprofloxacin, 95.3% for lomefloxacin. Thirty-one (48.4% strains showed mutation (single/multiple in mtrR gene. Ten different mutations were observed and Gly-45 → Asp, Tyr-105 → His being the most common observed mutation. Conclusion: This is the first report from India on quinolone resistance mutations in MtrRCDE efflux system in N.gonorrhoeae. In conclusion, the high level of resistance to quinolone and single or multiple mutations in mtrR gene could limit the drug choices for gonorrhoea.

  18. Isolation and molecular identification of a UV-resistant strain of Dietzia maris and antioxidant activity of pigment

    Directory of Open Access Journals (Sweden)

    Narges Zamanian

    2016-09-01

    Full Text Available Introduction: The ability of radioresistant bacteria to survive high levels of UV radiation has been linked to their strong DNA repair systems and ability to produce primary and secondary metabolic products. The biosynthesis of pigments provides an opportunity for bacteria to live in radiation-rich environment. Recent radiation-responsive pigments are used commercially as food colorants, anticancer drugs, as well as antibiotics and for cosmetic purposes. Materials and methods: Soil sample of Omidiyeh city was collected during the spring of 2014 and UV-resistant strain was isolated after primary and secondary screening. Then it was identified by molecular methods (16S rRNA gene sequencing. Antioxidant activity of pigment was evaluated by 2,2 -diphenyl-1-picryl hydrazyl (DPPH and the reducing power of pigments were analyzed by ferric chloride. Results: In this present study, new UV-resistant strain NM2 was isolated and by comparison of these 16S rRNA gene sequences to public database using the BLAST, the genus and species of the isolate was identified as Dietzia maris with 99% similarity. Extraction of pigment from isolated strain was carried out by methanol and acetone as solvents. The spectrum is characterized by maximum peak at 473 nm for pigment of NM2 strain. Antioxidant activity and the reducing ability of pigments increased by increasing their concentrations. NM2 strain pigment showed EC50 concentration of 3.30 mg/ml for DPPH free radical scavenging activity, and EC50 concentration of 28.46 µg/ml for reducing power. Discussion and conclusion: Isolation of natural resources of pigment is very important with high anti-oxidant activity. In the current study, pigment of UV-resistant bacteria demonstrated a strong antioxidant activity in vitro and pigment of these bacteria could play an important role in UV tolerance. Pigment of UV-resistant bacteria may be an appropriate source for antioxidative-related functional foods and the pharmaceutical

  19. Chemosensitization of Trypanosoma congolense strains resistant to isometamidium chloride by tetracyclines and enrofloxacin.

    Directory of Open Access Journals (Sweden)

    Vincent Delespaux

    Full Text Available BACKGROUND: Because of the development of resistance in trypanosomes to trypanocidal drugs, the livelihood of millions of livestock keepers in sub-Saharan Africa is threatened now more than ever. The existing compounds have become virtually useless and pharmaceutical companies are not keen on investing in the development of new trypanocides. We may have found a breakthrough in the treatment of resistant trypanosomal infections, through the combination of the trypanocide isometamidium chloride (ISM with two affordable veterinary antibiotics. METHODOLOGY/PRINCIPAL FINDINGS: In a first experiment, groups of mice were inoculated with Trypanosoma congolense strains resistant to ISM and either left untreated or treated with (i tetracycline, (ii ISM or (iii the combination of the antibiotic and the trypanocide. Survival analysis showed that there was a significant effect of treatment and resistance to treatment on the survival time. The groups treated with ISM (with or without antibiotic survived significantly longer than the groups that were not treated with ISM (P<0.01. The group treated with the combination trypanocide/antibiotic survived significantly longer than the group treated with ISM (P<0.01. In a second experiment, groups of cattle were inoculated with the same resistant trypanosome strain and treated with (i ISM, (ii ISM associated with oxytetracycline or (iii ISM associated with enrofloxacine. All animals treated with ISM became parasitaemic. In the groups treated with ISM-oxytetracycline and ISM-enrofloxacine, 50% of the animals were cured. Animals from the groups treated with a combination trypanocide/antibiotic presented a significantly longer prepatent period than animals treated with ISM (p<0.001. The impact of the disease on the haematocrit was low in all ISM treated groups. Yet, it was lower in the groups treated with the combination trypanocide/antibiotic (p<0.01. CONCLUSIONS/SIGNIFICANCE: After optimization of the administration

  20. Prevalence and distribution of VRE (vancomycin resistant enterococci and VSE (vancomycin susceptible enterococci strains in the breeding environment

    Directory of Open Access Journals (Sweden)

    Krzysztof Skowron

    2016-06-01

    Full Text Available [b]Introduction.[/b] Intensive animal production causes numerous problems. Facilities connected with animal maintenance not only cause environmental pollution, but also pose a great sanitary and epidemiological threat. Long-term use of antibiotics in animal production lead animal-borne microorganisms to develop multiple resistance mechanisms, transferred to the typical environmental bacteria. [b]Objective. [/b]The aim of this study was assessment of E. faecalis, E. faecium, E. durans and E. hirae prevalence in samples gathered from swine production sectors, and determination of the contribution of VRE (vancomycin resistant enterococci strains and their resistance. The degree of relationship between isolates of each species from genus Enterococcus was also determined. [b]Materials and method.[/b] 195 isolates were obtained, from which DNA was isolated. Genus identification was conducted with the primers specific to the 16S rRNA region, and identification of the species with primers specific to sequence of gene sodA in Multiplex PCR reaction. Resistance to vancomycin (6 μg×ml -1 was tested using a screening method on Muller Hinton Agar. To assess resistance type Multiplex PCR, amplifying products corresponding to genes VanA, VanB and VanC, was conducted. Genotyping was conducted using the PCR-RAPD method. [b]Results. [/b]Among the 195 isolates, 133 (68% belonged to E. hirae. The other species contributions were respectively: E. faecalis – 21%, E. durans – 8% and E. faecium – 3%. Only 2 isolates of E. hirae, being different strains, were resistant to vancomycin. Both were representing phenotype VanC1. 60 genetically different strains were defined. The possible contamination paths involved animal feed and spreading of excrements by slaughtered individuals or on personnel’s footwear. [b]Conclusions. [/b]The obtained results indicate a very low percentage of VRE strains in the tested piggery, resulting in a low health risk to piggery

  1. Transcriptional Analysis of Four Family 4 P450s in a Puerto Rico Strain of Aedes aegypti (Diptera: Culicidae) Compared With an Orlando Strain and Their Possible Functional Roles in Permethrin Resistance

    Science.gov (United States)

    2014-05-01

    MOLECULAR BIOLOGY/GENOMICS Transcriptional Analysis of Four Family 4 P450s in a Puerto Rico Strain of Aedes aegypti (Diptera: Culicidae) Compared...10.1603/ME13228 ABSTRACT A Þeld strain of Aedes aegypti (L.) was collected from Puerto Rico in October 2008. Based onLD50 values by topical application...important role in cytochrome P450-mediated resistance to permethrin. KEY WORDS Aedes aegytpi, permethrin, resistance, cytochrome P450, detoxiÞcation The

  2. Identification and Genetic Diversity of Etambutol Resistant Strains of Mycobacterium Tuberculosis by Allelic-Specific PCR and Spologiotyping

    Directory of Open Access Journals (Sweden)

    Zahra Derakhshani Nezhad

    2012-09-01

    Full Text Available Background & Objectives: Ethambutol is one of the four main drugs in treatment of tuberculosis. The most common mutation associated with this drug resistance usually occurs in codon 306 of embB. The aim of this study was to detect ethambutol resistance using Allele-Specific PCR and Spoligotyping in various subtypes of Mycobacterium tuberculosis.   Methods : 140 sputum specimens were collected from suspected TB patients. They were digested and decontaminated using Pettrof method before culturing them on LJ medium. Drug susceptibility testing was performed on 106 culture positive specimens using proportional method. DNA was extracted from the isolated organisms and subsequently subjected to Allele-Specific PCR to detect any mutationin embB306. Spoligotyping was then used to determine the subtypes.   Results: Out of 106 cultures positive samples, 36 samples (33.9% showed resistance to ethambutol using proportional method. Allele-Specific PCR assay identified 93 as sensitive and 13 (27.6% as resistant strains. The results of PCR were in agreement with result of proportional method. The PCR method revealed that 61.5% of mutation occurred in the first and 38.5% in third nucleotides. Spoligotyping differentiated Mycobacterium tuberculosis strains into Beijing (10 9.4%, Bovis (2 1.8%, CAS (24 22.6%, EAI (1 0.9%, Haarlem (27 25.4%, LAM (5 4.7%, Manu (5 4.7%, T (27 25.4% and U( 2 1,8% families. The high frequency of mutation in embB gene was belonged to Haarlem, CAS and T subfamilies.   Conclusion: Based on results current study, mutations in the genes other than embB might have occurred in the resistant strains that gave negative result in Allele-Specific PCR assay. Therefore other mechanisms of resistance to this antibiotic should be investigated.

  3. Comparative transcriptome profiling of a thermal resistant vs. sensitive silkworm strain in response to high temperature under stressful humidity condition.

    Directory of Open Access Journals (Sweden)

    Wenfu Xiao

    Full Text Available Thermotolerance is important particularly for poikilotherms such as insects. Understanding the mechanisms by which insects respond to high temperatures can provide insights into their adaptation to the environment. Therefore, in this study, we performed a transcriptome analysis of two silkworm strains with significantly different resistance to heat as well as humidity; the thermo-resistant strain 7532 and the thermos-sensitive strain Knobbed. We identified in total 4,944 differentially expressed genes (DEGs using RNA-Seq. Among these, 4,390 were annotated and 554 were novel. Gene Ontology (GO analysis of 747 DEGs identified between RT_48h (Resistant strain with high-temperature Treatment for 48 hours and ST_48h (Sensitive strain with high-temperature Treatment for 48 hours showed significant enrichment of 12 GO terms including metabolic process, extracellular region and serine-type peptidase activity. Moreover, we discovered 12 DEGs that may contribute to the heat-humidity stress response in the silkworm. Our data clearly showed that 48h post-exposure may be a critical time point for silkworm to respond to high temperature and humidity. These results provide insights into the genes and biological processes involved in high temperature and humidity tolerance in the silkworm, and advance our understanding of thermal tolerance in insects.

  4. Diversity Assessment of Heat Resistance of Listeria monocytogenes Strains in a Continuous-Flow Heating System

    NARCIS (Netherlands)

    Veen, van der S.; Wagendorp, A.; Abee, T.; Wells-Bennik, M.H.J.

    2009-01-01

    Listeria monocytogenes is a foodborne pathogen that has the ability to survive relatively high temperatures compared with other nonsporulating foodborne pathogens. This study was performed to determine whether L. monocytogenes strains with relatively high heat resistances are adequately inactivated

  5. Synergistic and additive effect of oregano essential oil and biological silver nanoparticles against multidrug-resistant bacterial strains

    Directory of Open Access Journals (Sweden)

    Sara eScandorieiro

    2016-05-01

    Full Text Available Bacterial resistance to conventional antibiotics has become a clinical and public health problem, making therapeutic decisions more challenging. Plant compounds and nanodrugs have been proposed as potential antimicrobial alternatives. Studies have shown that oregano (Origanum vulgare essential oil (OEO and silver nanoparticles have potent antibacterial activity, also against multidrug-resistant strains; however, the strong organoleptic characteristics of OEO and the development of resistance to these metal nanoparticles can limit their use. This study evaluated the antibacterial effect of a two-drug combination of biologically synthesized silver nanoparticles (bio-AgNP, produced by Fusarium oxysporum, and OEO against Gram-positive and Gram-negative bacteria, including multidrug-resistant strains. OEO and bio-AgNP showed bactericidal effects against all seventeen strains tested, with minimal inhibitory concentrations (MIC ranging from 0.298 to 1.193 mg/mL and 62.5 to 250 µM, respectively. Time-kill curves indicated that OEO acted rapidly (within 10 min, while the metallic nanoparticles took 4 h to kill Gram-negative bacteria and 24 h to kill Gram-positive bacteria. The combination of the two compounds resulted in a synergistic or additive effect, reducing their MIC values and reducing the time of action compared to bio-AgNP used alone, i.e., 20 min for Gram-negative bacteria and 7 h for Gram-positive bacteria. Scanning electron microscopy (SEM revealed similar morphological alterations in Staphylococcus aureus (non-methicillin-resistant S. aureus, non-MRSA cells exposed to three different treatments (OEO, bio-AgNP and combination of the two, which appeared cell surface blebbing. Individual and combined treatments showed reduction in cell density and decrease in exopolysaccharide matrix compared to untreated bacterial cells. It indicated that this composition have an antimicrobial activity against S. aureus by disrupting cells. Both compounds

  6. Synergistic and Additive Effect of Oregano Essential Oil and Biological Silver Nanoparticles against Multidrug-Resistant Bacterial Strains.

    Science.gov (United States)

    Scandorieiro, Sara; de Camargo, Larissa C; Lancheros, Cesar A C; Yamada-Ogatta, Sueli F; Nakamura, Celso V; de Oliveira, Admilton G; Andrade, Célia G T J; Duran, Nelson; Nakazato, Gerson; Kobayashi, Renata K T

    2016-01-01

    Bacterial resistance to conventional antibiotics has become a clinical and public health problem, making therapeutic decisions more challenging. Plant compounds and nanodrugs have been proposed as potential antimicrobial alternatives. Studies have shown that oregano (Origanum vulgare) essential oil (OEO) and silver nanoparticles have potent antibacterial activity, also against multidrug-resistant strains; however, the strong organoleptic characteristics of OEO and the development of resistance to these metal nanoparticles can limit their use. This study evaluated the antibacterial effect of a two-drug combination of biologically synthesized silver nanoparticles (bio-AgNP), produced by Fusarium oxysporum, and OEO against Gram-positive and Gram-negative bacteria, including multidrug-resistant strains. OEO and bio-AgNP showed bactericidal effects against all 17 strains tested, with minimal inhibitory concentrations (MIC) ranging from 0.298 to 1.193 mg/mL and 62.5 to 250 μM, respectively. Time-kill curves indicated that OEO acted rapidly (within 10 min), while the metallic nanoparticles took 4 h to kill Gram-negative bacteria and 24 h to kill Gram-positive bacteria. The combination of the two compounds resulted in a synergistic or additive effect, reducing their MIC values and reducing the time of action compared to bio-AgNP used alone, i.e., 20 min for Gram-negative bacteria and 7 h for Gram-positive bacteria. Scanning electron microscopy (SEM) revealed similar morphological alterations in Staphylococcus aureus (non-methicillin-resistant S. aureus, non-MRSA) cells exposed to three different treatments (OEO, bio-AgNP and combination of the two), which appeared cell surface blebbing. Individual and combined treatments showed reduction in cell density and decrease in exopolysaccharide matrix compared to untreated bacterial cells. It indicated that this composition have an antimicrobial activity against S. aureus by disrupting cells. Both compounds showed very low

  7. Differential mRNA expression of seven genes involved in cholesterol metabolism and transport in the liver of atherosclerosis-susceptible and -resistant Japanese quail strains

    Directory of Open Access Journals (Sweden)

    Li Xinrui

    2012-06-01

    Full Text Available Abstract Background Two atherosclerosis-susceptible and -resistant Japanese quail (Coturnix japonica strains obtained by divergent selection are commonly used as models to study atherosclerosis, but no genetic characterization of their phenotypic differences has been reported so far. Our objective was to examine possible differences in the expression of genes involved in cholesterol metabolism and transport in the liver between these two strains and to evaluate the value of this model to analyze the gene system affecting cholesterol metabolism and transport. Methods A factorial study with both strains (atherosclerosis-susceptible versus atherosclerosis-resistant and two diets (control versus cholesterol was carried out. The mRNA concentrations of four genes involved in cholesterol biosynthesis (HMGCR, FDFT1, SQLE and DHCR7 and three genes in cholesterol transport (ABCG5, ABCG8 and APOA1 were assayed using real-time quantitative PCR. Plasma lipids were also assayed. Results Expression of ABCG5 (control diet and ABCG8 (regardless of dietary treatment and expression of HMGCR, FDFT1 and SQLE (regardless of dietary treatment were significantly higher in the atherosclerosis-resistant than in the atherosclerosis-susceptible strain. Plasma triglyceride and LDL levels, and LDL/HDL ratio were significantly higher in the atherosclerosis-susceptible than in the atherosclerosis-resistant strain fed the cholesterol diet. In the atherosclerosis-susceptible strain, ABCG5 expression regressed significantly and positively on plasma LDL level, whereas DHCR7 and SQLE expression regressed significantly and negatively on plasma triglyceride level. Conclusions Our results provide support for the hypothesis that the atherosclerosis-resistant strain metabolizes and excretes cholesterol faster than the atherosclerosis-susceptible strain. We have also demonstrated that these quail strains are a useful model to study cholesterol metabolism and transport in relation with

  8. Genome Sequence of a Multidrug-Resistant Strain of Stenotrophomonas maltophilia with Carbapenem Resistance, Isolated from King Abdullah Medical City, Makkah, Saudi Arabia

    KAUST Repository

    Abdel-Haleem, Alyaa M.; Rchiad, ‍ Zineb; Khan, Babar Khalid; Abdallah, Abdallah; Naeem, Raeece; Nikhat Sheerin, Shalam; Solovyev, Victor; Ahmed, Abdalla; Pain, Arnab

    2015-01-01

    The emergence and spread of multidrug-resistant (MDR) bacteria have been regarded as major challenges among health care-associated infections worldwide. Here, we report the draft genome sequence of an MDR Stenotrophomonas maltophilia strain isolated in 2014 from King Abdulla Medical City, Makkah, Saudi Arabia.

  9. Genome Sequence of a Multidrug-Resistant Strain of Stenotrophomonas maltophilia with Carbapenem Resistance, Isolated from King Abdullah Medical City, Makkah, Saudi Arabia

    KAUST Repository

    Abdel-Haleem, Alyaa M.

    2015-10-15

    The emergence and spread of multidrug-resistant (MDR) bacteria have been regarded as major challenges among health care-associated infections worldwide. Here, we report the draft genome sequence of an MDR Stenotrophomonas maltophilia strain isolated in 2014 from King Abdulla Medical City, Makkah, Saudi Arabia.

  10. A new method for the characterization of strain-specific conformational stability of protease-sensitive and protease-resistant PrPSc.

    Directory of Open Access Journals (Sweden)

    Laura Pirisinu

    Full Text Available Although proteinacious in nature, prions exist as strains with specific self-perpetuating biological properties. Prion strains are thought to be associated with different conformers of PrP(Sc, a disease-associated isoform of the host-encoded cellular protein (PrP(C. Molecular strain typing approaches have been developed which rely on the characterization of protease-resistant PrP(Sc. However, PrP(Sc is composed not only of protease-resistant but also of protease-sensitive isoforms. The aim of this work was to develop a protocol for the molecular characterization of both, protease-resistant and protease-sensitive PrP(Sc aggregates. We first set up experimental conditions which allowed the most advantageous separation of PrP(C and PrP(Sc by means of differential centrifugation. The conformational solubility and stability assay (CSSA was then developed by measuring PrP(Sc solubility as a function of increased exposure to GdnHCl. Brain homogenates from voles infected with human and sheep prion isolates were analysed by CSSA and showed strain-specific conformational stabilities, with mean [GdnHCl](1/2 values ranging from 1.6 M for MM2 sCJD to 2.1 for scrapie and to 2.8 M for MM1/MV1 sCJD and E200K gCJD. Interestingly, the rank order of [GdnHCl](1/2 values observed in the human and sheep isolates used as inocula closely matched those found following transmission in voles, being MM1 sCJD the most resistant (3.3 M, followed by sheep scrapie (2.2 M and by MM2 sCJD (1.6 M. In order to test the ability of CSSA to characterise protease-sensitive PrP(Sc, we analysed sheep isolates of Nor98 and compared them to classical scrapie isolates. In Nor98, insoluble PrP(Sc aggregates were mainly protease-sensitive and showed a conformational stability much lower than in classical scrapie. Our results show that CSSA is able to reveal strain-specified PrP(Sc conformational stabilities of protease-resistant and protease-sensitive PrP(Sc and that it is a valuable tool

  11. In vitro biofilm formation by methicillin susceptible and resistant Staphylococcus aureus strains isolated from cystic fibrosis patients

    Directory of Open Access Journals (Sweden)

    Antonietta Lambiase

    2008-12-01

    Full Text Available Staphylococcus aureus is one of the most common pathogens isolated from respiratory tracts of Cystic Fibrosis patients (CF. The infection by this pathogen starts in early infancy, often preceding chronic infections by Pseudomonas aeruginosa. The infection and colonization by methicillin-resistant Staphylococcus aureus (MRSA are, by then, events very frequent among CF patients and this bacterial isolation leads to complications in therapeutic management because of the limited treatment options. Strains of Staphylococcus aureus are able to produce biofilms on natural or synthetic surfaces. Biofilms are sophisticated communities of matrix-encased bacteria and infections by biofilm-producing bacteria are particularly problematic because sessile bacteria can often withstand host immune responses and are generally much more tolerant to antibiotics. The first aim of this work is to evaluate the ability of MRSA strains isolated from respiratory secretions of CF patients to develop biofilms in comparison with methicillin-sensitive Staphylococcus aureus (MSSA strains obtained from respiratory secretions of CF patients.Therefore, our second aim is to evaluate the environmental influence on this ability. To evaluate the development of biofilm on solid matrix and the possible environmental influence,we applied the method described by Christensen et al. We found that a significantly higher number of MRSA strains were biofilm positive compared with MSSA strains (p<0.05.The presence of glucose did not influence the ability to form biofilm in our MRSA strains (p=0.165. MSSA strains are not strong biofilm-producers, but, when grown in TSB added with 0.25% glucose, the number of biofilm-forming strains increases, as expected. These data suggest a possible association between methicillin-resistance and biofilm formation.

  12. Strain-Modulated Bandgap and Piezo-Resistive Effect in Black Phosphorus Field-Effect Transistors.

    Science.gov (United States)

    Zhang, Zuocheng; Li, Likai; Horng, Jason; Wang, Nai Zhou; Yang, Fangyuan; Yu, Yijun; Zhang, Yu; Chen, Guorui; Watanabe, Kenji; Taniguchi, Takashi; Chen, Xian Hui; Wang, Feng; Zhang, Yuanbo

    2017-10-11

    Energy bandgap largely determines the optical and electronic properties of a semiconductor. Variable bandgap therefore makes versatile functionality possible in a single material. In layered material black phosphorus, the bandgap can be modulated by the number of layers; as a result, few-layer black phosphorus has discrete bandgap values that are relevant for optoelectronic applications in the spectral range from red, in monolayer, to mid-infrared in the bulk limit. Here, we further demonstrate continuous bandgap modulation by mechanical strain applied through flexible substrates. The strain-modulated bandgap significantly alters the density of thermally activated carriers; we for the first time observe a large piezo-resistive effect in black phosphorus field-effect transistors (FETs) at room temperature. The effect opens up opportunities for future development of electromechanical transducers based on black phosphorus, and we demonstrate an ultrasensitive strain gauge constructed from black phosphorus thin crystals.

  13. drug resistant strains of Salmonella enterica

    African Journals Online (AJOL)

    Conclusions: The aqueous extract of Thonningia sanguinea can provide an alternative therapy for the treatment of salmonellosis, mainly for typhoid fever caused by MDR strains of S. Typhi.The extract also inhibits S.Hadar a MDR emerging strain in Ivory Coast. Keywords: Thonningia sanguinea; Salmonella, MDR strains, ...

  14. Draft Genome Sequence of an Invasive Multidrug-Resistant Strain, Pseudomonas aeruginosa BK1, Isolated from a Keratitis Patient

    KAUST Repository

    Jeganathan, Lakshmi Priya

    2014-03-27

    Pseudomonas aeruginosa infections are difficult to treat due to the presence of a multitude of virulence factors and antibiotic resistance. Here, we report the draft genome sequence of P. aeruginosa BK1, an invasive and multidrug-resistant strain, isolated from a bacterial keratitis patient in southern India.

  15. Antibiotic resistance patterns of Escherichia coli strains isolated from surface water and groundwater samples in a pig production area

    Directory of Open Access Journals (Sweden)

    Roger Neto Schneider

    2009-09-01

    Full Text Available The use of antibiotics, so excessive and indiscriminate in intensive animal production, has triggered an increase in the number of resistant microorganisms which can be transported to aquatic environments. The aim of this study was to determine the profile of the antimicrobial resistance of samples of Escherichia coli isolated from groundwater and surface water in a region of pig breeding. Through the test of antimicrobial susceptibility, we analyzed 205 strains of E. coli. A high rate of resistance to cefaclor was observed, both in surface water (51.9% and groundwater (62.9%, while all samples were sensitive to amikacin. The percentages of multi-resistant samples were 25.96% and 26.73% in surface water and groundwater, respectively, while 19.23% and 13.86% were sensitive to all antibiotics tested. It was determined that the rate of multiple antibiotic resistance (MAR was 0.164 for surface water and 0.184 for groundwater. No significant differences were found in the profile of the antimicrobial resistance in strains of E. coli isolated in surface water and groundwater, but the index MAR calculated in certain points of groundwater may offer a potential risk of transmission of resistant genes.

  16. Genetic Basis of Cry1F-Resistance in a Laboratory Selected Asian Corn Borer Strain and Its Cross-Resistance to Other Bacillus thuringiensis Toxins.

    Directory of Open Access Journals (Sweden)

    Yueqin Wang

    Full Text Available The Asian corn borer (ACB, Ostrinia furnacalis (Guenée (Lepidoptera: Crambidae, is the most destructive insect pest of corn in China. Susceptibility to the Cry1F toxin derived from Bacillus thuringiensis has been demonstrated for ACB, suggesting the potential for Cry1F inclusion as part of an insect pest management program. Insects can develop resistance to Cry toxins, which threatens the development and use of Bt formulations and Bt crops in the field. To determine possible resistance mechanisms to Cry1F, a Cry1F-resistant colony of ACB (ACB-FR that exhibited more than 1700-fold resistance was established through selection experiments after 49 generations of selection under laboratory conditions. The ACB-FR strain showed moderate cross-resistance to Cry1Ab and Cry1Ac of 22.8- and 26.9-fold, respectively, marginally cross-resistance to Cry1Ah (3.7-fold, and no cross-resistance to Cry1Ie (0.6-fold. The bioassay responses of progeny from reciprocal F1 crosses to different Cry1 toxin concentrations indicated that the resistance trait to Cry1Ab, Cry1Ac and Cry1F has autosomal inheritance with no maternal effect or sex linked. The effective dominance (h of F1 offspring was calculated at different concentrations of Cry1F, showing that h decreased as concentration of Cry1F increased. Finally, the analysis of actual and expected mortality of the progeny from a backcross (F1 × resistant strain indicated that the inheritance of the resistance to Cry1F in ACB-FR was due to more than one locus. The present study provides an understanding of the genetic basis of Cry1F resistance in ACB-FR and also shows that pyramiding Cry1F with Cry1Ah or Cry1Ie could be used as a strategy to delay the development of ACB resistance to Bt proteins.

  17. Fluorescent amplified fragment length polymorphism (FAFLP genotyping demonstrates the role of biofilm-producing methicillin-resistant periocular Staphylococcus epidermidis strains in postoperative endophthalmitis

    Directory of Open Access Journals (Sweden)

    Hasnain Seyed E

    2006-01-01

    Full Text Available Abstract Background An observational case series was used to study the virulence characteristics and genotypes of paired Staphylococcus epidermidis isolates cultured from intraocular samples and from periocular environment of patients with postcataract surgery endophthalmitis. Methods Eight S. epidermidis isolates were obtained from three patients (2 from patients #1 and 2 and 4 from patient #3 whose vitreous and/or anterior chamber (AC specimens and preoperative lid/conjunctiva samples were culture positive. Cultures were identified by API-Staph phenotypic identification system and genotypically characterized by Fluorescent Amplified Fragment Length Polymorphism (FAFLP and checked for their antimicrobial susceptibility. The isolates were tested for biofilm-production and methicillin-resistance (MR by PCR amplification of icaAB and mecA gene respectively. Results Four out of eight S. epidermidis strains showed multiple drug resistance (MDR. All the eight strains were PCR positive for mecA gene whereas seven out of eight strains were positive for icaAB genes. In all three patients FAFLP typing established vitreous isolates of S. epidermidis strains to be indistinguishable from the strains isolated from the patient's conjunctival swabs. However, from patient number three there was one isolate (1030b from lid swab, which appeared to be nonpathogenic and ancestral having minor but significant differences from other three strains from the same patient. This strain also lacked icaAB gene. In silico analysis indicated possible evolution of other strains from this strain in the patient. Conclusion Methicillin-resistant biofilm positive S. epidermidis strains colonizing the conjunctiva and eyelid were responsible for postoperative endophthalmitis (POE.

  18. Determination antimicrobial resistance profile of Acinetobacter strains isolated from hospitalized patients in Different Part of Taleghani Hospital (Ahvaz, Iran

    Directory of Open Access Journals (Sweden)

    Khadijah Ahmadi

    2014-10-01

    Full Text Available Background: The members of the genus Acinetobacter are Gram-negative cocobacilli that are frequently found in the environment but also in the hospital setting where they have been associated with outbreaks of nosocomial infections such as meningitis, endocarditis, skin and soft tissue infections, urinary tract infection, conjunctivitis, burn wound infection and bacteremia. This organism has been shown resistance to different antimicrobial agents. The aim of this study was to determination antibiotic resistance profile of Acinetobacter strains isolated from hospitalized patients in Taleghani hospital (Ahvaz, Iran. Materials and Methods: This cross-sectional study was conducted on 43 Acinetobacter strains isolated from hospitalized patients. Clinical specimens were cultured on microbiological media. Subsequently, drug susceptibility test was performed using the disc diffusion method according to CLSI recommendations. Results: Acinetobacter strains were isolated from different specimens consisting biopsy 24 (55.8%, wound 13 (30/2% and blood 6 (14%. In antimicrobial susceptibility testing, colistin exhibited the greatest activity (60.5% against isolated strains. 33 (76/7% isolates demonstrated resistance to imipenem. Conclusion: In outbreak situations, surveillance cultures of patients involved in the outbreak or who are deemed at risk for colonization/infection with the outbreak organism are often parts of the planned intervention.

  19. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    Science.gov (United States)

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  20. Synergistic activity of synthetic N-terminal peptide of human lactoferrin in combination with various antibiotics against carbapenem-resistant Klebsiella pneumoniae strains.

    Science.gov (United States)

    Morici, P; Florio, W; Rizzato, C; Ghelardi, E; Tavanti, A; Rossolini, G M; Lupetti, A

    2017-10-01

    The spread of multi-drug resistant (MDR) Klebsiella pneumoniae strains producing carbapenemases points to a pressing need for new antibacterial agents. To this end, the in-vitro antibacterial activity of a synthetic N-terminal peptide of human lactoferrin, further referred to as hLF1-11, was evaluated against K. pneumoniae strains harboring different carbapenemase genes (i.e. OXA-48, KPC-2, KPC-3, VIM-1), with different susceptibility to colistin and other antibiotics, alone or in combination with conventional antibiotics (gentamicin, tigecycline, rifampicin, clindamycin, and clarithromycin). An antimicrobial peptide susceptibility assay was used to assess the bactericidal activity of hLF1-11 against the different K. pneumoniae strains tested. The synergistic activity was evaluated by a checkerboard titration method, and the fractional inhibitory concentration (FIC) index was calculated for the various combinations. hLF1-11 was more efficient in killing a K. pneumoniae strain susceptible to most antimicrobials (including colistin) than a colistin-susceptible strain and a colistin-resistant MDR K. pneumoniae strain. In addition, hLF1-11 exhibited a synergistic effect with the tested antibiotics against MDR K. pneumoniae strains. The results of this study indicate that resistance to hLF1-11 and colistin are not strictly associated, and suggest an hLF1-11-induced sensitizing effect of K. pneumoniae to antibiotics, especially to hydrophobic antibiotics, which are normally not effective on Gram-negative bacteria. Altogether, these data indicate that hLF1-11 in combination with antibiotics is a promising candidate to treat infections caused by MDR-K. pneumoniae strains.

  1. Determination of tetracycline resistance genes in Vibrio cholerae O1 biotype El Tor serotype Inaba strains isolated from outbreaks occurred in Iran in 2013

    Directory of Open Access Journals (Sweden)

    Azin Khany

    2016-05-01

    Full Text Available V. cholerae is the causative agent of potentially life threatening diarrheal disease named as cholera. Cholera is an endemic disease in Iran. Encountered increasing resistance of V. cholerae to commonly used antibiotics such as tetracycline has led to major challenges in the treatment of this disease .The present study was carried out to determine the prevalence of drug resistance as well as molecular bases of resistant V.cholerae strains which were isolated from patients in cholera outbreaks during summer of 2013 in Iran. Susceptibly testing was performed on V.cholerae strains isolated from stool of patients suffering from cholera in Iranian reference health laboratory by E -test MIC method as recommended by CLSI guideline. Antibiotic strips used included Ampicillin, Ciprofloxacin, Nalidixic acid, Cefixime , Tetracycline, Erythromycin and Trimethoprim-sulfamethoxazole .Regarding observed dominant pattern of tetracycline resistance comparing to results of previous years ,we decided to confirm the resistance by detecting the tetA , tetB and tetC by Polymerase chain reaction method. The results of antibiotic susceptibility testing revealed 100% resistance of isolated strains to tetracycline. Data obtained from PCR reaction on resistant strains for tetA, tetB and tetC showed that 45(44.1%, 37(36.2% and 70(68.6% were containing tetA, tetB and tetC gene respectively. Moreover, the frequency of tetA+tetB, tetA+C, tetB+tetC , tetA+tetB+tetC also were determined as 9(8.8%, 32(31.3%, 19(18.6% and 8(7.8% respectively. This study revealed the pattern of drug resistance distribution of isolates harboring tetA, tetB, tetC genes in relation to sex, age and nationality of patients and the cities where the cases were reported. A significant correlation was obtained between reported geographical incidence and drug resistant strains.

  2. Human gastrointestinal tract resistance of Lactobacillus strains isolated from infant faecesResistência ao trato gastrointestinal humano de linhagens de Lactobacillus isoladas de fezes de crianças

    Directory of Open Access Journals (Sweden)

    Sandra Garcia

    2011-08-01

    Full Text Available The probiotic bacteria survival during the gastrointestinal transit is primordial, and implies in the ability of microorganisms to survive at the stomach acidity and bile, so they can exert their beneficial effects on the host. The aim of this study was to evaluate, “in vitro”, Lactobacillus strains originated from one year old children fecal material in the selection of probiotic microorganisms. Two commercial strains, L. casei (Lc 01TM and L. acidophilus (La-05 TM were used as controls. The first screening resulted in 75 colonies and they were isolated from six samples faeces. Isolates were Gram positive, mostly rod shaped (cocobacilli, long and thin rods and rarely cocci. They were submitted to catalase test and evaluated for the presence of spores, resulting in 30 pre-selected strains. Among those strains, eight strains: L4, L5, L12, L19, L20, L22, L23, L24 were the most resistant to Oxgall (bile salts concentration (0.3 w/v. These eight strains were also resistant to acid conditions (pH 3.0 and all strains were able to grow in the presence of 0.3 w/v of phenol. The results of treatments were compared to the Neuman Keuls Student test at 5% of probability, with regression analyses made at different times for tolerance to intestinal conditions. The results demonstrated that all these strains were able to survive under gastrointestinal stress condition, indicating potential use as probiotics. The high survival rate of probiotic strains, in conditions that simulate the gastrointestinal transit, is strain dependent and thus, a proper selection of strains in the development of dairy probiotic products is vital.

  3. Detection of Quorum Sensing Activity in the Multidrug-Resistant Clinical Isolate Pseudomonas aeruginosa Strain GB11

    OpenAIRE

    Huey Jia Cheng; Robson Ee; Yuet Meng Cheong; Wen-Si Tan; Wai-Fong Yin; Kok-Gan Chan

    2014-01-01

    A multidrug-resistant clinical bacteria strain GB11 was isolated from a wound swab on the leg of a patient. Identity of stain GB11 as Pseudomonas aeruginosa was validated by using matrix-assisted laser desorption/ionization-time-of-flight mass spectrometry (MALDI-TOF MS). Detection of the production of signaling molecules, N-acylhomoserine lactones (AHLs), was conducted using three different bacterial biosensors. A total of four different AHLs were found to be produced by strain GB11, namely...

  4. Apramycin treatment affects selection and spread of a multidrug-resistant Escherichia coli strain able to colonize the human gut in the intestinal microbiota of pigs

    DEFF Research Database (Denmark)

    Herrero-Fresno, Ana; Zachariasen, Camilla; Hansen, Monica Hegstad

    2016-01-01

    of treatment, and apramycin treatment resulted in significantly higher counts compared to the non-treated group. This represents the first demonstration of how antimicrobial treatment affects spread of resistant bacteria in pig production. The use of apramycin may lead to enhanced spread of gentamicin-resistant......The effect of apramycin treatment on transfer and selection of an Escherichia coli strain (E. coli 912) in the intestine of pigs was analyzed through an in vivo experiment. The strain was sequenced and assigned to the sequence type ST101 and serotype O11. It carried resistance genes to apramycin......-treated (pen 3), along with a non-inoculated control group (pen 1). Two pigs of pen 2 and 3 were inoculated intragastrically with a rifampicin resistant variant of the strain. Apramycin treatment in pen 2 was initiated immediately after inoculation. Strain colonization was assessed in the feces from all pigs...

  5. Prevalence of Drug-Resistance Mutations and Non–Subtype B Strains Among HIV-Infected Infants From New York State

    Science.gov (United States)

    Karchava, Marine; Pulver, Wendy; Smith, Lou; Philpott, Sean; Sullivan, Timothy J.; Wethers, Judith; Parker, Monica M.

    2010-01-01

    Summary Prevalence studies indicate that transmission of drug-resistant HIV has been rising in the adult population, but data from the perinatally infected pediatric population are limited. In this retrospective study, we sequenced the pol region of HIV from perinatally infected infants diagnosed in New York State in 2001–2002. Analyses of drug resistance, subtype diversity, and perinatal antiretroviral exposure were conducted, and the results were compared with those from a previous study of HIV-infected infants identified in 1998–1999. Eight of 42 infants (19.1%) had provirus carrying at least 1 drug-resistance mutation, an increase of 58% over the 1998–1999 results. Mutations conferring resistance to nucleoside reverse transcriptase inhibitors, nonnucleoside reverse transcriptase inhibitors, and protease inhibitors were detected in 7.1%, 11.9%, and 2.4% of specimens, respectively. Consistent with previous results, perinatal antiretroviral exposure was not associated with drug resistance (P = 0.70). Phylogenetic analysis indicated that 16.7% of infants were infected with a non–subtype B strain of HIV. It seems that drug-resistant and non–subtype B strains of HIV are becoming increasingly common in the perinatally infected population. Our results highlight the value of resistance testing for all HIV-infected infants upon diagnosis and the need to consider subtype diversity in diagnostic and treatment strategies. PMID:16868498

  6. Helicobacter pylori strains from a Nigerian cohort show divergent antibiotic resistance rates and a uniform pathogenicity profile.

    Directory of Open Access Journals (Sweden)

    Ute Harrison

    Full Text Available Antibiotic resistance in Helicobacter pylori is a factor preventing its successful eradication. Particularly in developing countries, resistance against commonly used antibiotics is widespread. Here, we present an epidemiological study from Nigeria with 111 isolates. We analyzed the associated disease outcome, and performed a detailed characterization of these isolated strains with respect to their antibiotic susceptibility and their virulence characteristics. Furthermore, statistical analysis was performed on microbiological data as well as patient information and the results of the gastroenterological examination. We found that the variability concerning the production of virulence factors between strains was minimal, with 96.4% of isolates being CagA-positive and 92.8% producing detectable VacA levels. In addition, high frequency of bacterial resistance was observed for metronidazole (99.1%, followed by amoxicillin (33.3%, clarithromycin (14.4% and tetracycline (4.5%. In conclusion, this study indicated that the infection rate of H. pylori infection within the cohort in the present study was surprisingly low (36.6%. Furthermore, an average gastric pathology was observed by histological grading and bacterial isolates showed a uniform pathogenicity profile while indicating divergent antibiotic resistance rates.

  7. Effect of neuraminidase inhibitor-resistant mutations on pathogenicity of clade 2.2 A/Turkey/15/06 (H5N1 influenza virus in ferrets.

    Directory of Open Access Journals (Sweden)

    Natalia A Ilyushina

    2010-05-01

    Full Text Available The acquisition of neuraminidase (NA inhibitor resistance by H5N1 influenza viruses has serious clinical implications, as this class of drugs can be an essential component of pandemic control measures. The continuous evolution of the highly pathogenic H5N1 influenza viruses results in the emergence of natural NA gene variations whose impact on viral fitness and NA inhibitor susceptibility are poorly defined. We generated seven genetically stable recombinant clade 2.2 A/Turkey/15/06-like (H5N1 influenza viruses carrying NA mutations located either in the framework residues (E119A, H274Y, N294S or in close proximity to the NA enzyme active site (V116A, I117V, K150N, Y252H. NA enzyme inhibition assays showed that NA mutations at positions 116, 117, 274, and 294 reduced susceptibility to oseltamivir carboxylate (IC(50s increased 5- to 940-fold. Importantly, the E119A NA mutation (previously reported to confer resistance in the N2 NA subtype was stable in the clade 2.2 H5N1 virus background and induced cross-resistance to oseltamivir carboxylate and zanamivir. We demonstrated that Y252H NA mutation contributed for decreased susceptibility of clade 2.2 H5N1 viruses to oseltamivir carboxylate as compared to clade 1 viruses. The enzyme kinetic parameters (V(max, K(m and K(i of the avian-like N1 NA glycoproteins were highly consistent with their IC(50 values. None of the recombinant H5N1 viruses had attenuated virulence in ferrets inoculated with 10(6 EID(50 dose. Most infected ferrets showed mild clinical disease signs that differed in duration. However, H5N1 viruses carrying the E119A or the N294S NA mutation were lethal to 1 of 3 inoculated animals and were associated with significantly higher virus titers (P<0.01 and inflammation in the lungs compared to the wild-type virus. Our results suggest that highly pathogenic H5N1 variants carrying mutations within the NA active site that decrease susceptibility to NA inhibitors may possess increased

  8. ANALYSIS OF INTERACTION OF PLANT GENOTYPE AND STRAIN AGROBACTERIUM TUMEFACIENS IN BREEDING OF POTATO RESISTANCE TO COLORADO POTATO BEETLE

    Directory of Open Access Journals (Sweden)

    Denis I Bogomaz

    2005-03-01

    Full Text Available Efficiency of potato transformation depends on plant genotype and bacterial strain. Genotypes with high regeneration ability have high transformation ability. It is shown, that transgenosis of Bt gene increases potato resistance to collorado potato beetle, transgenosis of ipt gene does not influence on resistance.

  9. Antifungal activity of terrestrial Streptomyces rochei strain HF391 against clinical azole -resistant Aspergillus fumigatus

    Science.gov (United States)

    Hadizadeh, S; Forootanfar, H; Shahidi Bonjar, GH; Falahati Nejad, M; Karamy Robati, A; Ayatollahi Mousavi, SA; Amirporrostami, S

    2015-01-01

    Background and Purpose: Actinomycetes have been discovered as source of antifungal compounds that are currently in clinical use. Invasive aspergillosis (IA) due to Aspergillus fumigatus has been identified as individual drug-resistant Aspergillus spp. to be an emerging pathogen opportunities a global scale. This paper described the antifungal activity of one terrestrial actinomycete against the clinically isolated azole-resistant A. fumigatus. Materials and Methods: Soil samples were collected from various locations of Kerman, Iran. Thereafter, the actinomycetes were isolated using starch-casein-nitrate-agar medium and the most efficient actinomycetes (capable of inhibiting A. fumigatus) were screened using agar block method. In the next step, the selected actinomycete was cultivated in starch-casein- broth medium and the inhibitory activity of the obtained culture broth was evaluated using agar well diffusion method. Results: The selected actinomycete, identified as Streptomyces rochei strain HF391, could suppress the growth of A. fumigatus isolates which was isolated from the clinical samples of patients treated with azoles. This strain showed higher inhibition zones on agar diffusion assay which was more than 15 mm. Conclusion: The obtained results of the present study introduced Streptomyces rochei strain HF391 as terrestrial actinomycete that can inhibit the growth of clinically isolated A. fumigatus. PMID:28680984

  10. Transcriptome differences between enrofloxacin-resistant and enrofloxacin-susceptible strains of Aeromonas hydrophila

    OpenAIRE

    Zhu, Fengjiao; Yang, Zongying; Zhang, Yiliu; Hu, Kun; Fang, Wenhong

    2017-01-01

    Enrofloxacin is the most commonly used antibiotic to control diseases in aquatic animals caused by A. hydrophila. This study conducted de novo transcriptome sequencing and compared the global transcriptomes of enrofloxacin-resistant and enrofloxacin-susceptible strains. We got a total of 4,714 unigenes were assembled. Of these, 4,122 were annotated. A total of 3,280 unigenes were assigned to GO, 3,388 unigenes were classified into Cluster of Orthologous Groups of proteins (COG) using BLAST an...

  11. Virulence-associated genes, antimicrobial resistance and molecular typing of Salmonella Typhimurium strains isolated from swine from 2000 to 2012 in Brazil.

    Science.gov (United States)

    Almeida, F; Medeiros, M I C; Kich, J D; Falcão, J P

    2016-06-01

    The aims of this study were to assess the pathogenic potential, antimicrobial resistance and genotypic diversity of Salmonella Typhimurium strains isolated in Brazil from swine (22) and the surrounding swine environment (5) from 2000 to 2012 and compare them to the profiles of 43 human strains isolated from 1983 to 2010, which had been previously studied. The presence of 12 SPI-1, SPI-2 and plasmid genes was assessed by PCR, the antimicrobial susceptibility to 13 antimicrobials was determined by the disc diffusion assay and genotyping was performed using pulsed-field gel electrophoresis (PFGE), multiple-locus variable-number of tandem repeats analysis (MLVA) and ERIC-PCR. More than 77·8% of the swine strains carried 10 or more of the virulence markers. Ten (37%) strains isolated from swine were multi-drug resistant (MDR). All the molecular typing techniques grouped the strains in two main clusters. Some strains isolated from swine and humans were allocated together in the PFGE-B2, MLVA-A1, MLVA-B and ERIC-A1 clusters. The genotyping results suggest that some strains isolated from swine and humans may descend from a common subtype and may indicate a possible risk of MDR S. Typhimurium with high frequency of virulence genes isolated from swine to contaminate humans in Brazil. This study provided new information about the pathogenic potential, antimicrobial resistance and genotypic diversity of S. Typhimurium isolates from swine origin in Brazil, the fourth largest producer of pigs worldwide. © 2016 The Society for Applied Microbiology.

  12. Instrument for evaluating the electrical resistance and wavelength-resolved transparency of stretchable electronics during strain

    International Nuclear Information System (INIS)

    Azar, A. D.; Finley, E.; Harris, K. D.

    2015-01-01

    A complete analysis of strain tolerance in a stretchable transparent conductor (TC) should include tracking of both electrical conductivity and transparency during strain; however, transparency is generally neglected in contemporary analyses. In this paper, we describe an apparatus that tracks both parameters while TCs of arbitrary composition are deformed under stretching-mode strain. We demonstrate the tool by recording the electrical resistance and light transmission spectra for indium tin oxide-coated plastic substrates under both linearly increasing strain and complex cyclic strain processes. The optics are sensitive across the visible spectrum and into the near-infrared region (∼400-900 nm), and without specifically optimizing for sampling speed, we achieve a time resolution of ∼200 ms. In our automated analysis routine, we include a calculation of a common TC figure of merit (FOM), and because solar cell electrodes represent a key TC application, we also weigh both our transparency and FOM results against the solar power spectrum to determine “solar transparency” and “solar FOM.” Finally, we demonstrate how the apparatus may be adapted to measure the basic performance metrics for complete solar cells under uniaxial strain

  13. Antibacterial resistance and the success of tailored triple therapy in Helicobacter pylori strains isolated from Slovenian children.

    Science.gov (United States)

    Butenko, Tita; Jeverica, Samo; Orel, Rok; Homan, Matjaž

    2017-10-01

    Primary Helicobacter pylori (H. pylori) infection occurs predominantly in childhood. Antimicrobial resistance is the leading cause for H. pylori eradication failure. The aims of this study were (i) to establish for the first time the antimicrobial resistance of H. pylori strains in infected Slovenian children not previously treated for H. pylori infection and (ii) to evaluate the effectiveness of tailored triple therapy, assuming that eradication rate with tailored triple therapy will be >90%. Data on all treatment-naive children 1-18 years old and treated for H. pylori infection according to susceptibility testing were retrospectively analyzed. All relevant clinical information and demographical information were retrospectively collected from the hospital information systems and/or patients' medical documentation. The inclusion criteria were met by 107 children (64.5% girls) with a median age of 12.0 years (range 2.0-17.6 years). Primary antimicrobial resistance rates of H. pylori were 1.0% to amoxicillin (AMO), 23.4% to clarithromycin (CLA), 20.2% to metronidazole (MET), 2.8% to levofloxacin (LEV), and 0.0% to tetracycline (TET). Dual resistances were detected to CLA and MET in 11.5% (n=12) of strains, to CLA and LEV in 2.8% (n=3), and to MET and LEV in 2.9% (n=3). Results of treatment success were available for 71 patients (66.2% girls). Eradication of H. pylori was evaluated using the 13C-urea breath test, monoclonal stool antigen test or in some cases with repeated upper GI endoscopy with histology and cultivation/molecular tests. Eradication was achieved in 61 of 71 (85.9%) patients. The primary resistance rates of H. pylori to CLA and MET in Slovenia are high. Our data strongly support the fact that in countries with high prevalence of resistant H. pylori strains susceptibility testing and tailored therapy is essential. © 2017 The Authors Helicobacter Published by John Wiley & Sons Ltd.

  14. Cross-resistance, inheritance and biochemical mechanisms of imidacloprid resistance in B-biotype Bemisia tabaci.

    Science.gov (United States)

    Wang, Zhenyu; Yao, Mingde; Wu, Yidong

    2009-11-01

    The B-type Bemisia tabaci (Gennadius) has become established in many regions in China, and neonicotinoids are extensively used to control this pest. Imidacloprid resistance in a laboratory-selected strain of B-type B. tabaci was characterised in order to provide the basis for recommending resistance management tactics. The NJ-Imi strain of B-type B. tabaci was selected from the NJ strain with imidacloprid for 30 generations. The NJ-Imi strain exhibited 490-fold resistance to imidacloprid, high levels of cross-resistance to three other neonicotinoids, low levels of cross-resistance to monosultap, cartap and spinosad, but no cross-resistance to abamectin and cypermethrin. Imidacloprid resistance in the NJ-Imi strain was autosomal and semi-dominant. It is shown that enhanced detoxification mediated by cytochrome-P450-dependent monooxygenases contributes to imidacloprid resistance to some extent in the NJ-Imi strain. Results from synergist bioassays and cross-resistance patterns indicated that target-site insensitivity may be involved in imidacloprid resistance in the NJ-Imi strain of B. tabaci. Although oxidative detoxification mediated by P450 monooxygenases is involved in imidacloprid resistance in the NJ-Imi strain of B-type B. tabaci, target-site modification as an additional resistance mechanism cannot be ruled out. Considering the high risk of cross-resistance, neonicotinoids should be regarded as a single group when implementing an insecticide rotation scheme in B. tabaci control. (c) 2009 Society of Chemical Industry.

  15. Technological characterization and survival of the exopolysaccharide-producing strain Lactobacillus delbrueckii subsp. lactis 193 and its bile-resistant derivative 193+ in simulated gastric and intestinal juices.

    Science.gov (United States)

    Burns, Patricia; Vinderola, Gabriel; Reinheimer, Jorge; Cuesta, Isabel; de Los Reyes-Gavilán, Clara G; Ruas-Madiedo, Patricia

    2011-08-01

    The capacity of lactic acid bacteria to produce exopolysaccharides (EPS) conferring microorganisms a ropy phenotype could be an interesting feature from a technological point of view. Progressive adaptation to bile salts might render some lactobacilli able to overcome physiological gut barriers but could also modify functional properties of the strain, including the production of EPS. In this work some technological properties and the survival ability in simulated gastrointestinal conditions of Lactobacillus delbrueckii subsp. lactis 193, and Lb. delbrueckii subsp. lactis 193+, a strain with stable bile-resistant phenotype derived thereof, were characterized in milk in order to know whether the acquisition of resistance to bile could modify some characteristics of the microorganism. Both strains were able to grow and acidify milk similarly; however the production of ethanol increased at the expense of the aroma compound acetaldehyde in milk fermented by the strain 193+, with respect to milk fermented by the strain 193. Both microorganisms produced a heteropolysaccharide composed of glucose and galactose, and were able to increase the viscosity of fermented milks. In spite of the higher production yield of EPS by the bile-resistant strain 193+, it displayed a lower ability to increase viscosity than Lb. delbrueckii subsp. lactis 193. Milk increased survival in simulated gastric juice; the presence of bile improved adhesion to the intestinal cell line HT29-MTX in both strains. However, the acquisition of a stable resistance phenotype did not improve survival in simulated gastric and intestinal conditions or the adhesion to the intestinal cell line HT29-MTX. Thus, Lb. delbrueckii subsp. lactis 193 presents suitable technological properties for the manufacture of fermented dairy products; the acquisition of a stable bile-resistant phenotype modified some properties of the microorganism. This suggests that the possible use of bile-resistant derivative strains should be

  16. Research on the Phenotypic Characterization of Mrsa Strains Isolated from Animals

    Directory of Open Access Journals (Sweden)

    Iulia Maria BUCUR

    2017-05-01

    Full Text Available Keywords: chromogen, methicillin, MRSA, resistance Introduction: Currently, both in staphylococci isolated from animals with different diseases, as well as in humans, the MRSA strains (Methicillin Resistant S. aureus are monitored, as the methicillin resistance is associated with the resistance to other antibiotic groups. Methicillin resistance is encoded by mec staphylococcal chromosomal cassettes (SCCmec, which are islands of resistance. These strains can be identified by molecular biology tests and tests that reveal several phenotypic characteristics. The research was made in order to characterize and identify phenotypically the MRSA staphylococci strains isolated from animals. Materials and Methods: Researches were made on 240 coagulase positive and coagulase negative strains of staphylococci. Mannitol fermentation was tested on Champan medium, free coagulase was revealed on Baird-Parker medium and to identify S. aureus subsp. aureus was used the chromogenic medium Chromatic Staph. Methicillin-resistant strains were detected by disc diffusion method, using biodiscs with methicillin, oxacillin and cefoxitin. Also, to identify the MRSA strains, was used the chromogenic medium Chromatic MRSA. Results: The isolates were positive to mannitol and produced complete haemolysis or were unhaemolytic. A total of 44 strains produced free coagulase on Baird-Parker medium, considered coagulase positive strains, while 196 were coagulase negative strains. The isolates conducted differently to methicillin: 22,08% of strains were resistant, 51,25% of strains were susceptible and 26,66% had intermediate resistance, while the resistant strains to oxacillin were 42,91%. The increased frequency of methicillin-resistant strains of staphylococci and, particularly, MRSA strains, determined using the cefoxitin disk diffusion test, which is more reliable than methicillin and oxacillin. On the MRSA chromogenic medium, the methicillin-resistant strains of staphylococci

  17. Comparison of the protective resistance induced by 60Co-irradiated cercariae and schistosomula of the WFFS and NMRI strains of Schistosoma mansoni

    International Nuclear Information System (INIS)

    James, E.R.; Dobinson, A.R.

    1985-01-01

    Mice, CBA/HT6T6 and C57BL/10, were vaccinated with 1 x 350 or 1 x 500 Schistosoma mansoni cercariae or schistosomula attenuated with 20 or 56 krad 60 Co irradiation and challenged with 200 cercariae. Protective resistance against homologous strain challenge was compared using the Winches Farm Field Station (WFFS) and Naval Medical Research Institute (NMRI) strains of S. mansoni. Maximal resistance to challenge was obtained in both strains of mice with cercariae or schistosomula of either WFFS or NMRI strain attenuated with 20 krad. Protection using organisms attenuated with 56 krad was significantly lower. Since previous studies with the two parasite strains have shown that the biological effects of irradiation are similar, the difference in the immunogenicity of the 56 krad-irradiated NMRI strain in this study from earlier studies must be due either to different local conditions for irradiation or to adaptation of the NMRI strain to a new laboratory environment. This finding may have important implications for vaccination studies and investigations of the mechanisms of immunity where radiation-attenuated parasites are used. (author)

  18. Longitudinal genotyping of Candida dubliniensis isolates reveals strain maintenance, microevolution, and the emergence of itraconazole resistance.

    LENUS (Irish Health Repository)

    Fleischhacker, M

    2010-05-01

    We investigated the population structure of 208 Candida dubliniensis isolates obtained from 29 patients (25 human immunodeficiency virus [HIV] positive and 4 HIV negative) as part of a longitudinal study. The isolates were identified as C. dubliniensis by arbitrarily primed PCR (AP-PCR) and then genotyped using the Cd25 probe specific for C. dubliniensis. The majority of the isolates (55 of 58) were unique to individual patients, and more than one genotype was recovered from 15 of 29 patients. A total of 21 HIV-positive patients were sampled on more than one occasion (2 to 36 times). Sequential isolates recovered from these patients were all closely related, as demonstrated by hybridization with Cd25 and genotyping by PCR. Six patients were colonized by the same genotype of C. dubliniensis on repeated sampling, while strains exhibiting altered genotypes were recovered from 15 of 21 patients. The majority of these isolates demonstrated minor genetic alterations, i.e., microevolution, while one patient acquired an unrelated strain. The C. dubliniensis strains could not be separated into genetically distinct groups based on patient viral load, CD4 cell count, or oropharyngeal candidosis. However, C. dubliniensis isolates obtained from HIV-positive patients were more closely related than those recovered from HIV-negative patients. Approximately 8% (16 of 194) of isolates exhibited itraconazole resistance. Cross-resistance to fluconazole was only observed in one of these patients. Two patients harboring itraconazole-resistant isolates had not received any previous azole therapy. In conclusion, longitudinal genotyping of C. dubliniensis isolates from HIV-infected patients reveals that isolates from the same patient are generally closely related and may undergo microevolution. In addition, isolates may acquire itraconazole resistance, even in the absence of prior azole therapy.

  19. Analysis of transcriptome differences between resistant and susceptible strains of the citrus red mite Panonychus citri (Acari: Tetranychidae.

    Directory of Open Access Journals (Sweden)

    Bin Liu

    Full Text Available BACKGROUND: The citrus red mite is a worldwide citrus pest and a common sensitizing allergen of asthma and rhinitis. It has developed strong resistance to many registered acaricides, However, the molecular mechanisms of resistance remain unknown. we therefore used next generation sequencing technology to investigate the global transcriptomes between resistant strains and susceptible strains. RESULTS: We obtained 34,159, 30,466 and 32,217 unigenes by assembling the SS reads, RS reads and SS&RS reads respectively. There are total 17,581 annotated unigenes from SS&RS reads by BLAST searching databases of nr, the Clusters of Orthologous Groups (COGs and Kyoto Encyclopedia of Genes and Genomes (KEGG with an E-value ≤ 1e-5, in which 7,075 unigenes were annotated in the COG database, 12, 712 unigenes were found in the KEGG database and 3,812 unigenes were assigned to Gene ontology (GO. Moreover, 2,701 unigenes were judged to be the differentially expressed genes (DEGs based on the uniquely mapped reads. There are 219 pathways in all annotated unigenes and 198 pathways in DEGs that mapped to the KEGG database. We identified 211 metabolism genes and target genes related to general insecticide resistance such as P450 and Cytochrome b, and further compared their differences between RS and SS. Meanwhile, we identified 105 and 194 genes related to growth and reproduction, respectively, based on the mode of action of Hexythiazox. After further analyses, we found variation in sequences but not in gene expression related to mite growth and reproduction between different strains. CONCLUSION: To our knowledge, this is the first comparative transcriptome study to discover candidate genes involved in phytophagous mite resistance. This study identified differential unigenes related to general pesticide resistance and organism growth and reproduction in P. citri. The assembled, annotated transcriptomes provide a valuable genomic resource for further understanding

  20. Lack of fitness costs and inheritance of resistance to Bacillus thuringiensis Cry1Ac toxin in a near-isogenic strain of Plutella xylostella (Lepidoptera: Plutellidae).

    Science.gov (United States)

    Zhu, Xun; Yang, Yanjv; Wu, Qingjun; Wang, Shaoli; Xie, Wen; Guo, Zhaojiang; Kang, Shi; Xia, Jixing; Zhang, Youjun

    2016-02-01

    Resistance to Bacillus thuringiensis (Bt) formulations in insects may be associated with fitness costs. A lack of costs enables resistance alleles to persist, which may contribute to the rapid development and spread of resistance in populations. To assess the fitness costs associated with Bt Cry1Ac resistance in Plutella xylostella, life tables were constructed for a near-isogenic resistant strain (NIL-R) and a susceptible strain in this study. No fitness costs associated with Cry1Ac resistance in NIL-R were detected, based on the duration of egg and larval stages, the survival of eggs and larvae, adult longevity, fecundity, net reproductive rate, gross reproduction rate, finite rate of increase and mean generation time. Based on log dose-probit lines, resistance in NIL-R is incompletely recessive and results from a single, autosomal, recessive locus; the degree of dominance was estimated to be -0.74 and -0.71 for F1 (resistant ♀ × susceptible ♂) and F1 ' (susceptible ♀ × resistant ♂) progeny respectively. Assessment of near-isogenic Cry1Ac-resistant and Cry1Ac-susceptible strains of P. xylostella indicated that resistance is not accompanied with fitness costs, and that resistance is incompletely recessive. These findings should be useful in managing the development of Bt Cry1Ac resistance. © 2015 Society of Chemical Industry.

  1. Reliability of the MicroScan WalkAway PC21 panel in identifying and detecting oxacillin resistance in clinical coagulase-negative staphylococci strains.

    Science.gov (United States)

    Olendzki, A N; Barros, E M; Laport, M S; Dos Santos, K R N; Giambiagi-Demarval, M

    2014-01-01

    The purpose of this study was to determine the reliability of the MicroScan WalkAway PosCombo21 (PC21) system for the identification of coagulase-negative staphylococci (CNS) strains and the detection of oxacillin resistance. Using molecular and phenotypic methods, 196 clinical strains were evaluated. The automated system demonstrated 100 % reliability for the identification of the clinical strains Staphylococcus haemolyticus, Staphylococcus hominis and Staphylococcus cohnii; 98.03 % reliability for the identification of Staphylococcus epidermidis; 70 % reliability for the identification of Staphylococcus lugdunensis; 40 % reliability for the identification of Staphylococcus warneri; and 28.57 % reliability for the identification of Staphylococcus capitis, but no reliability for the identification of Staphylococcus auricularis, Staphylococcus simulans and Staphylococcus xylosus. We concluded that the automated system provides accurate results for the more common CNS species but often fails to accurately identify less prevalent species. For the detection of oxacillin resistance, the automated system showed 100 % specificity and 90.22 % sensitivity. Thus, the PC21 panel detects oxacillin-resistant strains, but is limited by the heteroresistance that is observed when using most phenotypic methods.

  2. Antibiotic resistance in Escherichia coli strains isolated from Antarctic bird feces, water from inside a wastewater treatment plant, and seawater samples collected in the Antarctic Treaty area

    Science.gov (United States)

    Rabbia, Virginia; Bello-Toledo, Helia; Jiménez, Sebastián; Quezada, Mario; Domínguez, Mariana; Vergara, Luis; Gómez-Fuentes, Claudio; Calisto-Ulloa, Nancy; González-Acuña, Daniel; López, Juana; González-Rocha, Gerardo

    2016-06-01

    Antibiotic resistance is a problem of global concern and is frequently associated with human activity. Studying antibiotic resistance in bacteria isolated from pristine environments, such as Antarctica, extends our understanding of these fragile ecosystems. Escherichia coli strains, important fecal indicator bacteria, were isolated on the Fildes Peninsula (which has the strongest human influence in Antarctica), from seawater, bird droppings, and water samples from inside a local wastewater treatment plant. The strains were subjected to molecular typing with pulsed-field gel electrophoresis to determine their genetic relationships, and tested for antibiotic susceptibility with disk diffusion tests for several antibiotic families: β-lactams, quinolones, aminoglycosides, tetracyclines, phenicols, and trimethoprim-sulfonamide. The highest E. coli count in seawater samples was 2400 cfu/100 mL. Only strains isolated from seawater and the wastewater treatment plant showed any genetic relatedness between groups. Strains of both these groups were resistant to β-lactams, aminoglycosides, tetracycline, and trimethoprim-sulfonamide.In contrast, strains from bird feces were susceptible to all the antibiotics tested. We conclude that naturally occurring antibiotic resistance in E. coli strains isolated from Antarctic bird feces is rare and the bacterial antibiotic resistance found in seawater is probably associated with discharged treated wastewater originating from Fildes Peninsula treatment plants.

  3. Resistance pattern of Helicobacter pylori strains to clarithromycin, metronidazole, and amoxicillin in Isfahan, Iran

    Directory of Open Access Journals (Sweden)

    Farzad Khademi

    2013-01-01

    Full Text Available Background: Helicobacter pylori (H. pylori resistance to antibiotics has become a global problem and is an important factor in determining the outcome of treatment of infected patients. The purpose of this study was to determine the H. pylori resistance to clarithromycin, metronidazole, and amoxicillin in gastrointestinal disorders patients. Materials and Methods: In this study, a total of 260 gastric antrum biopsy specimens were collected from patients with gastrointestinal disorders who referred to Endoscopy Section of the Isfahan Hospitals. The E-test and Modified Disk Diffusion Method (MDDM were used to verify the prevalence of antibiotic resistance in 78 H. pylori isolates to the clarithromycin, metronidazole, and amoxicillin. Results: H. pylori resistance to clarithromycin, metronidazole, and amoxicillin were 15.3, 55.1, and 6.4%, respectively. In this studyΈ we had one multidrug resistance (MDR isolates from patient with gastritis and peptic ulcer disease. Conclusion: Information on antibiotic susceptibility profile plays an important role in empiric antibiotic treatment and management of refractive cases. According to the results obtained in this study, H. pylori resistance to clarithromycin and metronidazole was relatively high. MDR strains are emerging and will have an effect on the combination therapy.

  4. AVALIAÇÃO DO TRATAMENTO REALIZADO COM O ANTIVIRAL FOSFATO DE OSELTAMIVIR (TAMIFLU® E OS EXAMES LABORATORIAIS DE PACIENTES DIAGNOSTICADOS COM GRIPE A SUBTIPO H1N1 EM UM HOSPITAL DA CIDADE DE TOLEDO – PARANÁ, BRASIL

    Directory of Open Access Journals (Sweden)

    Kiara Regina Canzi

    2015-12-01

    Full Text Available O fosfato de oseltamivir, uma pró-droga do carboxilato de oseltamivir, é um inibidor potente e seletivo das enzimas neuraminidase. A atividade da enzima viral, neuraminidase, é importante tanto para a entrada do vírus em células não infectadas quanto para a liberação de partículas virais. O carboxilato de oseltamivir inibe a neuraminidase do vírus da gripe de ambos os tipos: Influenza A e B, impedindo a replicação do mesmo. 46 pacientes com idades entre 1 e 76 anos de idade, de ambos os sexos, internados em um Hospital na cidade de Toledo durante o período de Junho de 2009 a Janeiro de 2010, com casos confirmados ou suspeitos de gripe A subtipo H1N1. Durante o período de internamento, foi avaliado o uso do Fosfato de Oseltamivir, bem como reações adversas e tempo de uso do medicamento e os exames empregados para auxiliar o diagnóstico (Hemograma completo e a gasometria arterial. O tempo de uso do medicamento não excedeu o preconizado, o qual foi de 5 (cinco dias ininterruptos, e entre as reações adversas ou efeitos colaterais estão náusea (43,47%, cefaléia (8,69% e vômitos (17,39%. As alterações laboratoriais evidenciam leucócitos normais (média de 9.145 mL, plaquetas de 246.166 mm³, pH sanguíneo (gasometria arterial levemente ácido e PO2 (mmHg e SO2 abaixo dos valores de referência. A abordagem da infecção pelo vírus Influenza A H1N1 2009 representa desafio epidemiológico-clinico-laboratorial-terapêutico em todo o mundo. Logo, requer esforço coletivo para impedir o seu avanço e os riscos de letalidade e mortalidade incluídos em sua disseminação.

  5. Comparison of multilocus sequence typing, RAPD, and MALDI-TOF mass spectrometry for typing of β-lactam-resistant Klebsiella pneumoniae strains.

    Science.gov (United States)

    Sachse, Svea; Bresan, Stephanie; Erhard, Marcel; Edel, Birgit; Pfister, Wolfgang; Saupe, Angela; Rödel, Jürgen

    2014-12-01

    Extended spectrum of β-lactam (ESBL) resistance of Klebsiella pneumoniae has become an increasing problem in hospital infections. Typing of isolates is important to establish the intrahospital surveillance of resistant clones. In this study, the discriminatory potential of randomly amplified polymorphic DNA and matrix-assisted laser desorption ionization-time of flight (MALDI-TOF) analyses were compared with multilocus sequence typing (MLST) by using 17 β-lactam-resistant K. pneumoniae isolates of different genotypes. MLST alleles were distributed in 8 sequence types (STs). Among ESBL strains of the same ST, the presence of different β-lactamase genes was common. RAPD band patterns also revealed 8 types that corresponded to MLST-defined genotypes in 15 out of 17 cases. MALDI-TOF analysis could differentiate 5 clusters of strains. The results of this work show that RAPD may be usable as a rapid screening method for the intrahospital surveillance of K. pneumoniae, allowing a discrimination of clonally related strains. MALDI-TOF-based typing was not strongly corresponding to genotyping and warrants further investigation. Copyright © 2014 Elsevier Inc. All rights reserved.

  6. Activation of Multiple Antibiotic Resistance in Uropathogenic Escherichia coli Strains by Aryloxoalcanoic Acid Compounds

    Science.gov (United States)

    Balagué, Claudia; Véscovi, Eleonora García

    2001-01-01

    Clofibric and ethacrynic acids are prototypical pharmacological agents administered in the treatment of hypertrigliceridemia and as a diuretic agent, respectively. They share with 2,4-dichlorophenoxyacetic acid (the widely used herbicide known as 2,4-D) a chlorinated phenoxy structural moiety. These aryloxoalcanoic agents (AOAs) are mainly excreted by the renal route as unaltered or conjugated active compounds. The relatedness of these agents at the structural level and their potential effect on therapeutically treated or occupationally exposed individuals who are simultaneously undergoing a bacterial urinary tract infection led us to analyze their action on uropathogenic, clinically isolated Escherichia coli strains. We found that exposure to these compounds increases the bacterial resistance to an ample variety of antibiotics in clinical isolates of both uropathogenic and nonpathogenic E. coli strains. We demonstrate that the AOAs induce an alteration of the bacterial outer membrane permeability properties by the repression of the major porin OmpF in a micF-dependent process. Furthermore, we establish that the antibiotic resistance phenotype is primarily due to the induction of the MarRAB regulatory system by the AOAs, while other regulatory pathways that also converge into micF modulation (OmpR/EnvZ, SoxRS, and Lrp) remained unaltered. The fact that AOAs give rise to uropathogenic strains with a diminished susceptibility to antimicrobials highlights the impact of frequently underestimated or ignored collateral effects of chemical agents. PMID:11353631

  7. PERCENTAGE OF CIPROFLOXACIN-RESISTANT STRAINS OF CITROBACTER FREUNDII IN ACUTE LEUKAEMIA PATIENTS WITH CIPROFLOXACIN PROPHYLAXIS

    Directory of Open Access Journals (Sweden)

    Rika Strauch

    2004-12-01

    Full Text Available Background. Authors tried to determine an efficiency of ciprofloxacin as infection prophylaxis in patients with acute leukaemia treated at the Department of Haematology in Clinical Center of Ljubljana. Due to cytotoxic chemotherapy, aplasia of bone marrow is inevitable. Therefore, these patients are at high risk for bacterial and fungal infection. The authors have noticed a rise in the number of ciprofloxacin-resistant strains of Citrobacter freundii and decided to find out if ciprofloxacin is still usable in this setting.Patients and methods. 45 patients with acute leukaemia were admitted to the Department of Haematology in the Clinical Center of Ljubljana during the year 2001 and 2002. All the patients received ciprofloxacin 2 × 500 mg on a daily basis. Citrobacter freundii was isolated in 11 patients, to whom we determined the proportion of ciprofloxacin-resistant strains of Citrobacter freundii and other Enterobacteriaceae. Susceptibility testing was done by the NCCCLS standards by the disc diffusion method and minimal inhibitory concentration.Results. C. freundii was isolated in 11 patients with AL. Extended-spectrum beta-lactamases (ESBL C. freundii was isolated in 6 patients (54.5%. Ciprofloxacin-resistant C. freundii was isolated in 6 patients (54.5%. Six patients (54.5% had ciprofloxacin-resistant C. freundii which was ESBL positive at the same time. In AL patients with C. freundii (n = 11 almost half of isolated bacteria were Gram negative bacilli (45.2%, n = 292, mostly from the family of Enterobacteriaceae. More than half of enterobacteria were ciprofloxacin-resistant, one third of them were also ESBL positive. Out of 131 enterobacteria, C. freundii was isolated 37 times. (28.2%.Conclusions. C. freundii was isolated in one fourth of AL patients. Half of the isolates were ciprofloxacin-resistant. The same was true for isolated enterobacteria. Almost all of ciprofloxacin-resistant bacteria were ESBL positive. There is a question

  8. Isolation and identification of Aeromonas caviae strain KS-1 as TBTC- and lead-resistant estuarine bacteria.

    Science.gov (United States)

    Shamim, Kashif; Naik, Milind Mohan; Pandey, Anju; Dubey, Santosh Kumar

    2013-06-01

    Tributyltin chloride (TBTC)- and lead-resistant estuarine bacterium from Mandovi estuary, Goa, India was isolated and identified as Aeromonas caviae strain KS-1 based on biochemical characteristics and FAME analysis. It tolerates TBTC and lead up to 1.0 and 1.4 mM, respectively, in the minimal salt medium (MSM) supplemented with 0.4 % glucose. Scanning electron microscopy clearly revealed a unique morphological pattern in the form of long inter-connected chains of bacterial cells on exposure to 1 mM TBTC, whereas cells remained unaltered in presence of 1.4 mM Pb(NO₃)₂ but significant biosorption of lead (8 %) on the cell surface of this isolate was clearly revealed by scanning electron microscopy coupled with energy dispersive X-ray spectroscopy. SDS-PAGE analysis of whole-cell proteins of this lead-resistant isolate interestingly demonstrated three lead-induced proteins with molecular mass of 15.7, 16.9 and 32.4 kDa, respectively, when bacterial cells were grown under the stress of 1.4 mM Pb (NO₃)₂. This clearly demonstrated their possible involvement exclusively in lead resistance. A. caviae strain KS-1 also showed tolerance to several other heavy metals, viz. zinc, cadmium, copper and mercury. Therefore, we can employ this TBTC and lead-resistant bacterial isolate for lead bioremediation and also for biomonitoring TBTC from lead and TBTC contaminated environment.

  9. Characterization of antimicrobial resistance in Salmonella enterica strains isolated from Brazilian poultry production.

    Science.gov (United States)

    Mattiello, Samara P; Drescher, Guilherme; Barth, Valdir C; Ferreira, Carlos A S; Oliveira, Sílvia D

    2015-11-01

    Antimicrobial resistance profiles and presence of resistance determinants and integrons were evaluated in Salmonella enterica strains from Brazilian poultry. The analysis of 203 isolates showed that those from the poultry environment (88 isolates) were significantly more resistant to antimicrobials than isolates from other sources, particularly those isolated from poultry by-product meal (106 isolates). Thirty-seven isolates were resistant to at least three antimicrobial classes. Class 1 integrons were detected in 26 isolates, and the analysis of the variable region between the 5' conserved segment (CS) and 3' CS of each class 1 integron-positive isolate showed that 13 contained a typical 3' CS and 14 contained an atypical 3' CS. One Salmonella Senftenberg isolate harbored two class 1 integrons, showing both typical and atypical 3' CSs. The highest percentage of resistance was found to sulfonamides, and sul genes were detected in the majority of the resistant isolates. Aminoglycoside resistance was detected in 50 isolates, and aadA and aadB were present in 28 and 32 isolates, respectively. In addition, strA and strB were detected in 78.1 and 65.6% isolates resistant to streptomycin, respectively. Twenty-one isolates presented reduced susceptibility to β-lactams and harbored bla(TEM), bla(CMY), and/or bla(CTX-M). Forty isolates showed reduced susceptibility to tetracycline, and most presented tet genes. These results highlight the importance of the environment as a reservoir of resistant Salmonella, which may enable the persistence of resistance determinants in the poultry production chain, contributing, therefore, to the debate regarding the impacts that antimicrobial use in animal production may exert in human health.

  10. Frequency of resistance to methicillin and other antimicrobial agents among Staphylococcus aureus strains isolated from pigs and their human handlers in Trinidad

    Directory of Open Access Journals (Sweden)

    Annika Gordon

    2014-04-01

    Full Text Available Background: Methicillin-resistant Staphylococcus aureus (MRSA has emerged recently worldwide in production animals, particularly pigs and veal calves, which act as reservoirs for MRSA strains for human infection. The study determined the prevalence of MRSA and other resistant strains of S. aureus isolated from the anterior nares of pigs and human handlers on pig farms in Trinidad. Methods: Isolation of S. aureus was done by concurrently inoculating Baird-Parker agar (BPA and Chromagar MRSA (CHROM with swab samples and isolates were identified using standard methods. Suspect MRSA isolates from Chromagar and BPA were subjected to confirmatory test using Oxoid PBP2 latex agglutination test. The disc diffusion method was used to determine resistance to antimicrobial agents. Results: The frequency of isolation of MRSA was 2.1% (15 of 723 for pigs but 0.0% (0 of 72 for humans. Generally, for isolates of S. aureus from humans there was a high frequency of resistance compared with those from pigs, which had moderate resistance to the following antimicrobials: penicillin G (54.5%, 51.5%, ampicillin (59.1%, 49.5%, and streptomycin (59.1%, 37.1%, respectively. There was moderate resistance to tetracycline (36.4%, 41.2% and gentamycin (27.2%, 23.7% for human and pig S. aureus isolates, respectively, and low resistance to sulfamethoxazole-trimethoprim (4.5%, 6.2% and norfloxacin (9.1%, 12.4%, respectively. The frequency of resistance to oxacillin by the disc method was 36.4 and 34.0% from S. aureus isolates from humans and pigs, respectively. Out of a total of 78 isolates of S. aureus from both human and pig sources that were resistant to oxacillin by the disc diffusion method, only 15 (19.2% were confirmed as MRSA by the PBP'2 latex test kit. Conclusions: The detection of MRSA strains in pigs, albeit at a low frequency, coupled with a high frequency of resistance to commonly used antimicrobial agents in pig and humans could have zoonotic and therapeutic

  11. Gestión del riesgo en la transferencia de procesos productivos: Aplicación a la fabricación de comprimidos de oseltamivir en la pandemia de gripe A Risk management in the transfer of manufacturing processes: Application to the manufacturing of oseltamivir tablets in the swine flu pandemic

    OpenAIRE

    A. Juberías Sánchez; A. Zamanillo Sainz; JI. Cabrera Merino; M. Verón Moros; MªL. Urquía Grande; MªL. Gonzalo Salado

    2011-01-01

    Introducción: La gestión de riesgos aplicada a la industria farmacéutica, a través de su identificación, valoración y control, es una herramienta útil para garantizar la calidad del medicamento. La declaración por la Organización Mundial de la Salud en el año 2.009, de la pandemia provocada por el virus de la influenza H1N1, origina la necesidad de transformar en medicamento parte de las reservas estratégicas de fosfato de oseltamivir, pertenecientes al Ministerio de Sanidad y Política Social...

  12. Study of antagonistic effects of Lactobacillus strains as probiotics on multi drug resistant (MDR) bacteria isolated from urinary tract infections (UTIs).

    Science.gov (United States)

    Naderi, Atiyeh; Kasra-Kermanshahi, Roha; Gharavi, Sara; Imani Fooladi, Abbas Ali; Abdollahpour Alitappeh, Meghdad; Saffarian, Parvaneh

    2014-03-01

    Urinary tract infection (UTI) caused by bacteria is one of the most frequent infections in human population. Inappropriate use of antibiotics, often leads to appearance of drug resistance in bacteria. However, use of probiotic bacteria has been suggested as a partial replacement. This study was aimed to assess the antagonistic effects of Lactobacillus standard strains against bacteria isolated from UTI infections. Among 600 samples; those with ≥10,000 cfu/ml were selected as UTI positive samples. Enterococcus sp., Klebsiella pneumoniae, Enterobacter sp., and Escherichia coli were found the most prevalent UTI causative agents. All isolates were screened for multi drug resistance and subjected to the antimicrobial effects of three Lactobacillus strains by using microplate technique and the MICs amounts were determined. In order to verify the origin of antibiotic resistance of isolates, plasmid curing using ethidium bromide and acridine orange was carried out. No antagonistic activity in Lactobacilli suspension was detected against test on Enterococcus and Enterobacter strains and K. pneumoniae, which were resistant to most antibiotics. However, an inhibitory effect was observed for E. coli which were resistant to 8-9 antibiotics. In addition, L. casei was determined to be the most effective probiotic. RESULTS from replica plating suggested one of the plasmids could be related to the gene responsible for ampicillin resistance. Treatment of E. coli with probiotic suspension was not effective on inhibition of the plasmid carrying hypothetical ampicillin resistant gene. Moreover, the plasmid profiles obtained from probiotic-treated isolates were identical to untreated isolates.

  13. Drug resistance and genotypes of strains of Mycobacterium tuberculosis isolated from human immunodeficiency virus-infected and non-infected tuberculosis patients in Bauru, São Paulo, Brazil

    Directory of Open Access Journals (Sweden)

    Baptista Ida Maria Foschiani Dias

    2002-01-01

    Full Text Available Little is known about transmission and drug resistance of tuberculosis (TB in Bauru, State of São Paulo. The objective of this study was to evaluate risk factors for transmission of Mycobacterium tuberculosis strains in this area. Strains were collected from patients attended at ambulatory services in the region and susceptibility towards the main first line antibiotics was determined and fingerprinting performed. A total of 57 strains were submitted to susceptibility testing: 23 (42.6% were resistant to at least one drug while 3 (13% were resistant against both rifampicin and isoniazide. Resistant strains had been isolated from patients that had not (n = 13 or had (n = 9 previously been submitted to anti-TB treatment, demonstrating a preoccupying high level of primary resistance in the context of the study. All strains were submitted to IS6110 restriction fragment length polymorphism (IS6110-RFLP and double repetitive element PCR (DRE-PCR. Using IS6110-RFLP, 26.3% of the strains were clustered and one cluster of 3 patients included 2 HIV-infected individuals that had been hospitalized together during 16 days; clustering of strains of patients from the hospital was however not higher than that of patients attended at health posts. According to DRE-PCR, 55.3% belonged to a cluster, confirming the larger discriminatory power of IS6110-RFLP when compared to DRE-PCR, that should therefore be used as a screening procedure only. No clinical, epidemiological or microbiological characteristics were associated with clustering so risk factors for transmission of TB could not be defined in the present study.

  14. Draft Genome Sequence of Neisseria gonorrhoeae Strain NG_869 with Penicillin, Tetracycline and Ciprofloxacin Resistance Determinants Isolated from Malaysia

    OpenAIRE

    Ang, Geik Yong; Yu, Choo Yee; Yong, Delicia Ann; Cheong, Yuet Meng; Yin, Wai-Fong; Chan, Kok-Gan

    2016-01-01

    Gonorrhea is a sexually transmitted infection caused by Neisseria gonorrhoeae and the increasing reports of multidrug-resistant gonococcal isolates are a global public health care concern. Herein, we report the genome sequence of N. gonorrhoeae strain NG_869 isolated from Malaysia which may provide insights into the drug resistance determinants in gonococcal bacteria.

  15. Enhanced resistance to both γ rays and neutrons in a Li-Fraumeni syndrome strain

    International Nuclear Information System (INIS)

    Gentner, N.E.; Smith, B.P.; Mirzayans, R.; Paterson, M.C.

    1985-01-01

    The authors have been investigating the radioresistance (RR) phenotype in a fibroblast strain derived from an affected member in a Li-Fraumeni syndrome family. The strain's D(10) value for acute exposure to Co-60 γ rays is 5.59+-0.42 Cy, compared to a composite value of 3.82+-0.09 Gy for normal controls. This difference is highly significant, giving a dose enhancement factor (DEF) of 1.46. The RR trait is independent of radiation quality in that the strain manifests the same degree of resistance (DEF=1.45) for high LET (14 MeV neutrons) radiation [D(10)=2.92 Gy, vs 2.01 Gy for ''normal'']. In contrast, the dose reduction factor for radiosensitive ataxia telangiectasia strains is diminished for 14 MeV neutrons (1.6) compared to γ rays (2.9), a finding consistent with a deficiency in DNA repair. In keeping with these combined data, the RR phenotype cannot be ascribed to a hyperactive repair process, since several conventional assays have yielded normal kinetics for the removal of radiogenic damage in the RR strain. Rather, its radioresistance may stem from a peculiar ability to ''buy more time'' for repair of non-coding lesions in DNA

  16. Prevalence of Antibiotic-resistance Enterobacteriaceae strains Isolated from Chicken Meat at Traditional Markets in Surabaya, Indonesia

    Science.gov (United States)

    Yulistiani, R.; Praseptiangga, D.; Supyani; Sudibya; Raharjo, D.; Shirakawa, T.

    2017-04-01

    Antibiotic resistance in bacteria from the family Enterobacteriaceae is an important indicator of the emergence of resistant bacterial strains in the community. This study investigated the prevalence of antibiotic-resistant Enterobacteriaceae isolated from chicken meat sold at traditional markets in Surabaya Indonesia. In all, 203 isolates (43 Salmonella spp., 53 Escherichia coli, 16 Shigella spp., 22 Citrobacter spp., 13 Klebsiella spp, 24 Proteus spp., 15 Yersinia spp., 7 Enterobacter spp., 6 Serratia spp., 3 Edwardsiella spp. were resistant to tetracycline (69.95 %), nalidixid acid (54.19 %), sulfamethoxazole/sulfamethizole (42.36 %), chloramphenicol (12.81%), cefoxitin (6.40 %), gentamicin (5.91 %). Tetracycline was the antimicrobial that showed the highest frequency of resistance among Salmonella, E. coli, Citrobacter, Proteus and Erdwardsiella isolates, and nalidixid acid was second frequency of resistance. Overall, 124 (61.08 %) out of 203 isolates demonstrated multidrug resistance to at least two unrelated antimicrobial agents. The high rate of antimicrobial resistance in bacterial isolates from chicken meat may have major implications for human and animal health with adverse economic implications.

  17. Typing of Staphylococcus aureus in order to determine the spread of drug resistant strains inside and outside hospital environment.

    Science.gov (United States)

    Pobiega, Monika; Wójkowska-Mach, Jadwiga; Heczko, Piotr B

    2013-01-01

    Staphylococcus aureus is one of the most important etiological factors of both nosocomial and community-acquired infections. Multidrug-resistant S. aureus is frequently isolated nowadays. Antibiotics used on the hospital ward exert a selective pressure on the strains and favor resistant strains. Multidrug-resistant and highly virulent strains can spread not only within the hospital but also between hospitals. Numerous studies show a predominance of one clone on a specific territory. The spread of such dangerous clones to neighboring countries and the entire continent is possible. Typing methods are very useful in infection control and prevention. Modern methods which are based on sequencing are necessary in rationalizing of infection control programs. Typing of Staphylococcus aureus includes methods that allow to determine the spread of drug-resistant pathogens. 'Gold standard' is pulsed-field gel electrophoresis (PFGE), which relies on separating the DNA fragments after restriction cutting. MLST (Multi Locus Sequence Typing) is based on a comparison of"housekeeping" gene sequences controlling the basic cell functions. With the MLST method, it is possible to demonstrate a broad, international spread of the specific clones. However, for epidemiological investigations, MLST seems to be too time-consuming and expensive to be used as a basic typing tool. The complementary method is spa typing, based on the sequencing of short repetitive sequences of the polymorphic X region from the gene encoding protein A. This method can be used for studying molecular evolution of S. aureus, as well as for testing for hospital outbreaks. It is faster and cheaper than MLST. It is also necessary to subtype the elements responsible for methycillin resistance (SCCmec), which allows to distinguish MRSA (Methicillin-resistant Staphylococcus aureus) clones with a common ancestor, but different epidemiological origin. All of those methods have their specific advantages and disadvantages and

  18. Prevalence and behavior of multidrug-resistant Salmonella strains on raw whole and cut nopalitos (Opuntia ficus-indica L.) and on nopalitos salads.

    Science.gov (United States)

    Gómez-Aldapa, Carlos A; Gutiérrez-Alcántara, Eduardo J; Torres-Vitela, M Refugio; Rangel-Vargas, Esmeralda; Villarruel-López, Angelica; Castro-Rosas, Javier

    2017-09-01

    The presence of multidrug-resistant Salmonella in vegetables is a significant public health concern. Nopalito is a cactaceous that is commonly consumed either raw or cooked in Mexico and other countries. The presence of antibiotic-resistant Salmonella strains on raw whole nopalitos (RWN, without prickles), raw nopalitos cut into squares (RNCS) and in cooked nopalitos salads (CNS) samples was determined. In addition, the behavior of multidrug-resistant Salmonella isolates on RWN, RNCS and CNS at 25° ± 2 °C and 3° ± 2 °C was investigated. One hundred samples of RWN, 100 of RNCS and 100 more of CNS were collected from public markets. Salmonella strains were isolated and identified in 30, 30 and 10% of the samples, respectively. Seventy multidrug-resistant Salmonella strains were isolated from all the nopalitos samples. Multidrug-resistant Salmonella isolates survived at least 15 days on RWN at 25° ± 2 °C or 3° ± 2 °C. Multidrug-resistant Salmonella isolates grew in the RNCS and CNS samples at 25° ± 2 °C. However, at 3° ± 2 °C the bacterial growth was inhibited. This is the first report about multidrug-resistant Salmonella isolation from raw nopalitos and nopalitos salads. Nopalitos from markets are very likely to be an important factor contributing to the endemicity of multidrug-resistant Salmonella-related gastroenteritis in Mexico. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  19. Complete genome sequence of multidrug-resistant Staphylococcus cohnii ssp. urealyticus strain SNUDS-2 isolated from farmed duck, Republic of Korea.

    Science.gov (United States)

    Han, Jee Eun; Lee, Seungki; Jeong, Dae Gwin; Yoon, Sun-Woo; Kim, Doo-Jin; Lee, Moo-Seung; Kim, Hye Kwon; Park, Sung-Kyun; Kim, Ji Hyung; Park, Se Chang

    2017-09-01

    Staphylococcus cohnii has become increasingly recognized as a potential pathogen of clinically significant nosocomial and farm animal infections. This study was designed to determine the genome of a multidrug-resistant S. cohnii subsp. urealyticus strain SNUDS-2 isolated from a farmed duck in Korea. Genomic DNA was sequenced using the PacBio RS II system. The complete genome was annotated and the presence of antimicrobial resistance and virulence genes were identified. The annotated 2,625,703 bp genome contained various antimicrobial resistance genes conferring resistance to β-lactam, aminoglycosides, fluoroquinolones, phenicols and trimethoprim. The virulence-associated three synergistic hemolysins have been identified in the strain. To the best of our knowledge, this is the first complete genome of S. cohnii, and will provide important insights into the biodiversity of CoNS and valuable information for the control of this emerging pathogen. Copyright © 2017 International Society for Chemotherapy of Infection and Cancer. Published by Elsevier Ltd. All rights reserved.

  20. Production of low-affinity penicillin-binding protein by low- and high-resistance groups of methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Murakami, K; Nomura, K; Doi, M; Yoshida, T

    1987-01-01

    Methicillin- and cephem-resistant Staphylococcus aureus (137 strains) for which the cefazolin MICs are at least 25 micrograms/ml could be classified into low-resistance (83% of strains) and high-resistance (the remaining 17%) groups by the MIC of flomoxef (6315-S), a 1-oxacephalosporin. The MICs were less than 6.3 micrograms/ml and more than 12.5 micrograms/ml in the low- and high-resistance groups, respectively. All strains produced penicillin-binding protein 2' (PBP 2'), which has been associated with methicillin resistance and which has very low affinity for beta-lactam antibiotics. Production of PBP 2' was regulated differently in low- and high-resistance strains. With penicillinase-producing strains of the low-resistance group, cefazolin, cefamandole, and cefmetazole induced PBP 2' production about 5-fold, while flomoxef induced production 2.4-fold or less. In contrast, penicillinase-negative variants of low-resistance strains produced PBP 2' constitutively in large amounts and induction did not occur. With high-resistance strains, flomoxef induced PBP 2' to an extent similar to that of cefazolin in both penicillinase-producing and -negative strains, except for one strain in which the induction did not occur. The amount of PBP 2' induced by beta-lactam antibiotics in penicillinase-producing strains of the low-resistance group correlated well with resistance to each antibiotic. Large amounts of PBP 2' in penicillinase-negative variants of the low-resistance group did not raise the MICs of beta-lactam compounds, although these strains were more resistant when challenged with flomoxef for 2 h. Different regulation of PBP 2' production was demonstrated in the high- and low-resistance groups, and factor(s) other than PBP 2' were suggested to be involved in the methicillin resistance of high-resistance strains. Images PMID:3499861

  1. Competitive fitness of influenza B viruses with neuraminidase inhibitor-resistant substitutions in a coinfection model of the human airway epithelium.

    Science.gov (United States)

    Burnham, Andrew J; Armstrong, Jianling; Lowen, Anice C; Webster, Robert G; Govorkova, Elena A

    2015-04-01

    Influenza A and B viruses are human pathogens that are regarded to cause almost equally significant disease burdens. Neuraminidase (NA) inhibitors (NAIs) are the only class of drugs available to treat influenza A and B virus infections, so the development of NAI-resistant viruses with superior fitness is a public health concern. The fitness of NAI-resistant influenza B viruses has not been widely studied. Here we examined the replicative capacity and relative fitness in normal human bronchial epithelial (NHBE) cells of recombinant influenza B/Yamanashi/166/1998 viruses containing a single amino acid substitution in NA generated by reverse genetics (rg) that is associated with NAI resistance. The replication in NHBE cells of viruses with reduced inhibition by oseltamivir (recombinant virus with the E119A mutation generated by reverse genetics [rg-E119A], rg-D198E, rg-I222T, rg-H274Y, rg-N294S, and rg-R371K, N2 numbering) or zanamivir (rg-E119A and rg-R371K) failed to be inhibited by the presence of the respective NAI. In a fluorescence-based assay, detection of rg-E119A was easily masked by the presence of NAI-susceptible virus. We coinfected NHBE cells with NAI-susceptible and -resistant viruses and used next-generation deep sequencing to reveal the order of relative fitness compared to that of recombinant wild-type (WT) virus generated by reverse genetics (rg-WT): rg-H274Y > rg-WT > rg-I222T > rg-N294S > rg-D198E > rg-E119A ≫ rg-R371K. Based on the lack of attenuated replication of rg-E119A in NHBE cells in the presence of oseltamivir or zanamivir and the fitness advantage of rg-H274Y over rg-WT, we emphasize the importance of these substitutions in the NA glycoprotein. Human infections with influenza B viruses carrying the E119A or H274Y substitution could limit the therapeutic options for those infected; the emergence of such viruses should be closely monitored. Influenza B viruses are important human respiratory pathogens contributing to a significant portion

  2. Low dose influenza virus challenge in the ferret leads to increased virus shedding and greater sensitivity to oseltamivir.

    Science.gov (United States)

    Marriott, Anthony C; Dove, Brian K; Whittaker, Catherine J; Bruce, Christine; Ryan, Kathryn A; Bean, Thomas J; Rayner, Emma; Pearson, Geoff; Taylor, Irene; Dowall, Stuart; Plank, Jenna; Newman, Edmund; Barclay, Wendy S; Dimmock, Nigel J; Easton, Andrew J; Hallis, Bassam; Silman, Nigel J; Carroll, Miles W

    2014-01-01

    Ferrets are widely used to study human influenza virus infection. Their airway physiology and cell receptor distribution makes them ideal for the analysis of pathogenesis and virus transmission, and for testing the efficacy of anti-influenza interventions and vaccines. The 2009 pandemic influenza virus (H1N1pdm09) induces mild to moderate respiratory disease in infected ferrets, following inoculation with 106 plaque-forming units (pfu) of virus. We have demonstrated that reducing the challenge dose to 102 pfu delays the onset of clinical signs by 1 day, and results in a modest reduction in clinical signs, and a less rapid nasal cavity innate immune response. There was also a delay in virus production in the upper respiratory tract, this was up to 9-fold greater and virus shedding was prolonged. Progression of infection to the lower respiratory tract was not noticeably delayed by the reduction in virus challenge. A dose of 104 pfu gave an infection that was intermediate between those of the 106 pfu and 102 pfu doses. To address the hypothesis that using a more authentic low challenge dose would facilitate a more sensitive model for antiviral efficacy, we used the well-known neuraminidase inhibitor, oseltamivir. Oseltamivir-treated and untreated ferrets were challenged with high (106 pfu) and low (102 pfu) doses of influenza H1N1pdm09 virus. The low dose treated ferrets showed significant delays in innate immune response and virus shedding, delayed onset of pathological changes in the nasal cavity, and reduced pathological changes and viral RNA load in the lung, relative to untreated ferrets. Importantly, these observations were not seen in treated animals when the high dose challenge was used. In summary, low dose challenge gives a disease that more closely parallels the disease parameters of human influenza infection, and provides an improved pre-clinical model for the assessment of influenza therapeutics, and potentially, influenza vaccines.

  3. Low dose influenza virus challenge in the ferret leads to increased virus shedding and greater sensitivity to oseltamivir.

    Directory of Open Access Journals (Sweden)

    Anthony C Marriott

    Full Text Available Ferrets are widely used to study human influenza virus infection. Their airway physiology and cell receptor distribution makes them ideal for the analysis of pathogenesis and virus transmission, and for testing the efficacy of anti-influenza interventions and vaccines. The 2009 pandemic influenza virus (H1N1pdm09 induces mild to moderate respiratory disease in infected ferrets, following inoculation with 106 plaque-forming units (pfu of virus. We have demonstrated that reducing the challenge dose to 102 pfu delays the onset of clinical signs by 1 day, and results in a modest reduction in clinical signs, and a less rapid nasal cavity innate immune response. There was also a delay in virus production in the upper respiratory tract, this was up to 9-fold greater and virus shedding was prolonged. Progression of infection to the lower respiratory tract was not noticeably delayed by the reduction in virus challenge. A dose of 104 pfu gave an infection that was intermediate between those of the 106 pfu and 102 pfu doses. To address the hypothesis that using a more authentic low challenge dose would facilitate a more sensitive model for antiviral efficacy, we used the well-known neuraminidase inhibitor, oseltamivir. Oseltamivir-treated and untreated ferrets were challenged with high (106 pfu and low (102 pfu doses of influenza H1N1pdm09 virus. The low dose treated ferrets showed significant delays in innate immune response and virus shedding, delayed onset of pathological changes in the nasal cavity, and reduced pathological changes and viral RNA load in the lung, relative to untreated ferrets. Importantly, these observations were not seen in treated animals when the high dose challenge was used. In summary, low dose challenge gives a disease that more closely parallels the disease parameters of human influenza infection, and provides an improved pre-clinical model for the assessment of influenza therapeutics, and potentially, influenza vaccines.

  4. Draft Genome Sequences of Six Multidrug-Resistant Clinical Strains of Acinetobacter baumannii, Isolated at Two Major Hospitals in Kuwait.

    Science.gov (United States)

    Nasser, Kother; Mustafa, Abu Salim; Khan, Mohd Wasif; Purohit, Prashant; Al-Obaid, Inaam; Dhar, Rita; Al-Fouzan, Wadha

    2018-04-19

    Acinetobacter baumannii is an important opportunistic pathogen in global health care settings. Its dissemination and multidrug resistance pose an issue with treatment and outbreak control. Here, we present draft genome assemblies of six multidrug-resistant clinical strains of A. baumannii isolated from patients admitted to one of two major hospitals in Kuwait. Copyright © 2018 Nasser et al.

  5. Resistance Selection and Characterization of Chlorantraniliprole Resistance in Plutella xylostella (Lepidoptera: Plutellidae).

    Science.gov (United States)

    Liu, Xia; Wang, Hong-Yan; Ning, Yu-Bo; Qiao, Kang; Wang, Kai-Yun

    2015-08-01

    The diamondback moth, Plutella xylostella (L.), is considered one of the most damaging lepidopteran pests, and it has developed resistance to all conventional insecticide classes in the field. Chlorantraniliprole is the first commercial insecticide that belongs to the new chemical class of diamide insecticides. But, P. xylostella have already shown resistance to chlorantraniliprole in China. After 52 generations of selection with chlorantraniliprole, ∼48.17-fold resistance was observed. The resistant strain showed cross-resistance to flubendiamide (7.29-fold), abamectin (6.11-fold), and cyantraniliprole (3.31-fold). Quantitative real-time polymerase chain reaction analysis showed that the expression of the ryanodine receptor gene was higher in the resistant strain than that in the susceptible strain. Enzyme assays indicated that cytochrome P450 activity in the resistant strain was 4.26 times higher compared with the susceptible strain, whereas no difference was seen for glutathione-S-transferase and esterase. Moreover, the toxicity of chlorantraniliprole in the resistant strain could be synergized by piperonyl butoxide, but not by diethyl maleate, and S,S,S-tributyl phosphorothioate. These results can serve as an important base for guiding the use of insecticide in field and delaying the development of pests that are resistant to the insecticides. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  6. High chlorpyrifos resistance in Culex pipiens mosquitoes: strong synergy between resistance genes

    Science.gov (United States)

    Alout, H; Labbé, P; Berthomieu, A; Makoundou, P; Fort, P; Pasteur, N; Weill, M

    2016-01-01

    We investigated the genetic determinism of high chlorpyrifos resistance (HCR), a phenotype first described in 1999 in Culex pipiens mosquitoes surviving chlorpyrifos doses ⩾1 mg l−1 and more recently found in field samples from Tunisia, Israel or Indian Ocean islands. Through chlorpyrifos selection, we selected several HCR strains that displayed over 10 000-fold resistance. All strains were homozygous for resistant alleles at two main loci: the ace-1 gene, with the resistant ace-1R allele expressing the insensitive G119S acetylcholinesterase, and a resistant allele of an unknown gene (named T) linked to the sex and ace-2 genes. We constructed a strain carrying only the T-resistant allele and studied its resistance characteristics. By crossing this strain with strains harboring different alleles at the ace-1 locus, we showed that the resistant ace-1R and the T alleles act in strong synergy, as they elicited a resistance 100 times higher than expected from a simple multiplicative effect. This effect was specific to chlorpyrifos and parathion and was not affected by synergists. We also examined how HCR was expressed in strains carrying other ace-1-resistant alleles, such as ace-1V or the duplicated ace-1D allele, currently spreading worldwide. We identified two major parameters that influenced the level of resistance: the number and the nature of the ace-1-resistant alleles and the number of T alleles. Our data fit a model that predicts that the T allele acts by decreasing chlorpyrifos concentration in the compartment targeted in insects. PMID:26463842

  7. Detection of Enterohemorrhagic Escherichia coli Related Genes in E. coli Strains Belonging to B2 Phylogroup Isolated from Urinary Tract Infections in Combination with Antimicrobial Resistance Phenotypes

    Directory of Open Access Journals (Sweden)

    Hamid Staji

    2017-07-01

    Full Text Available Background:  This study was conducted to detect the prevalence of EHEC virulence genes and antimicrobial resistance profile of Escherichia coli strains belonging to B2 phylogroup implicated in Urinary tract infections in Semnan, Iran.Methods:   From 240 urine samples 160 E. coli strains were isolated, biochemically. Then, E. coli isolates were examined by Multiplex-PCR for phylogenetic typing and detection of virulence genes (hly, stx1, stx2, eae associated with Enterohemorrhagic E. coli. Finally, Antimicrobial resistance of E. coli isolates were characterized using Disk Diffusion method.  Results:  From 160 E. coli isolates, 75 strains (47% were assigned to B2 phylogenetic group and prevalence of virulence genes were as follow: hly (21.3%, stx1 (16%, stx2 (10.6% and eae (6.7%, subsequently.  Phenotypic antimicrobial resistance of B2 isolates showed that all isolates were sensitive to Meropenem and Furazolidone and then highest frequency of resistance was observed to Streptomycin, Oxytetracycline, Neomycin, Nalidixic acid and Ampicillin (98.7% to 49.3%. Also low resistance prevalence was observed in case of Ceftizoxime, Lincospectin, Imipenem, Chloramphenicol and flurefenicole (16% to 1.3%.Conclusion:   The data suggest a high prevalence of antibiotic resistance in UPEC strains belonging to B2 phylogroup even for the antimicrobials using in pet and farm animals and their potential to cause EHEC specific clinical symptoms which may represent a serious health risk since these strains can be transmitted to GI tract and act as a reservoir for other uropathogenic E. coli and commensal strains.

  8. Progress toward characterization of the group A Streptococcus metagenome: complete genome sequence of a macrolide-resistant serotype M6 strain.

    Science.gov (United States)

    Banks, David J; Porcella, Stephen F; Barbian, Kent D; Beres, Stephen B; Philips, Lauren E; Voyich, Jovanka M; DeLeo, Frank R; Martin, Judith M; Somerville, Greg A; Musser, James M

    2004-08-15

    We describe the genome sequence of a macrolide-resistant strain (MGAS10394) of serotype M6 group A Streptococcus (GAS). The genome is 1,900,156 bp in length, and 8 prophage-like elements or remnants compose 12.4% of the chromosome. A 8.3-kb prophage remnant encodes the SpeA4 variant of streptococcal pyrogenic exotoxin A. The genome of strain MGAS10394 contains a chimeric genetic element composed of prophage genes and a transposon encoding the mefA gene conferring macrolide resistance. This chimeric element also has a gene encoding a novel surface-exposed protein (designated "R6 protein"), with an LPKTG cell-anchor motif located at the carboxyterminus. Surface expression of this protein was confirmed by flow cytometry. Humans with GAS pharyngitis caused by serotype M6 strains had antibody against the R6 protein present in convalescent, but not acute, serum samples. Our studies add to the theme that GAS prophage-encoded extracellular proteins contribute to host-pathogen interactions in a strain-specific fashion.

  9. Antibacterial properties of Chinese herbal medicines against nosocomial antibiotic resistant strains of Pseudomonas aeruginosa in Taiwan.

    Science.gov (United States)

    Liu, Ching-Shen; Cham, Thau-Ming; Yang, Cheng-Hong; Chang, Hsueh-Wei; Chen, Chia-Hong; Chuang, Li-Yeh

    2007-01-01

    Pseudomonas aeruginosa is well-recognized as a nosocomial pathogen, which exhibits inherent drug resistance. In this study, the antibacterial activity of ethanol extracts of 58 Chinese herbal medicines used in Taiwan were tested against 89 nosocomial antibiotic resistant strains of Pseudomonas aeruginosa. The results gathered by the disc diffusion method showed that 26 out of the 58 herbal extracts exhibited antibacterial activity. Among the 26 herbal extracts, 10 extracts showed broad-spectrum antibacterial activities and were selected for further antibacterial property assay. The minimum inhibitory concentrations (MIC) of the active partition fractions ranged from 0.25 to 11.0 mg/L. The presence of flavonoid compounds in the active fractions of test herbal extracts was observed by the TLC-bioautography. The results from the time-kill assay revealed that most of the herbal extracts completely killed the test organisms within 4 hours. Exposure of the test strains to a sub-MIC level of the herbal extracts for 10 consecutive subcultures did not induce resistance to the active components. A combination of the active herbal fractions with antibiotics showed that one of the herbal medicines, the hexane fraction of Ramulus Cinnamomi, possessed a synergistic effect with tetracycline, gentamycin, and streptomycin. In conclusion, the tested Chinese medical herbs have the potential to be developed into natural antibiotics. This is the first evaluation for screening large amounts of medical plants against nosocomial antibiotic resistant bacteria in Taiwan.

  10. Discover binding pathways using the sliding binding-box docking approach: application to binding pathways of oseltamivir to avian influenza H5N1 neuraminidase

    Science.gov (United States)

    Tran, Diem-Trang T.; Le, Ly T.; Truong, Thanh N.

    2013-08-01

    Drug binding and unbinding are transient processes which are hardly observed by experiment and difficult to analyze by computational techniques. In this paper, we employed a cost-effective method called "pathway docking" in which molecular docking was used to screen ligand-receptor binding free energy surface to reveal possible paths of ligand approaching protein binding pocket. A case study was applied on oseltamivir, the key drug against influenza a virus. The equilibrium pathways identified by this method are found to be similar to those identified in prior studies using highly expensive computational approaches.

  11. Genome sequence of Prevotella intermedia SUNY aB G8-9K-3, a biofilm forming strain with drug-resistance.

    Science.gov (United States)

    Moon, Ji-Hoi; Kim, Minjung; Lee, Jae-Hyung

    Prevotella intermedia has long been known to be as the principal etiologic agent of periodontal diseases and associated with various systemic diseases. Previous studies showed that the intra-species difference exists in capacity of biofilm formation, antibiotic resistance, and serological reaction among P. intermedia strains. Here we report the genome sequence of P. intermedia SUNY aB G8-9K-3 (designated ATCC49046) that displays a relatively high antimicrobial resistant and biofilm-forming capacity. Genome sequencing information provides important clues in understanding the genetic bases of phenotypic differences among P. intermedia strains. Copyright © 2016 Sociedade Brasileira de Microbiologia. Published by Elsevier Editora Ltda. All rights reserved.

  12. Inheritance and Gene Mapping of Resistance to Soybean Mosaic Virus Strain SC14 in Soybean

    Institute of Scientific and Technical Information of China (English)

    Hai-Chao Li; Hai-Jian Zhi; Jun-Yi Gai; Dong-Quan Guo; Yan-Wei Wang; Kai Li; Li Bai; Hua Yang

    2006-01-01

    Soybean mosaic virus (SMV) is one of the most broadly distributed diseases worldwide. It causes severe yield loss and seed quality deficiency in soybean (Glycine max (L.) Merr.). SMV Strain SC14 isolated from Shanxi Province, China, was a newly identified virulent strain and can infect Kefeng No. 1, a source with wide spectrum resistance. In the present study, soybean accessions, PI96983, Qihuang No. 1 and Qihuang No. 22 were identified to be resistant (R) and Nannong 1138-2, Pixianchadou susceptible (S) to SC14. Segregation analysis of PI96983 × Nannong 1138-2 indicated that a single dominant gene (designated as Rsc14) controlled the resistance to SC14 at both V2 and R1 developmental stages. The same results were obtained for the crosses of Qihuang No. 1 × Nannong 1138-2 and Qihuang No. 22 × Nannong 1138-2 as in PI96983 × Nannong 1138-2 at V2 stage, but at R1 stage,the F1 performed as necrosis (a susceptible symptom other than mosaic), F2 segregated in a ratio of 1R:2N:1S,and the progenies of necrotic (N) F2 individuals segregated also in R, N and S. It indicated that a single gene (designated as Rsc14o, to be different from that of PI96983) controlled the resistance to SC14, its dominance was the same as in PI96983 × Nannong 1138-2 (without symptoms) at V2 stage and not the same at R1 stage. The tightly linked co-dominant simple sequence repeat (SSR) marker Satt334 indicated that all the heterozygous bands were completely corresponding to the necrotic F2 individuals, or all the necrotic F2 individuals were heterozygotes.It was inferred that necrosis might be due to the interaction among SMV strains, resistance genes, genetic background of the resistance genes, and plant development stage. Furthermore, the bulked segregant analysis (BSA) of SSR markers was conducted to map the resistance genes. In F2 of PI96983 × Nannong 1138-2, five SSR markers, Sat_297, Sat_234, Sat_154, Sct_033 and Sat_120, were found closely linked to Rsc14, with genetic distances of 14

  13. Esters of pyrazinoic acid are active against pyrazinamide-resistant strains of Mycobacterium tuberculosis and other naturally resistant mycobacteria in vitro and ex vivo within macrophages.

    KAUST Repository

    Pires, David

    2015-10-05

    Pyrazinamide (PZA) is active against major Mycobacterium tuberculosis species (M. tuberculosis, M. africanum, and M. microti), but not against M. bovis and M. avium. The latter two are mycobacteria species involved in human and cattle tuberculosis and in HIV co-infections, respectively. PZA is a first-line agent for the treatment of human tuberculosis and requires activation by a mycobacterial pyrazinamidase to form the active metabolite pyrazinoic acid (POA). As a result of this mechanism, resistance to PZA as often found in tuberculosis patients is caused by point mutations in pyrazinamidase. In previous work, we have shown that POA esters and amides synthesized in our laboratory were stable in plasma. Although the amides did not present significant activity, the esters were active against sensitive mycobacteria at concentrations 5-to-10 fold lower than those of PZA. Here, we report that these POA derivatives possess antibacterial efficacy in vitro and ex vivo against several species and strains of Mycobacterium with natural or acquired resistance to PZA, including M. bovis and M. avium. Our results indicate that the resistance was probably overcome by cleavage of the prodrugs into POA and a long-chain alcohol. Although it is not possible to rule out that the esters may have intrinsic activity per se, we bring evidence here that long-chain fatty alcohols possess a significant anti-mycobacterial effect against PZA-resistant species and strains and are not mere inactive promoieties. These findings may lead to candidate dual-drugs having enhanced activity against both PZA-susceptible and PZA-resistant isolates and being suitable for clinical development.

  14. Genetic Determinants of High-Level Oxacillin Resistance in Methicillin-Resistant Staphylococcus aureus.

    Science.gov (United States)

    Pardos de la Gandara, Maria; Borges, Vitor; Chung, Marilyn; Milheiriço, Catarina; Gomes, João Paulo; de Lencastre, Herminia; Tomasz, Alexander

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains carry either a mecA - or a mecC -mediated mechanism of resistance to beta-lactam antibiotics, and the phenotypic expression of resistance shows extensive strain-to-strain variation. In recent communications, we identified the genetic determinants associated with the stringent stress response that play a major role in the antibiotic resistant phenotype of the historically earliest "archaic" clone of MRSA and in the mecC -carrying MRSA strain LGA251. Here, we sought to test whether or not the same genetic determinants also contribute to the resistant phenotype of highly and homogeneously resistant (H*R) derivatives of a major contemporary MRSA clone, USA300. We found that the resistance phenotype was linked to six genes ( fruB , gmk , hpt , purB , prsA , and relA ), which were most frequently targeted among the analyzed 20 H*R strains (one mutation per clone in 19 of the 20 H*R strains). Besides the strong parallels with our previous findings (five of the six genes matched), all but one of the repeatedly targeted genes were found to be linked to guanine metabolism, pointing to the key role that this pathway plays in defining the level of antibiotic resistance independent of the clonal type of MRSA. Copyright © 2018 American Society for Microbiology.

  15. Meningococcal B Vaccine Failure With a Penicillin-Resistant Strain in a Young Adult on Long-Term Eculizumab.

    Science.gov (United States)

    Parikh, Sydel R; Lucidarme, Jay; Bingham, Coralie; Warwicker, Paul; Goodship, Tim; Borrow, Ray; Ladhani, Shamez N

    2017-09-01

    We describe a case of invasive meningococcal disease due to a vaccine-preventable and penicillin-resistant strain in a fully immunized young adult on long-term complement inhibitor therapy and daily penicillin chemoprophylaxis. Eculizumab is a humanized monoclonal antibody that binds human complement C5 protein and inhibits the terminal complement pathway. It is currently recommended for the treatment of complement-mediated thrombotic microangiopathies. An unwanted complication of inhibiting complement, however, is an increased risk of invasive meningococcal disease. Here, we report the first case of meningococcal group B vaccine failure in a young adult receiving eculizumab for atypical hemolytic uremic syndrome. She developed invasive meningococcal disease due to a vaccine-preventable and penicillin-resistant meningococcal group B strain 4 months after receiving 2 doses of meningococcal group B vaccine while on oral penicillin prophylaxis against meningococcal infection. Copyright © 2017 by the American Academy of Pediatrics.

  16. Survival of a Rifampicin-Resistant Pseudomonas fluorescens Strain in Nine Mollisols

    Directory of Open Access Journals (Sweden)

    Tami L. Stubbs

    2014-01-01

    Full Text Available Pseudomonas fluorescens strain D7 (P.f. D7 is a naturally occurring soil bacterium that shows promise as a biological herbicide to inhibit growth of annual grass weeds, including downy brome (Bromus tectorum L., in crop- and rangelands. Pseudomonas fluorescens strain D7rif (P.f. D7rif is a rifampicin-resistant strain of P.f. D7. One of the greatest obstacles to successful biological weed control is survival of the organism under field conditions. Nine soils in the taxonomic order of Mollisols, collected from downy brome-infested areas of the Western and Central United States, were inoculated with P.f. D7rif and incubated in the laboratory to determine the effects of soil type, soil properties, incubation temperature, and soil water potential on survival of P.f. D7rif over 63 days. Silt loam soils from Lind, Washington, and Moro, Oregon, sustained the highest P.f. D7rif populations, and recovery was the lowest from Pendleton, Oregon soil. Survival and recovery of P.f. D7rif varied with soil type and temperature but not with the two soil water potentials tested. After 63 days, P.f. D7rif was recovered at levels greater than log 5.5 colony forming units (CFU g−1 soil from five of the nine test soils, a level adequate to suppress downy brome under field or range conditions.

  17. Draft Genome Sequences of 12 Clinical and Environmental Methicillin-Resistant Strains of Staphylococcus pseudintermedius Isolated from a Veterinary Teaching Hospital in Washington State

    Science.gov (United States)

    Shah, Devendra H.; Jones, Lisa P.; Paul, Narayan

    2018-01-01

    ABSTRACT Methicillin-resistant Staphylococcus pseudintermedius (MRSP) is a globally emergent multidrug-resistant pathogen of dogs associated with nosocomial transmission in dogs and with potential zoonotic impacts. Here, we report the draft whole-genome sequences of 12 hospital-associated MRSP strains and their resistance genotypes and phenotypes. PMID:29650582

  18. Rapid report acetamiprid resistance and cross-resistance in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Ninsin, Kodwo D

    2004-09-01

    A 110-fold acetamiprid-resistant Plutella xylostella (L) strain was established after four selection experiments (in five generations) on a 9.5-fold resistant colony in the laboratory. The resistant strain did not show cross-resistance to chlorfluazuron or Bacillus thuringiensis subsp kurstaki Berliner, but displayed low resistance to cartap and phenthoate.

  19. Mitigation approaches to combat the flu pandemic

    Directory of Open Access Journals (Sweden)

    Raman Chawla

    2009-01-01

    The National Disaster Management Authority (NDMA, Government of India, with the active cooperation of UN agencies and other stakeholders/experts has formulated a concept paper on role of nonhealth service providers during pandemics in April 2008 and released national guidelines - management of biological disasters in July 2008. These guidelines enumerate that the success of medical management endeavors like pharmaceutical (anti-viral Oseltamivir and Zanamivir therapies, nonpharmaceutical interventions and vaccination development etc., largely depends on level of resistance offered by mutagenic viral strain and rationale use of pharmaco therapeutic interventions. This article describes the mitigation approach to combat flu pandemic with its effective implementation at national, state and local levels.

  20. Cross-resistance and biochemical mechanisms of resistance to indoxacarb in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Zhang, Shuzhen; Zhang, Xiaolei; Shen, Jun; Li, Dongyang; Wan, Hu; You, Hong; Li, Jianhong

    2017-08-01

    Indoxacarb belongs to a class of insecticides known as oxadiazines and is the first commercialized pyrazoline-type voltage-dependent sodium channel blocker. A moderate level of resistance to indoxacarb has evolved in field populations of Plutella xylostella from Central China. In the present study, cross-resistance, resistance stability and metabolic mechanisms of indoxacarb resistance were investigated in this moth species. A P. xylostella strain with a high level of resistance to indoxacarb was obtained through continuous selection in the laboratory. The strain showed cross-resistance to metaflumizone, beta-cypermethrin and chlorfenapyr, but no resistance to cyantraniliprole, chlorantraniliprole, abamectin, chlorfluazuron, spinosad and diafenthiuron compared with the susceptible strain. Synergism tests revealed that piperonyl butoxide (PBO) (synergistic ratio, SR=7.8) and diethyl maleate (DEF) (SR=3.5) had considerable synergistic effects on indoxacarb toxicity in the resistant strain (F 58 ). Enzyme activity data showed there was an approximate 5.8-fold different in glutathione S-transferase (GST) and a 6.8-fold different in cytochrome P450 monooxygenase between the resistant strain (F 58 ) and susceptible strain, suggesting that the increased activity of these two enzymes is likely the main detoxification mechanism responsible for the species' resistance to indoxacarb. These results will be helpful for insecticide resistance management strategies to delay the development of indoxacarb resistance in fields. Copyright © 2017. Published by Elsevier Inc.

  1. The global establishment of a highly-fluoroquinolone resistant Salmonella enterica serotype Kentucky ST198 strain

    DEFF Research Database (Denmark)

    Le Hello, Simon; Bekhit, Amany; Granier, Sophie A.

    2013-01-01

    While the spread of Salmonella enterica serotype Kentucky resistant to ciprofloxacin across Africa and the Middle-East has been described recently, the presence of this strain in humans, food, various animal species (livestock, pets, and wildlife) and in environment is suspected in other countrie...

  2. Identification of antibiotic resistance genes in the multidrug-resistant Acinetobacter baumannii strain, MDR-SHH02, using whole-genome sequencing.

    Science.gov (United States)

    Wang, Hualiang; Wang, Jinghua; Yu, Peijuan; Ge, Ping; Jiang, Yanqun; Xu, Rong; Chen, Rong; Liu, Xuejie

    2017-02-01

    This study aimed to investigate antibiotic resistance genes in the multidrug-resistant (MDR) Acinetobacter baumannii (A. baumanii) strain, MDR-SHH02, using whole‑genome sequencing (WGS). The antibiotic resistance of MDR-SHH02 isolated from a patient with breast cancer to 19 types of antibiotics was determined using the Kirby‑Bauer method. WGS of MDR-SHH02 was then performed. Following quality control and transcriptome assembly, functional annotation of genes was conducted, and the phylogenetic tree of MDR-SHH02, along with another 5 A. baumanii species and 2 Acinetobacter species, was constructed using PHYLIP 3.695 and FigTree v1.4.2. Furthermore, pathogenicity islands (PAIs) were predicted by the pathogenicity island database. Potential antibiotic resistance genes in MDR-SHH02 were predicted based on the information in the Antibiotic Resistance Genes Database (ARDB). MDR-SHH02 was found to be resistant to all of the tested antibiotics. The total draft genome length of MDR-SHH02 was 4,003,808 bp. There were 74.25% of coding sequences to be annotated into 21 of the Clusters of Orthologous Groups (COGs) of protein terms, such as 'transcription' and 'amino acid transport and metabolism'. Furthermore, there were 45 PAIs homologous to the sequence MDRSHH02000806. Additionally, a total of 12 gene sequences in MDR-SHH02 were highly similar to the sequences of antibiotic resistance genes in ARDB, including genes encoding aminoglycoside‑modifying enzymes [e.g., aac(3)-Ia, ant(2'')‑Ia, aph33ib and aph(3')-Ia], β-lactamase genes (bl2b_tem and bl2b_tem1), sulfonamide-resistant dihydropteroate synthase genes (sul1 and sul2), catb3 and tetb. These results suggest that numerous genes mediate resistance to various antibiotics in MDR-SHH02, and provide a clinical guidance for the personalized therapy of A. baumannii-infected patients.

  3. The Survey of Withani somnifera Extraction against Resistant Strains of Pseudomonas aeruginosa Bacteria to Selective Antibiotics

    Directory of Open Access Journals (Sweden)

    Mohammad Bokaeian

    2015-11-01

    Full Text Available Introduction:  Due  to  more  resistance  of  pathogenic  bacteria  to  new  and  current antibiotics  researchers  are  looking  to  find  the  agents  of  herbal  with  antimicrobial activities in order to replace chemical drugs.Methods:   The herbal extract of Withani somnifera was done by using a rotary vacuum,20 strains of Pseudomons aeruginosa were isolated from urinary infections hospitalized patients  in  city of Zabol  hospital.  The  MIC  Withani  somnifera  were  determined  by dilution method in various concentrations. Sensitivity of strains to multiple antibiotics was evaluated by standard disk diffusion Kirby-Bauer.Results:    The  result  showed  that  P.  aeruginosa  were  resistance  to  4  of the  agents including ampicillin  (85%, nitrofurantoin  (65%, nalidixic acid  (65%, ciprofloxacin (15% and for 5 strains of Pseudomonas showed MIC with activity of 100 ppm.Conclusion:   This  study  has  suggested  the  effect  of  winter  cherry  extract  on  P. aeruginosa in the in vitro assay. It s effectiveness of on in vivo system can be examined in future.

  4. Antimicrobial resistance and resistance genes in Salmonella strains isolated from broiler chickens along the slaughtering process in China.

    Science.gov (United States)

    Zhu, Yuanting; Lai, Haimei; Zou, Likou; Yin, Sheng; Wang, Chengtao; Han, Xinfeng; Xia, Xiaolong; Hu, Kaidi; He, Li; Zhou, Kang; Chen, Shujuan; Ao, Xiaolin; Liu, Shuliang

    2017-10-16

    A total of 189 Salmonella isolates were recovered from 627 samples which were collected from cecal contents of broilers, chicken carcasses, chicken meat after cutting step and frozen broiler chicken products along the slaughtering process at a slaughterhouse in Sichuan province of China. The Salmonella isolates were subjected to antimicrobial susceptibility testing to 10 categories of antimicrobial agents using the Kirby-Bauer disk diffusion method. Those antibiotics-resistant isolates were further investigated for the occurrence of resistance genes, the presence of class 1 integron as well as the associated gene cassettes, and the mutations within the gyrA and parC genes. Consequently, the prevalence of Salmonella was 30.14% (47.96% for cecal content, 18.78% for chicken carcasses, 31.33% for cutting meat and 14.00% for frozen meat, respectively). The predominant serotypes were S. Typhimurium (15.34%) and S. Enteritidis (69.84%). High resistance rates to the following drugs were observed: nalidixic acid (99.5%), ampicillin (87.8%), tetracycline (51.9%), ciprofloxacin (48.7%), trimethoprim/sulfamethoxazole (48.1%), and spectinomycin (34.4%). Antimicrobial resistance profiling showed that 60.8% of isolates were multidrug resistant (MDR), and MDR strains increased from 44.7% to 78.6% along the slaughtering line. 94.6% (n=157) of beta-lactam-resistant isolates harbored at least one resistance gene of bla TEM or bla CTX-M . The relatively low prevalence of aminoglycoside resistance genes (aac(3)-II, aac(3)-IV, and ant(2″)-I) was found in 49 (66.2%) of antibiotic-resistant isolates. The tetracycline resistance genes (tet(A), tet(B), tet(C), and tet(G) and sulfonamide resistance genes (sul1, sul2, and sul3) were identified in 84 (85.7%) and 89 (97.8%) antibiotic-resistant isolates respectively. floR was identified in 44 (97.8%) florfenicol-resistant isolates. Class 1 integron was detected in 37.4% (n=43) of the MDR isolates. Two different gene cassettes, bla OXA-30 -aad

  5. Virulence Genes and Antibiotic Susceptibilities of Uropathogenic E. coli Strains.

    Science.gov (United States)

    Uzun, Cengiz; Oncül, Oral; Gümüş, Defne; Alan, Servet; Dayioğlu, Nurten; Küçüker, Mine Anğ

    2015-01-01

    The aim of this study is to detect the presence of and possible relation between virulence genes and antibiotic resistance in E. coli strains isolated from patients with acute, uncomplicated urinary tract infections (UTI). 62 E. coli strains isolated from patients with acute, uncomplicated urinary tract infections (50 strains isolated from acute uncomplicated cystitis cases (AUC); 12 strains from acute uncomplicated pyelonephritis cases (AUP)) were screened for virulence genes [pap (pyelonephritis-associated pili), sfa/foc (S and F1C fimbriae), afa (afimbrial adhesins), hly (hemolysin), cnf1 (cytotoxic necrotizing factor), aer (aerobactin), PAI (pathogenicity island marker), iroN (catecholate siderophore receptor), ompT (outer membrane protein T), usp (uropathogenic specific protein)] by PCR and for antimicrobial resistance by disk diffusion method according to CLSI criteria. It was found that 56 strains (90.3%) carried at least one virulence gene. The most common virulence genes were ompT (79%), aer (51.6%), PAI (51.6%) and usp (56.5%). 60% of the strains were resistant to at least one antibiotic. The highest resistance rates were against ampicillin (79%) and co-trimoxazole (41.9%). Fifty percent of the E. coli strains (31 strains) were found to be multiple resistant. Eight (12.9%) out of 62 strains were found to be ESBL positive. Statistically significant relationships were found between the absence of usp and AMP - SXT resistance, iroN and OFX - CIP resistance, PAI and SXT resistance, cnf1 and AMP resistance, and a significant relationship was also found between the presence of the afa and OFX resistance. No difference between E. coli strains isolated from two different clinical presentations was found in terms of virulence genes and antibiotic susceptibility.

  6. Antibiotic Resistance and Virulence Phenotypes of Recent Bacterial Strains Isolated from Urinary Tract Infections in Elderly Patients with Prostatic Disease

    Directory of Open Access Journals (Sweden)

    Cristina Delcaru

    2017-05-01

    Full Text Available Acute bacterial prostatitis is one of the frequent complications of urinary tract infection (UTI. From the approximately 10% of men having prostatitis, 7% experience a bacterial prostatitis. The purpose of this study was to investigate the prevalence of uropathogens associated with UTIs in older patients with benign prostatic hyperplasia and to assess their susceptibility to commonly prescribed antibiotics as well as the relationships between microbial virulence and resistance features. Uropathogenic Escherichia coli was found to be the most frequent bacterial strain isolated from patients with benign prostatic hyperplasia, followed by Enterococcus spp., Enterobacter spp., Klebsiella spp., Proteus spp., Pseudomonas aeruginosa, and Serratia marcescens. Increased resistance rates to tetracyclines, quinolones, and sulfonamides were registered. Besides their resistance profiles, the uropathogenic isolates produced various virulence factors with possible implications in the pathogenesis process. The great majority of the uropathogenic isolates revealed a high capacity to adhere to HEp-2 cell monolayer in vitro, mostly exhibiting a localized adherence pattern. Differences in the repertoire of soluble virulence factors that can affect bacterial growth and persistence within the urinary tract were detected. The Gram-negative strains produced pore-forming toxins—such as hemolysins, lecithinases, and lipases—proteases, siderophore-like molecules resulted from the esculin hydrolysis and amylases, while Enterococcus sp. strains were positive only for caseinase and esculin hydrolase. Our study demonstrates that necessity of investigating the etiology and local resistance patterns of uropathogenic organisms, which is crucial for determining appropriate empirical antibiotic treatment in elderly patients with UTI, while establishing correlations between resistance and virulence profiles could provide valuable input about the clinical evolution and

  7. Dissemination and genetic support of broad-spectrum beta-lactam-resistant Escherichia coli strain isolated from two Tunisian hospitals during 2004-2012.

    Science.gov (United States)

    Ayari, Khaoula; Bourouis, Amel; Chihi, Hela; Mahrouki, Sihem; Naas, Thierry; Belhadj, Omrane

    2017-06-01

    The dissemination of extended-spectrum β-lactamase (ESBL)-producing bacteria presented a great concern worldwide. Gram-negative organisms such as Escherichia coli and Klebsiella pneumoniae are the most frequently isolated pathogens responsible for nosocomial infections. The aim of this study was to investigate and to follow the emergence of resistance and the characterization of Extended-Spectrum Beta-Lactamases (ESBL) among broad-spectrum beta-lactam- Escherichia coli clinical isolates recovered from the military hospital and Habib Thameur hospital in Tunisia. A total of 113 E.coli isolates obtained during the period 2004 through 2012 showed a significant degree of multi-resistance. Among these strains, the double-disk synergy test confirmed the ESBL phenotype in 46 isolates. These included 32(70%) strains from Hospital A and 14(30%) from Hospital B. The ESBL was identified as CTX-M-15. The ESBL resistance was transferred by a 60 kb plasmid CTXM-15-producing isolates were unrelated according to the PFGE analysis and characterization of the regions surrounding the blaCTX-M-15 showed the ISEcp1 elements located in the upstream region of the bla gene and 20 of them truncated by IS26. ESBL producing E. coli strains are a serious threat in the community in Tunisia and we should take into consideration any possible spread of such epidemiological resistance.

  8. Tolerance response of multidrug-resistant Salmonella enterica strains to habituation to Origanum vulgare L. essential oil

    Science.gov (United States)

    Monte, Daniel F. M.; Tavares, Adassa G.; Albuquerque, Allan R.; Sampaio, Fábio C.; Oliveira, Tereza C. R. M.; Franco, Octavio L.; Souza, Evandro L.; Magnani, Marciane

    2014-01-01

    Multidrug-resistant Salmonella enterica isolates from human outbreaks or from poultry origin were investigated for their ability to develop direct-tolerance or cross-tolerance to sodium chloride, potassium chloride, lactic acid, acetic acid, and ciprofloxacin after habituation in subinhibitory amounts ( of the minimum inhibitory concentration – (MIC) and of the minimum inhibitory concentration – MIC) of Origanum vulgare L. essential oil (OVEO) at different time intervals. The habituation of S. enterica to OVEO did not induce direct-tolerance or cross-tolerance in the tested strains, as assessed by the modulation of MIC values. However, cells habituated to OVEO maintained or increased susceptibility to the tested antimicrobials agents, with up to fourfold double dilution decrease from previously determined MIC values. This study reports for the first time the non-inductive effect of OVEO on the acquisition of direct-tolerance or cross-tolerance in multidrug-resistant S. enterica strains to antimicrobial agents that are largely used in food preservation, as well as to CIP, the therapeutic drug of salmonellosis. PMID:25566231

  9. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dagmar Chudobova

    2014-03-01

    Full Text Available There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health.

  10. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  11. Detection of E.Coli Strains Containing Shiga Toxin (Stx1/2 Gene in Diarrheal Specimens from Children Less than 5 Years Old by PCR Technique and Study of the Patterns of Antibiotic Resistance

    Directory of Open Access Journals (Sweden)

    MR Pourmand

    2009-10-01

    Full Text Available Introduction: Shiga toxin- producing Escherichia coli (STEC is an emerging bacterial pathogen in developing countries that causes several diseases such as diarrhea, hemorrhagic colitis (HC and hemolytic uremic syndrome (HUS, particularly in children. Aim of the research was detection of STEC in diarrheal specimens from under 5 year olds and study of the patterns of antibiotic resistance of these strains. Methods: In the study,300 fecal samples were collected from children with diarrhea referring to Ali Asghar Hospital. E.coli species were isolated by standard bacteriological and biochemical tests. Presence of shiga toxin genes (stx1/2 was investigated by PCR technique (Qiagen. Antibiogram test for strains containing the toxin gene was performed using 16 different antibiotic discs (MAST by disc diffusion agar (Kirby-Bauer method. Results: From 39 E.coli isolates, 9(23.1% strains were detected by PCR to contain stx1/2 gene. One strain was resistant to all 16 antibiotics. All the STEC strains were sensitive to meropenem (MRP, imipenem (IMI, gentamycin (GEN and nitrofurantoin (NI. 4(44.44% strains showed multi-drug resistant pattern. All these 4strains were resistant to cotrimoxazole(SxT. Also, 6(66.66% strains were resistant to at least one antibiotic. Conclusion: In Iran, shiga toxin- producing Escherichia coli (STEC may be a commonly bacterial pathogen causing diarrhea, particularly in children. Therefore, we should use new techniques for investigation of these strains. Increase in number of emerging and new strains that could be resistant to classic antibiotics such as cotrimoxazole may be foreseen. It is suggested that antibiotics prescription programs in treatment of diarrhea causing E.coli strains be updated.

  12. Modified resistivity-strain behavior through the incorporation of metallic particles in conductive polymer composite fibers containing carbon nanotubes

    NARCIS (Netherlands)

    Lin, L.; Deng, H.; Gao, X.; Zhang, S.M.; Bilotti, E.; Peijs, A.A.J.M.; Fu, Q.

    2013-01-01

    Eutectic metal particles and carbon nanotubes are incorporated into a thermoplastic polyurethane matrix through a simple but efficient method, melt compounding, to tune the resistivity-strain behavior of conductive polymer composite (CPC) fibers. Such a combination of conductive fillers is rarely

  13. Effect of gamma radiation on the toxicity of milbemectin and chlorfenapyr in acaricide resistant and susceptible strains of Tetranychus urticae Koch (Acari: Tetranychidae)

    Energy Technology Data Exchange (ETDEWEB)

    Nicastro, Roberto L.; Arthur, Valter; Machi, Andre R., E-mail: rnicastro@cena.usp.br, E-mail: arthur@cena.usp.br [Laboratorio de Radiobiologia e Ambiente (CENA/USP), Piracicaba, SP (Brazil); Sato, Mario E., E-mail: mesato@biologico.sp.gov.br [Laboratorio de Acarologia, Instituto Biologico, Campinas, SP (Brazil)

    2011-07-01

    The spider mite Tetranychus urticae Koch is considered one of the most important phytophagous mites, causing considerable damage in several agricultural crops. The aim of this study was to evaluate the effect of gamma radiation on the toxicity of the acaricides milbemectin and chlorfenapyr in resistant and susceptible strains of T. urticae. The R and S strains for milbemectin and chlorfenapyr were irradiated with gamma radiation at Gamma cell-220 source at doses of 5, 10, 20, 40 e 80 Gy. Five concentrations of milbemectin and chlorfenapyr were evaluated, making applications 24 hours after irradiation. Mites of the controls were sprayed with the same acaricide concentrations used for the R and S strains but they were not exposed to gamma radiation. Experiments on the effects of gamma radiation on the growth rates of mites for acaricide resistant and susceptible strains of T. urticae were also carried out. Tests with the Milbemectin S strain showed an increased susceptibility to the acaricide milbemectin, when the mites were irradiated (20 Gy), in comparison with the control (non irradiated mites). For the Milbemectin R strain, there was no significant influence of gamma irradiation on the toxicity of milbemectin to the mites of this strain. For the Chlorfenapyr S strain, the effect of gamma radiation was similar to that observed for Milbemectin S strain, with increased toxicity of chlorfenapyr to the mites of this susceptible strain. In the case of the Chlorfenapyr R strain, the mites exposed to gamma radiation showed to be more tolerant to chlorfenapyr, considering the LC{sub 10} values. The same trend was observed for the LC{sub 50} values, however, there was no significant difference with the control. The experiments showed that doses of 200 and 300 Gy eliminated the mite populations of acaricide resistant and susceptible strains of T. urticae, in a period of ten days. The dose of 100 Gy did not lead to total elimination of the mite populations, but reduced

  14. Effect of gamma radiation on the toxicity of milbemectin and chlorfenapyr in acaricide resistant and susceptible strains of Tetranychus urticae Koch (Acari: Tetranychidae)

    International Nuclear Information System (INIS)

    Nicastro, Roberto L.; Arthur, Valter; Machi, Andre R.; Sato, Mario E.

    2011-01-01

    The spider mite Tetranychus urticae Koch is considered one of the most important phytophagous mites, causing considerable damage in several agricultural crops. The aim of this study was to evaluate the effect of gamma radiation on the toxicity of the acaricides milbemectin and chlorfenapyr in resistant and susceptible strains of T. urticae. The R and S strains for milbemectin and chlorfenapyr were irradiated with gamma radiation at Gamma cell-220 source at doses of 5, 10, 20, 40 e 80 Gy. Five concentrations of milbemectin and chlorfenapyr were evaluated, making applications 24 hours after irradiation. Mites of the controls were sprayed with the same acaricide concentrations used for the R and S strains but they were not exposed to gamma radiation. Experiments on the effects of gamma radiation on the growth rates of mites for acaricide resistant and susceptible strains of T. urticae were also carried out. Tests with the Milbemectin S strain showed an increased susceptibility to the acaricide milbemectin, when the mites were irradiated (20 Gy), in comparison with the control (non irradiated mites). For the Milbemectin R strain, there was no significant influence of gamma irradiation on the toxicity of milbemectin to the mites of this strain. For the Chlorfenapyr S strain, the effect of gamma radiation was similar to that observed for Milbemectin S strain, with increased toxicity of chlorfenapyr to the mites of this susceptible strain. In the case of the Chlorfenapyr R strain, the mites exposed to gamma radiation showed to be more tolerant to chlorfenapyr, considering the LC 10 values. The same trend was observed for the LC 50 values, however, there was no significant difference with the control. The experiments showed that doses of 200 and 300 Gy eliminated the mite populations of acaricide resistant and susceptible strains of T. urticae, in a period of ten days. The dose of 100 Gy did not lead to total elimination of the mite populations, but reduced significantly

  15. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    Science.gov (United States)

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Virulence factors, serogroups and antimicrobial resistance properties of Escherichia coli strains in fermented dairy products.

    Science.gov (United States)

    Dehkordi, Farhad Safarpoor; Yazdani, Farshad; Mozafari, Jalal; Valizadeh, Yousef

    2014-04-07

    From a clinical perspective, it is essential to know the microbial safety of fermented dairy products. Doogh and kashk are fermented dairies. These products are used by millions of people but their microbial qualities are unknown. Shiga toxin producing Escherichia coli (STEC) is one of the most commonly detected pathogens in the cases of food poisoning and food-borne illnesses. The present investigation was carried out in order to study the molecular characterization and antimicrobial resistance properties of STEC strains isolated from fermented dairy products. Six hundred fermented dairy samples were collected and immediately transferred to the laboratory. All samples were cultured immediately and those that were E. coli-positive were analyzed for the presence of O157 , O26, O103, O111, O145, O45, O91, O113, O121 and O128 STEC serogroups, tetA, tetB, blaSHV, CITM, cmlA, cat1, aadA1, dfrA1, qnr, aac (3)-IV, sul1 and ereA antibiotic resistance genes and stx1, stx2, eaeA, ehly, cnf1, cnf2, iutA, cdtB, papA, traT, sfaS and fyuA virulence factors using PCR. Antimicrobial susceptibility testing was performed also using disk diffusion methodology with Mueller-Hinton agar. Fifty out of 600 (8.33%) dairy samples harbored E. coli. In addition, yoghurt was the most commonly contaminated dairy. O157 (26%) and O26 (12%) were the most commonly detected serogroups. A significant difference was found between the frequency of Attaching and Effacing E. coli and Enterohaemorrhagic E. coli (P Fermented dairy products can easily become contaminated by antibiotic resistant STEC strains. Our findings should raise awareness about antibiotic resistance in Iran. Clinicians should exercise caution when prescribing antibiotics, especially in veterinary treatments.

  17. Short communication: The role of autoinducer 2 (AI-2) on antibiotic resistance regulation in an Escherichia coli strain isolated from a dairy cow with mastitis.

    Science.gov (United States)

    Xue, Ting; Yu, Lumin; Shang, Fei; Li, Wenchang; Zhang, Ming; Ni, Jingtian; Chen, Xiaolin

    2016-06-01

    Extended spectrum β-lactamase (ESBL)-positive Escherichia coli is a major etiological organism responsible for bovine mastitis. The autoinducer 2 (AI-2) quorum sensing system is widely present in many species of gram-negative and gram-positive bacteria and has been proposed to be involved in interspecies communication. In E. coli model strains, the functional mechanisms of AI-2 have been well studied; however, in clinical antibiotic-resistant E. coli strains, whether AI-2 affects the expression of antibiotic resistance genes has not been reported. In this study, we report that exogenous AI-2 increased the antibiotic resistance of a clinical E. coli strain isolated from a dairy cow with mastitis by upregulating the expression of TEM-type enzyme in an LsrR (LuxS regulated repressor)-dependent manner. Copyright © 2016 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  18. Relevance of the clustered regularly interspaced short palindromic repeats of Enterococcus faecalis strains isolated from retreatment root canals on periapical lesions, resistance to irrigants and biofilms.

    Science.gov (United States)

    Tong, Zhongchun; Du, Yu; Ling, Junqi; Huang, Lijia; Ma, Jinglei

    2017-12-01

    A high prevalence of Enterococcus faecalis ( E. faecalis ) is observed in teeth with root canal treatment failures. Clustered regularly interspaced short palindromic repeats (CRISPR) are widely distributed in prokaryotes that have adaptive immune systems against mobile elements, including pathogenic genes. The present study investigated the relevance of the CRISPR in E. faecalis strains isolated from retreated root canals on biofilms, periapical lesions and drug resistance. A total of 20 E. faecalis strains were extracted from the root canals of teeth referred for root canal retreatment. CRISPR-Cas loci were identified by two pairs of relevant primers and polymerase chain reaction. The susceptibility of the 20 isolated strains to intracanal irrigants was evaluated by 1- and 5-minute challenges with a mixture of a tetracycline isomer, an acid and a detergent (MTAD), 2% chlorhexidine (CHX) and 5.25% sodium hypochlorite (NaOCl). The microtiter plate assay and crystal violet staining were used to compare the biofilm formation of the E. faecalis isolate strains. Out of the 20 E. faecalis isolate strains, 5 strains that lacked CRISPR-cas determinants exhibited significant periapical lesions. Among the 15 strains containing CRISPR-cas determinants, 8 were isolated from root canals with inadequate fillings and 7 were isolated from root canals without any fillings. The five strains lacking CRISPR-cas loci were observed to be more resistant to MTAD and 2% CHX than the 15 strains that had CRISPR-cas loci. All of the strains exhibited the same susceptibility to 5.25% NaOCl. Furthermore, the 5 strains lacking CRISPR-cas determinants generated more biofilm than the other 15 strains. Thus, the results of the present study suggested that E. faecalis root canal isolates lacking CRISPR-cas exhibit higher resistance to intracanal irrigants, stronger biofilm formation and generate significant periapical lesions.

  19. Inheritance of Cry1F resistance, cross-resistance and frequency of resistant alleles in Spodoptera frugiperda (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Vélez, A M; Spencer, T A; Alves, A P; Moellenbeck, D; Meagher, R L; Chirakkal, H; Siegfried, B D

    2013-12-01

    Transgenic maize, Zea maize L., expressing the Cry1F protein from Bacillus thuringiensis has been registered for Spodoptera frugiperda (J. E. Smith) control since 2003. Unexpected damage to Cry1F maize was reported in 2006 in Puerto Rico and Cry1F resistance in S. frugiperda was documented. The inheritance of Cry1F resistance was characterized in a S. frugiperda resistant strain originating from Puerto Rico, which displayed >289-fold resistance to purified Cry1F. Concentration-response bioassays of reciprocal crosses of resistant and susceptible parental populations indicated that resistance is recessive and autosomal. Bioassays of the backcross of the F1 generation crossed with the resistant parental strain suggest that a single locus is responsible for resistance. In addition, cross-resistance to Cry1Aa, Cry1Ab, Cry1Ac, Cry1Ba, Cry2Aa and Vip3Aa was assessed in the Cry1F-resistant strain. There was no significant cross-resistance to Cry1Aa, Cry1Ba and Cry2Aa, although only limited effects were observed in the susceptible strain. Vip3Aa was highly effective against susceptible and resistant insects indicating no cross-resistance with Cry1F. In contrast, low levels of cross-resistance were observed for both Cry1Ab and Cry1Ac. Because the resistance is recessive and conferred by a single locus, an F1 screening assay was used to measure the frequency of Cry1F-resistant alleles from populations of Florida and Texas in 2010 and 2011. A total frequency of resistant alleles of 0.13 and 0.02 was found for Florida and Texas populations, respectively, indicating resistant alleles could be found in US populations, although there have been no reports of reduced efficacy of Cry1F-expressing plants.

  20. Novel types of staphylococcal cassette chromosome mec elements identified in clonal complex 398 methicillin-resistant Staphylococcus aureus strains.

    NARCIS (Netherlands)

    Li, S.; Skov, R.L.; Han, X.; Larsen, A.R.; Larsen, J.; Sorum, M.; Wulf, M.; Voss, A.; Hiramatsu, K.; Ito, T.

    2011-01-01

    The structures of staphylococcal cassette chromosome mec (SCCmec) elements carried by 31 clonal complex 398 (CC398) methicillin-resistant Staphylococcus aureus (MRSA) strains isolated from the participants at a conference were analyzed. The SCCmecs were classified into novel types, namely, IX, X,

  1. Phenotypic and genotypic characterization of antibiotic resistance of methicillin-resistant Staphylococcus aureus isolated from hospital food

    Directory of Open Access Journals (Sweden)

    Farhad Safarpoor Dehkordi

    2017-10-01

    Full Text Available Abstract Background Pathogenic biotypes of the Methicillin-resistant Staphylococcus aureus (MRSA strains are considered to be one of the major cause of food-borne diseases in hospitals. The present investigation was done to study the pattern of antibiotic resistance and prevalence of antibiotic resistance genes of different biotypes of the MRSA strains isolated from various types of hospital food samples. Methods Four-hundred and eighty-five raw and cooked hospital food samples were cultured and MRSA strains were identified using the oxacillin and cefoxitin disk diffusion tests and mecA-based PCR amplification. Isolated strains were subjected to biotyping and their antibiotic resistance patterns were analyzed using the disk diffusion and PCR methods. Results Prevalence of S. aureus and MRSA were 9.69 and 7.62%, respectively. Meat and chicken barbecues had the highest prevalence of MRSA. Prevalence of bovine, ovine, poultry and human-based biotypes in the MRSA strains were 8.10, 8.10, 32.43 and 48.64%, respectively. All of the MRSA strains recovered from soup, salad and rice samples were related to human-based biotypes. MRSA strains harbored the highest prevalence of resistance against penicillin (100%, ceftaroline (100%, tetracycline (100%, erythromycin (89.18% and trimethoprim-sulfamethoxazole (83.78%. TetK (72.97%, ermA (72.97%, msrA (64.86% and aacA-D (62.16% were the most commonly detected antibiotic resistance genes. Conclusions Pattern of antibiotic resistance and also distribution of antibiotic resistance genes were related to the biotype of MRSA strains. Presence of multi-drug resistance and also simultaneous presence of several antibiotic resistance genes in some MRSA isolates showed an important public health issue Further researches are required to found additional epidemiological aspects of the MRSA strains in hospital food samples.

  2. Whole genome sequencing for the molecular characterization of carbapenem-resistant Klebsiella pneumoniae strains isolated at the Italian ASST Fatebenefratelli Sacco Hospital, 2012-2014.

    Science.gov (United States)

    Rimoldi, Sara Giordana; Gentile, Bernardina; Pagani, Cristina; Di Gregorio, Annamaria; Anselmo, Anna; Palozzi, Anna Maria; Fortunato, Antonella; Pittiglio, Valentina; Ridolfo, Anna Lisa; Gismondo, Maria Rita; Rizzardini, Giuliano; Lista, Florigio

    2017-10-10

    The emergence of carbapenem-resistant Klebsiella pneumoniae strains is threatening antimicrobial treatment. Sixty-eight carbapenemase-producing K. pneumoniae strains isolated at Luigi Sacco University Hospital-ASST Fatebenefratelli Sacco (Milan, Italy) between 2012 and 2014 were characterised microbiologically and molecularly. They were tested for drug susceptibility and carbapenemase phenotypes, investigated by means of repetitive extra-genic palindromic polymerase chain reaction (REP-PCR), and fully sequenced by means of next-generation sequencing for the in silico analysis of multi-locus sequence typing (MLST), their resistome, virulome and plasmid content, and their core single nucleotide polymorphism (SNP) genotypes. All of the samples were resistant to carbapenems, other β-lactams and ciprofloxacin; many were resistant to aminoglycosides and tigecycline; and seven were resistant to colistin. Resistome analysis revealed the presence of blaKPC genes and, less frequently blaSHV, blaTEM, blaCTX-M and blaOXA, which are related to resistance to carbapenem and other β-lactams. Other genes conferring resistance to aminoglycoside, fluoroquinolone, phenicol, sulphonamide, tetracycline, trimethoprim and macrolide-lincosamide-streptogramin were also detected. Genes related to AcrAB-TolC efflux pump-dependent and pump-independent tigecycline resistance mechanisms were investigated, but it was not possible to clearly correlate the genomic features with tigecycline resistance because of the presence of a common mutation in susceptible, intermediate and resistant strains. Concerning colistin resistance, the mgrB gene was disrupted by an IS5-like element, and the mobile mcr-1 and mcr-2 genes were not detected in two cases. The virulome profile revealed type-3 fimbriae and iron uptake system genes, which are important during the colonisation stage in the mammalian host environment. The in silico detected plasmid replicons were classified as IncFIB(pQil), IncFIB(K), Col

  3. Regulatory T cells control strain specific resistance to Experimental Autoimmune Prostatitis

    Science.gov (United States)

    Breser, Maria L.; Lino, Andreia C.; Motrich, Ruben D.; Godoy, Gloria J.; Demengeot, Jocelyne; Rivero, Virginia E.

    2016-01-01

    Susceptibility to autoimmune diseases results from the encounter of a complex and long evolved genetic context with a no less complex and changing environment. Major actors in maintaining health are regulatory T cells (Treg) that primarily dampen a large subset of autoreactive lymphocytes escaping thymic negative selection. Here, we directly asked whether Treg participate in defining susceptibility and resistance to Experimental Autoimmune Prostatitis (EAP). We analyzed three common laboratory strains of mice presenting with different susceptibility to autoimmune prostatitis upon immunization with prostate proteins. The NOD, the C57BL/6 and the BALB/c mice that can be classified along a disease score ranging from severe, mild and to undetectable, respectively. Upon mild and transient depletion of Treg at the induction phase of EAP, each model showed an increment along this score, most remarkably with the BALB/c mice switching from a resistant to a susceptible phenotype. We further show that disease associates with the upregulation of CXCR3 expression on effector T cells, a process requiring IFNγ. Together with recent advances on environmental factors affecting Treg, these findings provide a likely cellular and molecular explanation to the recent rise in autoimmune diseases incidence. PMID:27624792

  4. Draft Genome Sequences of Three Multiantibiotic-Resistant Campylobacter jejuni Strains (2865, 2868, and 2871) Isolated from Poultry at Retail Outlets in Malaysia

    OpenAIRE

    Teh, Amy Huei Teen; Lee, Sui Mae; Dykes, Gary A.

    2016-01-01

    Campylobacter jejuni is a frequent cause of human bacterial gastrointestinal foodborne disease worldwide. Antibiotic resistance in this species is of public health concern. The draft genome sequences of three multiantibiotic-resistant C.?jejuni strains (2865, 2868, and 2871) isolated from poultry at retail outlets in Malaysia are presented here.

  5. VacA and cagA genotypes status and antimicrobial resistance properties of Helicobacter pylori strains isolated from meat products in Isfahan province, Iran.

    Science.gov (United States)

    Gilani, A; Razavilar, V; Rokni, N; Rahimi, E

    2017-01-01

    Although Helicobacter pylori has a significant impact on the occurrence of severe clinical syndromes, its exact ways of transmission and origin have not been identified. According to the results of some previously published articles, foods with animal origins play a substantial role in the transmission of H. pylori to humans. The present investigation was carried out to study the vacuolating cytotoxin A ( vacA ) and cytotoxin associated gene A ( cagA ) genotypes status and antibiotic resistance properties of H. pylori strains recovered from minced-meat and hamburger samples. A total of 150 meat product samples were collected from supermarkets. All samples were cultured and the susceptive colonies were then subjected to nested-PCR, PCR-based genotyping and disk diffusion methods. 11 out of 150 samples (7.33%) were positive for H. pylori . All the isolates were further identified using the nested-PCR assay. Prevalence of H. pylori in hamburger and minced-meat samples was 1.42% and 12.5%, respectively. S1a , m1a and cagA were the most commonly detected genotypes. The most commonly detected combined genotypes in the H. pylori strains of minced-meat were s1am1a (10%), s1am1b (10%) and s2m1a (10%). Helicobacter pylori strains of meat products harbored the highest levels of resistance against ampicillin (90.90%), erythromycin (72.72%), amoxicillin (72.72%), trimethoprim (63.63%), tetracycline (63.63%), and clarithromycin (63.63%). Hamburger and minced-meat samples may be the sources of virulent and resistant strains of H. pylori . Meat products are possible sources of resistant and virulent strains of H. pylori similar to those vacA and cagA genotypes. Using healthy raw materials and observation of personal hygiene can reduce the risk of H. pylori in meat products.

  6. Genotyping of coa and aroA Genes of Methicillin-Resistant Staphylococcus aureus Strains Isolated From Nasal Samples in Western Iran

    OpenAIRE

    Mohajeri, Parviz; Azizkhani, Samira; Farahani, Abbas; Norozi, Baharak

    2016-01-01

    Background: Methicillin-resistant Staphylococcus aureus (MRSA) is a bacterial pathogen frequently isolated in both hospital and community environments. Methicillin-resistant Staphylococcus aureus is considered a major nosocomial pathogen that causes severe morbidity and mortality. Objectives: The main objective of this study was to determine the genotypes of MRSA strains isolated from the nares of hospitalized and community patients in Kermanshah Hospital, western Iran, by PCR-restriction fra...

  7. Resistance Markers and Genetic Diversity in Acinetobacter baumannii Strains Recovered from Nosocomial Bloodstream Infections

    Directory of Open Access Journals (Sweden)

    Hanoch S. I. Martins

    2014-01-01

    Full Text Available In this study, phenotypic and genotypic methods were used to detect metallo-β-lactamases, cephalosporinases and oxacillinases and to assess genetic diversity among 64 multiresistant Acinetobacter baumannii strains recovered from blood cultures in five different hospitals in Brazil from December 2008 to June 2009. High rates of resistance to imipenem (93.75% and polymyxin B (39.06% were observed using the disk diffusion (DD method and by determining the minimum inhibitory concentration (MIC. Using the disk approximation method, thirty-nine strains (60.9% were phenotypically positive for class D enzymes, and 51 strains (79.6% were positive for cephalosporinase (AmpC. Using the E-test, 60 strains (93.75% were positive for metallo-β-lactamases (MβLs. All strains were positive for at least one of the 10 studied genes; 59 (92.1% contained blaVIM-1, 79.6% contained blaAmpC, 93.7% contained blaOXA23 and 84.3% contained blaOXA51. Enterobacteria Repetitive Intergenic Consensus (ERIC-PCR analysis revealed a predominance of certain clones that differed from each other. However, the same band pattern was observed in samples from the different hospitals studied, demonstrating correlation between the genotypic and phenotypic results. Thus, ERIC-PCR is an appropriate method for rapidly clustering genetically related isolates. These results suggest that defined clonal clusters are circulating within the studied hospitals. These results also show that the prevalence of MDR A. baumannii may vary among clones disseminated in specific hospitals, and they emphasize the importance of adhering to appropriate infection control measures.

  8. WNIN/GR-Ob - an insulin-resistant obese rat model from inbred WNIN strain.

    Science.gov (United States)

    Harishankar, N; Vajreswari, A; Giridharan, N V

    2011-09-01

    WNIN/GR-Ob is a mutant obese rat strain with impaired glucose tolerance (IGT) developed at the National Institute of Nutrition (NIN), Hyderabad, India, from the existing 80 year old Wistar rat (WNIN) stock colony. The data presented here pertain to its obese nature along with IGT trait as evidenced by physical, physiological and biochemical parameters. The study also explains its existence, in three phenotypes: homozygous lean (+/+), heterozygous carrier (+/-) and homozygous obese (-/-). Thirty animals (15 males and 15 females) from each phenotype (+/+, +/-, -/-) and 24 lean and obese (6 males and 6 females) rats were taken for growth and food intake studies respectively. Twelve adult rats from each phenotype were taken for body composition measurement by total body electrical conductivity (TOBEC); 12 rats of both genders from each phenotype at different ages were taken for clinical chemistry parameters. Physiological indices of insulin resistance were calculated according to the homeostasis model assessment for insulin resistance (HOMA-IR) and also by studying U¹⁴C 2-deoxy glucose uptake (2DG). WNINGR-Ob mutants had high growth, hyperphagia, polydipsia, polyurea, glycosuria, and significantly lower lean body mass, higher fat mass as compared with carrier and lean rats. These mutants, at 50 days of age displayed abnormal response to glucose load (IGT), hyperinsulinaemia, hypertriglyceridaemia, hypercholesterolaemia and hyperleptinaemia. Basal and insulin-stimulated glucose uptakes by diaphragm were significantly decreased in obese rats as compared with lean rats. Obese rats of the designated WNIN/GR-Ob strain showed obesity with IGT, as adjudged by physical, physiological and biochemical indices. These indices varied among the three phenotypes, being lowest in lean, highest in obese and intermediate in carrier phenotypes thereby suggesting that obesity is inherited as autosomal incomplete dominant trait in this strain. This mutant obese rat model is easy to

  9. In Vitro Synergistic Effects of Double and Triple Combinations of β-Lactams, Vancomycin, and Netilmicin against Methicillin-Resistant Staphylococcus aureus Strains

    Science.gov (United States)

    Rochon-Edouard, Stéphanie; Pestel-Caron, Martine; Lemeland, Jean-François; Caron, François

    2000-01-01

    Several studies have previously reported synergistic effects between vancomycin and a given β-lactam or a given aminoglycoside against methicillin-resistant Staphylococcus aureus (MRSA) strains. The aim of our study was to exhaustively compare the effects of different combinations of a β-lactam, vancomycin, and/or an aminoglycoside against 32 clinical MRSA strains with different aminoglycoside susceptibility patterns. The effects of 26 different β-lactam–vancomycin and 8 different aminoglycoside-vancomycin combinations were first studied using a disk diffusion screening method. The best interactions with vancomycin were observed with either imipenem, cefazolin, or netilmicin. By checkerboard studies, imipenem-vancomycin and cefazolin-vancomycin each provided a synergistic bacteriostatic effect against 22 strains; the mean fractional inhibitory concentration (FIC) indexes were 0.35 and 0.46 for imipenem-vancomycin and cefazolin-vancomycin, respectively. The vancomycin-netilmicin combination provided an indifferent effect against all of the 32 strains tested; the mean of FIC index was 1.096. The mean concentrations of imipenem, cefazolin, netilmicin, and vancomycin at which FIC indexes were calculated were clinically achievable. Killing experiments were then performed using imipenem, cefazolin, netilmicin, and vancomycin at one-half of the MIC, alone and in different combinations, against 10 strains. The vancomycin-netilmicin regimen was rarely bactericidal, even against strains susceptible to netilmicin. The imipenem-vancomycin and cefazolin-vancomycin combinations were strongly bactericidal against six and five strains, respectively. The addition of netilmicin markedly enhanced the killing activity of the combination of cefazolin or imipenem plus vancomycin, but only for the MRSA strains against which the β-lactam–vancomycin combinations had no bactericidal effect. It is noteworthy that the latter strains were both susceptible to netilmicin and

  10. Characterisation of a radiation-resistant strain of bacillus thuringiensis subsp. Aizawai with improved toxicity to larval plutella xylostella

    International Nuclear Information System (INIS)

    Mahadi, N.M.; Boo, J.M.L.; Jangi, M.S.

    1989-01-01

    A radiation-resistant strain of Bacillus thuringiensis subsp. Aizawai which was previously shown to be more toxic against larval Plutell xylostella was further characterized. Some of the growth characteristics of the mutant strain were quite different from those of the parent strain. In shake flask culture, its lag period was shorter and its cell yield was lower. The growth rate, however, was the same as that of the parent. Electron microscope studies show that the insecticidal parasporal crystals from the mutant strain are significantly bigger than those produced by the parent strain. The average length and width of the crystals were 1.25 and 0.53 um respectively whereas those of the parent were 0.87 and 0.35 um, respectively. The crystals from the mutant strain were also more toxic. The LC 50 was 0.30 ug crystal protein per ml as against 0.66 ug crystal protein per ml for those from the parent strain. Protein profile of the crystals obtained with SDS-PA gel electrophoresis showed that the mutant strain produced an additional polypeptide of 143 KDa polypeptide. The mutant strain also has an additional high molecular weight plasmid. The improved toxicity may have been brought about by a number of factors including an alteration in the regulatory mechanism that control the synthesis of the polypeptides that make up the crystals. (Auth.). 5 figs.; 21 refs.; 2 tabs

  11. A multicopy phr-plasmid increases the ultraviolet resistance of a recA strain of Escherichia coli

    International Nuclear Information System (INIS)

    Yamamoto, K.; Satake, M.; Shinagawa, H.

    1984-01-01

    It has been previously reported that the ultraviolet sensitivity of recA strains of Escherichia coli in the dark is suppressed by a plasmid pKY1 which carries the phr gene, suggesting that this is due to a novel effect of photoreactivating enzyme (PRE) of E. coli in the dark. In this work, it is observed that an increase of UV-resistance by pKY1 in the dark is not apparent in strains with a mutation in either uvrA, uvrB, uvrC, lexA, recBC or recF. The sensitivity of recA lexA and recA recBC multiple mutants to UV is suppressed by the plasmid but that of recA uvrA, recA uvrB and recA uvrC is not. Host-cell reactivation of UV-irradiated lambda phage is slightly more efficient in the recA/pKY1 strain compared with the parental recA strain. On the other hand, the recA and recA/pKY1 strains do not differ significantly in the following properties: Hfr recombination, induction of lambda by UV, and mutagenesis. It is suggested that dark repair of PRE is correlated with its capacity of excision repair. (Auth.)

  12. Antimicrobial-resistant patterns of Escherichia coli and Salmonella strains in the aquatic Lebanese environments

    International Nuclear Information System (INIS)

    Harakeh, Steve; Yassine, Hadi; El-Fadel, Mutasem

    2006-01-01

    This study is the first to be conducted in Lebanon on the isolation and molecular characterization and the antimicrobial resistance profile of environmental pathogenic bacterial strains. Fifty-seven samples of seawater, sediment, crab, and fresh water were collected during the spring and summer seasons of 2003. The isolation of Escherichia coli and Salmonella using appropriate selective media revealed that 94.7% of the tested samples were contaminated with one or both of the tested bacteria. The polymerase chain reaction (PCR) was then used to identify the species of both bacteria using various sets of primers. Many pathogenic E. coli isolates were detected by PCR out of which two were identified as O157:H7 E. coli. Similarly, the species of many of the Salmonella isolates was molecularly identified. The confirmed isolates of Salmonella and E. coli were then tested using the disk diffusion method for their susceptibility to four different antimicrobials revealing high rates of antimicrobial resistance. - First report of antibiotic resistance in bacteria in the environment in Lebanon

  13. Effect of radiation decontamination on drug-resistant bacteria

    International Nuclear Information System (INIS)

    Ito, Hitoshi

    2006-01-01

    More than 80% of food poisoning bacteria such as Salmonella are reported as antibiotic-resistant to at least one type antibiotic, and more than 50% as resistant to two or more. For the decontamination of food poisoning bacteria in foods, radiation resistibility on drug-resistant bacteria were investigated compared with drug-sensitive bacteria. Possibility on induction of drug-resistant mutation by radiation treatment was also investigated. For these studies, type strains of Escherichia coli S2, Salmonella enteritidis YK-2 and Staphylococcus aureus H12 were used to induce drug-resistant strains with penicillin G. From the study of radiation sensitivity on the drug-resistant strain induced from E. coli S2, D 10 value was obtained to be 0.20 kGy compared with 0.25 kGy at parent strain. On S. enteritidis YK-2, D 10 value was obtained to be 0.14 kGy at drug-resistant strain compared with 0.16 kGy at parent strain. D 10 value was also obtained to be 0.15 kGy at drug-resistant strain compared with 0.21 kGy at parent strain of St. aureus H12. Many isolates of E. coli 157:H7 or other type of E. coli from meats such as beef were resistant to penicillin G, and looked to be no relationship on radiation resistivities between drug-resistant strains and sensitive strains. On the study of radiation sensitivity on E. coli S2 at plate agars containing antibiotics, higher survival fractions were obtained at higher doses compared with normal plate agar. The reason of higher survival fractions at higher doses on plate agar containing antibiotics should be recovery of high rate of injured cells by the relay of cell division, and drug-resistant strains by mutation are hardly induced by irradiation. (author)

  14. Rapid detection of drug resistance and mutational patterns of extensively drug-resistant strains by a novel GenoType® MTBDRsl assay

    Directory of Open Access Journals (Sweden)

    A K Singh

    2013-01-01

    Full Text Available Background: The emergence of extensively drug-resistant tuberculosis (XDR-TB is a major concern in the India. The burden of XDR-TB is increasing due to inadequate monitoring, lack of proper diagnosis, and treatment. The GenoType ® Mycobacterium tuberculosis drug resistance second line (MTBDRsl assay is a novel line probe assay used for the rapid detection of mutational patterns conferring resistance to XDR-TB. Aim: The aim of this study was to study the rapid detection of drug resistance and mutational patterns of the XDR-TB by a novel GenoType ® MTBDRsl assay. Materials and Methods: We evaluated 98 multidrug-resistant (MDR M. tuberculosis isolates for second line drugs susceptibility testing by 1% proportion method (BacT/ALERT 3D system and GenoType ® MTBDRsl assay for rapid detection of conferring drug resistance to XDR-TB. Results: A total of seven (17.4% were identified as XDR-TB by using standard phenotypic method. The concordance between phenotypic and GenoType ® MTBDRsl assay was 91.7-100% for different antibiotics. The sensitivity and specificity of the MTBDRsl assay were 100% and 100% for aminoglycosides; 100% and 100% for fluoroquinolones; 91.7% and 100% for ethambutol. The most frequent mutations and patterns were gyrA MUT1 (A90V in seven (41.2% and gyrA + WT1-3 + MUT1 in four (23.5%; rrs MUT1 (A1401G in 11 (64.7%, and rrs WT1-2 + MUT1 in eight (47.1%; and embB MUT1B (M306V in 11 (64.7% strains. Conclusions: These data suggest that the GenoType ® MTBDRsl assay is rapid, novel test for detection of resistance to second line anti-tubercular drugs. This assay provides additional information about the frequency and mutational patterns responsible for XDR-TB resistance.

  15. Transcriptome Analysis of an Insecticide Resistant Housefly Strain: Insights about SNPs and Regulatory Elements in Cytochrome P450 Genes.

    Science.gov (United States)

    Mahmood, Khalid; Højland, Dorte H; Asp, Torben; Kristensen, Michael

    2016-01-01

    Insecticide resistance in the housefly, Musca domestica, has been investigated for more than 60 years. It will enter a new era after the recent publication of the housefly genome and the development of multiple next generation sequencing technologies. The genetic background of the xenobiotic response can now be investigated in greater detail. Here, we investigate the 454-pyrosequencing transcriptome of the spinosad-resistant 791spin strain in relation to the housefly genome with focus on P450 genes. The de novo assembly of clean reads gave 35,834 contigs consisting of 21,780 sequences of the spinosad resistant strain. The 3,648 sequences were annotated with an enzyme code EC number and were mapped to 124 KEGG pathways with metabolic processes as most highly represented pathway. One hundred and twenty contigs were annotated as P450s covering 44 different P450 genes of housefly. Eight differentially expressed P450s genes were identified and investigated for SNPs, CpG islands and common regulatory motifs in promoter and coding regions. Functional annotation clustering of metabolic related genes and motif analysis of P450s revealed their association with epigenetic, transcription and gene expression related functions. The sequence variation analysis resulted in 12 SNPs and eight of them found in cyp6d1. There is variation in location, size and frequency of CpG islands and specific motifs were also identified in these P450s. Moreover, identified motifs were associated to GO terms and transcription factors using bioinformatic tools. Transcriptome data of a spinosad resistant strain provide together with genome data fundamental support for future research to understand evolution of resistance in houseflies. Here, we report for the first time the SNPs, CpG islands and common regulatory motifs in differentially expressed P450s. Taken together our findings will serve as a stepping stone to advance understanding of the mechanism and role of P450s in xenobiotic detoxification.

  16. Anthelmintic effect of Psidium guajava and Tagetes erecta on wild-type and Levamisole-resistant Caenorhabditis elegans strains.

    Science.gov (United States)

    Piña-Vázquez, Denia M; Mayoral-Peña, Zyanya; Gómez-Sánchez, Maricela; Salazar-Olivo, Luis A; Arellano-Carbajal, Fausto

    2017-04-18

    Psidium guajava and Tagetes erecta have been used traditionally to treat gastrointestinal parasites, but their active metabolites and mechanisms of action remain largely unknown. To evaluate the anthelmintic potential of Psidium guajava and Tagetes erecta extracts on Levamisole-sensitive and Levamisole-resistant strains of the model nematode Caenorhabditis elegans. Aqueous extracts of Psidium guajava (PGE) and Tagetes erecta (TEE) were assayed on locomotion and egg-laying behaviors of the wild-type (N2) and Levamisole-resistant (CB193) strains of Caenorhabditis elegans. Both extracts paralyzed wild-type and Levamisole-resistant nematodes in a dose-dependent manner. In wild-type worms, TEE 25mg/mL induced a 75% paralysis after 8h of treatment and PGE 25mg/mL induced a 100% paralysis after 4h of treatment. PGE exerted a similar paralyzing effect on N2 wild-type and CB193 Levamisole-resistant worms, while TEE only partially paralyzed CB193 worms. TEE 25mg/mL decreased N2 egg-laying by 65% with respect to the untreated control, while PGE did it by 40%. Psidium guajava leaves and Tagetes erecta flower-heads possess hydrosoluble compounds that block the motility of Caenorhabditis elegans by a mechanism different to that of the anthelmintic drug Levamisole. Effects are also observable on oviposition, which was diminished in the wild-type worms. The strong anthelmintic effects in crude extracts of these plants warrants future work to identify their active compounds and to elucidate their molecular mechanisms of action. Copyright © 2017 Elsevier Ireland Ltd. All rights reserved.

  17. Eliminação de resistência a drogas por fluorquinolonas em Staphylococcus aureus de origem bovina Elimination of resistance to drugs by fluoroquinolones in bovine strains of Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Maria S.V. Pereira

    2004-03-01

    Full Text Available Cepas de Staphylococcus aureus de origem bovina foram submetidas ao tratamento com quatro fluoquinolonas na concentração subinibitória (1/2 x CMI, para avaliar a influência desses agentes sobre plasmídios. A ciprofloxacina mostrou ser a fluorquinolona mais eficiente, eliminando marcas de resistência para estreptomicina, tetraciclina, penicilina e cádmio. A norfloxacina e a pefloxacina eliminaram resistência para penicilina e tetraciclina, respectivamente; no entanto, não foi evidenciada a eliminação de plasmídio com ofloxacina. Os resultados confirmam a eficácia das fluor-quinolonas em eliminar plasmídios de resistência mostrando a importância desses estudos como contribuição para o entendimento da prevenção de linhagens multiresistentes, uma vez que as quinolonas em concentrações subinibitórias podem aumentar a sensibilidade das linhagens a outros agentes antimicrobianos.Bovine strains of Staphylococcus aureus were submitted to treatment with four fluoro-quinolones in subinhibitory concentrations (1/2 x MICs to evaluate their influence on the curing of plasmids. Ciprofloxacin showed to be the most efficient by eliminating resistance to streptomycin, tetracyclin, penicillin, and cadmium nitrate. Norfloxacin and pefloxacin eliminated penicillin- and tetracyclin-resistance respectively. Otherwise, plasmids elimination by ofloxacin was not evidenced. The results obtained in this study confirm the potential of fluoroquinolones to eliminate antibiotic-resistant plasmids, and showed to be a valuable contribution for the prevention of multi-resistant strains, and may even enhance their sensitivity to other chemotherapeutic agents.

  18. Corrosion induced strain monitoring through fibre optic sensors

    International Nuclear Information System (INIS)

    Grattan, S K T; Basheer, P A M; Taylor, S E; Zhao, W; Sun, T; Grattan, K T V

    2007-01-01

    The use of strain sensors is commonplace within civil engineering. Fibre optic strain sensors offer a number of advantages over the current electrical resistance type gauges. In this paper the use of fibre optic strain sensors and electrical resistance gauges to monitor the production of corrosion by-products has been investigated and reported

  19. Comparative Proteomic Analysis of Human Lung Adenocarcinoma Cisplatin-resistant Cell Strain A549/CDDP

    Directory of Open Access Journals (Sweden)

    Sien SHI

    2009-11-01

    Full Text Available Background and objective Chemotherapy plays an important role in the comprehensive therapy of lung cancer. However, the drug-resistance often causes the failure of the chemotherapy. The aim of this study is to identify differently expressed protein before and after cisplatin resistance of human lung adenocarcinoma cell A549 by proteomic analysis. Methods Cisplatin-resistant cell strain A549/CDDP was established by combining gradually increasing concentration of cisplatin with large dosage impact. Comparative proteomic analysis of A549 and A549/CDDP were carried out by means of two-dimensional gel electrophoresis. The differentially expressed proteins were detected and identified by MALDI-TOF mass spectrometry. Results Eighty-two differentially expressed proteins were screened by analysis the electrophoretic maps of A549 and A549/CDDP. Six differential proteins were analyzed by peptide mass fingerprinting. Glucose regulating protein 75, ribosomal protein S4, mitochondrial ATP synthase F1 complex beta subunit and immunoglobulin heavy chain variable region were identified. All four differentially expressed proteins were over-expressed in A549/CDDP, whereas low-expressed or no-expressed in A549. Conclusion These differentially expressed proteins give some clues to elucidate the mechanism of lung cancer cell resistant of cisplatin, providing the basis of searching for potential target of chemotherapy of lung cancer.

  20. Antibiotic resistance patterns of Escherichia coli strains isolated from surface water and groundwater samples in a pig production area

    OpenAIRE

    Roger Neto Schneider; André Nadvorny; Verônica Schmidt

    2009-01-01

    The use of antibiotics, so excessive and indiscriminate in intensive animal production, has triggered an increase in the number of resistant microorganisms which can be transported to aquatic environments. The aim of this study was to determine the profile of the antimicrobial resistance of samples of Escherichia coli isolated from groundwater and surface water in a region of pig breeding. Through the test of antimicrobial susceptibility, we analyzed 205 strains of E. coli. A high rate of res...

  1. Sequences of a co-existing SXT element, a chromosomal integron (CI) and an IncA/C plasmid and their roles in multidrug resistance in a Vibrio cholerae O1 El Tor strain.

    Science.gov (United States)

    Wang, Ruibai; Li, Jie; Kan, Biao

    2016-09-01

    The ongoing seventh cholera pandemic is attributed to Vibrio cholerae O1 El Tor biotype strains. Although antibiotic therapy ameliorates symptoms in patients and reduces pathogen transfer to the environment, multidrug resistance remains a major clinical threat. An O1 El Tor strain isolated from a patient in 1998 was intermediate or resistant to 13 antibiotics and could potentially produce extended-spectrum β-lactamase (ESBL), which is very rare in O1 strains. Using genome sequencing, three relevant genetic elements were identified in this strain: a hybrid SXT element (ICEVchCHN1307); a new IncA/C plasmid (pVC1307); and a chromosomal integron. Twenty antibiotic resistance genes were located on them, including blaTEM-1, blaCTX-M-14 and phenotypically silenced tetRA genes. These data elucidate the role of individual genetic components in antibiotic resistance and the accumulation of drug resistance genes in V. cholerae. Copyright © 2016. Published by Elsevier B.V.

  2. Characterization of a highly virulent and antimicrobial-resistant Acinetobacter baumannii strain isolated from diseased chicks in China.

    Science.gov (United States)

    Liu, Dong; Liu, Zeng-Shan; Hu, Pan; Hui, Qi; Fu, Bao-Quan; Lu, Shi-Ying; Li, Yan-Song; Zou, De-Ying; Li, Zhao-Hui; Yan, Dong-Ming; Ding, Yan-Xia; Zhang, Yuan-Yuan; Zhou, Yu; Liu, Nan-Nan; Ren, Hong-Lin

    2016-08-01

    Poultry husbandry is a very important aspect of the agricultural economy in China. However, chicks are often susceptible to infectious disease microorganisms, such as bacteria, viruses and parasites, causing large economic losses in recent years. In the present study, we isolated an Acinetobacter baumannii strain, CCGGD201101, from diseased chicks in the Jilin Province of China. Regression analyses of virulence and LD50 tests conducted using healthy chicks confirmed that A. baumannii CCGGD201101, with an LD50 of 1.81 (±0.11) × 10(4) CFU, was more virulent than A. baumannii ATCC17978, with an LD50 of 1.73 (±0.13) × 10(7) CFU. Moreover, TEM examination showed that the pili of A. baumannii CCGGD201101 were different from those of ATCC17978. Antibiotic sensitivity analyses showed that A. baumannii CCGGD201101 was sensitive to rifampicin but resistant to most other antibiotics. These results imply that A. baumannii strain CCGGD201101 had both virulence enhancement and antibiotic resistance characteristics, which are beneficial for A. baumannii survival under adverse conditions and enhance fitness and invasiveness in the host. A. baumannii CCGGD20101, with its high virulence and antimicrobial resistance, may be one of the pathogens causing death of diseased chicks. © 2016 The Societies and John Wiley & Sons Australia, Ltd.

  3. Analysis of strain distribution and critical current of superconductors based on a strain-critical current measurement system

    International Nuclear Information System (INIS)

    Liu Fang; Wu Yu; Long Feng

    2010-01-01

    Based on Pacman device which is widely used to investigate the axial strain dependence of the critical current in superconductors, the finite element analysis method is employed to carry out the force analysis of the spring and the superconducting strand, thereby the axial and lateral strain distributions of the superconducting strand are obtained. According to the two extreme assumptions(low inter-filament resistance and high inter-filament resistance), the effects of the strain homogeneity at the cross section of the superconductor on the critical current is analyzed combined with the Nb 3 Sn deviatoric strain-critical current scaling law. (authors)

  4. Neuraminidase inhibitor susceptibility profile of human influenza viruses during the 2016-2017 influenza season in Mainland China.

    Science.gov (United States)

    Huang, Weijuan; Cheng, Yanhui; Li, Xiyan; Tan, Minju; Wei, Hejiang; Zhao, Xiang; Xiao, Ning; Dong, Jie; Wang, Dayan

    2018-06-01

    To understand the current situation of antiviral-resistance of influenza viruses to neuraminidase inhibitors (NAIs) in Mainland China, The antiviral-resistant surveillance data of the circulating influenza viruses in Mainland China during the 2016-2017 influenza season were analyzed. The total 3215 influenza viruses were studied to determine 50% inhibitory concentration (IC 50 ) for oseltamivir and zanamivir using a fluorescence-based assay. Approximately 0.3% (n = 10) of viruses showed either highly reduced inhibition (HRI) or reduced inhibition (RI) against at least one NAI. The most common neuraminidase (NA) amino acid substitution was H275Y in A (H1N1)pdm09 virus, which confers HRI by oseltamivir. Two A (H1N1)pdm09 viruses contained a new NA amino acid substitution respectively, S110F and D151E, which confers RI by oseltamivir or/and zanamivir. Two B/Victoria-lineage viruses harbored a new NA amino acid substitution respectively, H134Q and S246P, which confers RI by zanamivir. One B/Victoria-lineage virus contained dual amino acid substitution NA P124T and V422I, which confers HRI by zanamivir. One B/Yamagata-lineage virus was a reassortant virus that haemagglutinin (HA) from B/Yamagata-lineage virus and NA from B/Victoria-lineage virus, defined as B/Yamagata-lineage virus confers RI by oseltamivir, but as B/Victoria-lineage virus confers normal inhibition by oseltamivir. All new substitutions that have not been reported before, the correlation of these substitutions and observed changes in IC 50 should be further assessed. During the 2016-2017 influenza season in Mainland China the majority tested viruses were susceptible to oseltamivir and zanamivir. Hence, NAIs remain the recommended antiviral for treatment and prophylaxis of influenza virus infections. Copyright © 2018 Japanese Society of Chemotherapy and The Japanese Association for Infectious Diseases. Published by Elsevier Ltd. All rights reserved.

  5. The larvicidal effects of black pepper (Piper nigrum L.) and piperine against insecticide resistant and susceptible strains of Anopheles malaria vector mosquitoes.

    Science.gov (United States)

    Samuel, Michael; Oliver, Shüné V; Coetzee, Maureen; Brooke, Basil D

    2016-04-26

    Insecticide resistance carries the potential to undermine the efficacy of insecticide based malaria vector control strategies. Therefore, there is an urgent need for new insecticidal compounds. Black pepper (dried fruit from the vine, Piper nigrum), used as a food additive and spice, and its principal alkaloid piperine, have previously been shown to have larvicidal properties. The aim of this study was to investigate the larvicidal effects of ground black pepper and piperine against third and fourth instar Anopheles larvae drawn from several laboratory-reared insecticide resistant and susceptible strains of Anopheles arabiensis, An. coluzzii, An. gambiae, An. quadriannulatus and An. funestus. Larvae were fed with mixtures of standard larval food and either ground black pepper or piperine in different proportions. Mortality was recorded 24 h after black pepper and 48 h after piperine were applied to the larval bowls. Black pepper and piperine mixtures caused high mortality in the An. gambiae complex strains, with black pepper proving significantly more toxic than piperine. The An. funestus strains were substantially less sensitive to black pepper and piperine which may reflect a marked difference in the feeding habits of this species compared to that of the Gambiae complex or a difference in food metabolism as a consequence of differences in breeding habitat between species. Insecticide resistant and susceptible strains by species proved equally susceptible to black pepper and piperine. It is concluded that black pepper shows potential as a larvicide for the control of certain malaria vector species.

  6. Comparative molecular study of Mycobacterium tuberculosis strains, in times of antimicrobial drug resistance

    Directory of Open Access Journals (Sweden)

    G. Varela

    2005-03-01

    Full Text Available Strains of Mycobacterium tuberculosis were compared using two DNA fingerprinting techniques: Restriction Fragment Length Polymorphism (RFLP and Double-Repetitive-Element-PCR (DRE-PCR. Two of these strains: IH1 (susceptible to isoniazid and IH2 (resistant to isoniazid were recovered from cases of pulmonary tuberculosis which occurred in two brothers who lived together. The first one was recognized on July 1999, and the second was diagnosed one year later. IH1 and IH2 showed the same pattern of bands with both molecular tests. These results suggest that single drug chemoprophylaxis may occasionally select resistant strains for that drug, which can eventually cause disease and be recognized through these tests. Strains IH3, IH4 and IH5 were obtained from sputum samples of 3 different patients, and intra-laboratory cross-contamination was suspected when it was realized that the 3 positive materials had been consecutively processed the same day by the same worker in the same biological safety cabinet. Again, the 3 strains revealed identical band patterns with RFLP and DRE-PCR, confirming the posed suspicion. The results with DRE-PCR were obtained after only 8 hours of work, without the need for subcultures. This procedure allows quick correction of treatment conducts, avoiding unnecessary exposure of people and bacteria to antimicrobial drugs.Se compararon cepas de Mycobacterium tuberculosis utilizando 2 procedimientos de ADN fingerprinting: polimorfismo de los fragmentos de restricción (RFLP y Double-Repetitive-Element-PCR (DRE-PCR. Dos de las cepas: IH1 (susceptible a isoniazida e IH2 (resistente a isoniazida se recuperaron a partir de casos de tuberculosis pulmonar que ocurrieron en dos hermanos convivientes. La primera fue aislada en julio de 1999 y la segunda un año después. IH1 e IH2 mostraron el mismo patrón de bandas por ambos procedimientos. Estos resultados sugieren que la quimioprofilaxis con una sola droga puede ocasionalmente

  7. Bakery by-products based feeds borne-Saccharomyces cerevisiae strains with probiotic and antimycotoxin effects plus antibiotic resistance properties for use in animal production.

    Science.gov (United States)

    Poloni, Valeria; Salvato, Lauranne; Pereyra, Carina; Oliveira, Aguida; Rosa, Carlos; Cavaglieri, Lilia; Keller, Kelly Moura

    2017-09-01

    The aim of this study was to select S. cerevisiae strains able to exert probiotic and antimycotoxin effects plus antibiotics resistance properties for use in animal production. S. cerevisiae LL74 and S. cerevisiae LL83 were isolated from bakery by-products intended for use in animal feed and examined for phenotypic characteristics and nutritional profile. Resistance to antibiotic, tolerance to gastrointestinal conditions, autoaggregation and coaggregation assay, antagonism to animal pathogens and aflatoxin B 1 binding were studied. S. cerevisiae LL74 and S. cerevisiae LL83 showed resistance to all the antibiotics assayed (ampicillin, streptomycin, neomycin, norfloxacin, penicillin G, sulfonamide and trimethoprim). The analysis showed that exposure time to acid pH had a significant impact onto the viable cell counts onto both yeast strains. Presence of bile 0.5% increased significantly the growth of the both yeast strains. Moreover, they were able to tolerate the simulated gastrointestinal conditions assayed. In general, the coaggregation was positive whereas the autoaggregation capacity was not observed. Both strains were able to adsorb AFB 1 . In conclusion, selected S. cerevisiae LL74 and S. cerevisiae LL83 have potential application to be used as a biological method in animal feed as antibiotic therapy replacement in, reducing the adverse effects of AFB 1 and giving probiotic properties. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Autolysis of methicillin-resistant and -susceptible Staphylococcus aureus.

    Science.gov (United States)

    Gustafson, J E; Berger-Bächi, B; Strässle, A; Wilkinson, B J

    1992-01-01

    The autolytic activities, including unstimulated, Triton X-100-stimulated, and daptomycin-induced, of various sets of methicillin-resistant and related methicillin-susceptible strains were compared. Faster rates of autolysis were noted in two heterogeneous methicillin-resistant transductants than in their methicillin-susceptible parental recipients, in a heterogeneous resistant strain than in a susceptible derivative created by chemical mutagenesis, and in a homogeneous resistant strain than in a derivative that had decreased methicillin resistance and was created by transposon Tn551 mutagenesis. These results suggest that the presence of the methicillin resistance region, mec, either directly or indirectly through an interaction with other host genes, confers a faster rate of autolysis on strains. Various auxilliary genes are known to affect methicillin resistance expression, and one of these genes, femA, was necessary for the expression of this faster rate of autolysis. These differences in autolytic activities were not observed in isolated crude cell walls retaining autolytic activities, suggesting different modes of regulation of autolysins in intact cells and isolated walls. In contrast, one homogeneous, highly resistant strain, DU4916, had a lower autolytic activity than did derived heterogeneous resistant and susceptible strains created by chemical mutagenesis and a strain that had decreased resistance and was created by transposon mutagenesis. Our observations suggest that methicillin resistance expression is associated with an enhanced rate of autolysis, in heterogeneous resistant strains at least. Images PMID:1320363

  9. Antibiotic Resistance, RAPD- PCR Typing of Multiple Drug Resistant Strains of Escherichia Coli From Urinary Tract Infection (UTI).

    Science.gov (United States)

    Marialouis, Xavier Alexander; Santhanam, Amutha

    2016-03-01

    Global spreading of multidrug resistant strains of Escherichia coli is responsible for Urinary Tract Infection (UTI) which is a major health problem in of concern. Among the gram negative bacteria, the major contributors for UTI belongs to the family Enterobacteriaceae, which includes E. coli, Klebsiella, Citrobacter and Proteus. However, E. coli accounts for the major cause of Urinary tract infections (UTIs) and accounts for 75% to 90% of UTI isolates. The main aim of this study is to analyse the phylogenetic grouping of clinical isolates of UTI E. coli. In this study nearly 58 E. coli strains were isolated and confirmed through microbiological, biochemical characterization. The urine samples were collected from outpatients having symptoms of UTI, irrespective of age and sex in Tamil Nadu, India. The isolates were subjected to analyse for ESBL and AmpC β-lactamase production. To understand its genetic correlation, molecular typing was carried out using RAPD-PCR method. Here we noted phenotypically twenty seven isolates were positive for ESBL and seven for AmpC β-lactamase production. However, among the ESBL isolates higher sensitivity was noted for Nitrofurantoin and Cefoxitin. It is worth to note that the prevalence of UTIs was more common among female and elderly male. Phylogenetic grouping revealed the presence of 24 isolates belonged to B2 group followed by 19 isolates to group A, eight isolates to group B1 and Seven isolates to group D. Phenotypically most of the strains were positive for ESBL and showed high sensitivity for Nitrofurantoin and cefoxitin.

  10. Mechanism of mercuric chloride resistance in microorganisms. II. NADPH-dependent reduction of mercuric chloride and vaporization of mercury from mercuric chloride by a multiple drug resistant strain of Escherichia coli

    Energy Technology Data Exchange (ETDEWEB)

    Komura, I; Funaba, T; Izaki, K

    1971-01-01

    The activity to vaporize a /sup 203/Hg compound from /sup 203/HgCl/sub 2/ was demonstrated in crude cell-free extracts of a strain of Escherichia coli W2252, which had acquired the multiple drug resistance. NADPH was essential for the vaporization, while NADH had only a slight stimulating effect and NADP/sup +/ had no effect. The oxidation of NADPH dependent on HgCl/sub 2/ was also demonstrated in the crude extracts, but the HgCl/sub 2/-dependent NADH oxidation could be demonstrated only when a partially purified enzyme preparation was used. The rate of NADH oxidation was much slower than that of NADPH oxidation. It was concluded that NADPH, and to a lesser extent NADH, act as electron donors for the enzymatic reduction of HgCl/sub 2/ and the vaporization occurs after this reduction. This reduction and subsequent vaporization seem to provide a mechanism of resistance to HgCl/sub 2/ in E. coli strains having the multiple drug resistance. 15 references, 4 figures, 4 tables.

  11. Resistance and Inactivation Kinetics of Bacterial Strains Isolated from the Non-Chlorinated and Chlorinated Effluents of a WWTP

    Directory of Open Access Journals (Sweden)

    Claudia Coronel-Olivares

    2013-08-01

    Full Text Available The microbiological quality of water from a wastewater treatment plant that uses sodium hypochlorite as a disinfectant was assessed. Mesophilic aerobic bacteria were not removed efficiently. This fact allowed for the isolation of several bacterial strains from the effluents. Molecular identification indicated that the strains were related to Aeromonas hydrophila, Escherichia coli (three strains, Enterobacter cloacae, Kluyvera cryocrescens (three strains, Kluyvera intermedia, Citrobacter freundii (two strains, Bacillus sp. and Enterobacter sp. The first five strains, which were isolated from the non-chlorinated effluent, were used to test resistance to chlorine disinfection using three sets of variables: disinfectant concentration (8, 20 and 30 mg·L−1, contact time (0, 15 and 30 min and water temperature (20, 25 and 30 °C. The results demonstrated that the strains have independent responses to experimental conditions and that the most efficient treatment was an 8 mg·L−1 dose of disinfectant at a temperature of 20 °C for 30 min. The other eight strains, which were isolated from the chlorinated effluent, were used to analyze inactivation kinetics using the disinfectant at a dose of 15 mg·L−1 with various retention times (0, 10, 20, 30, 60 and 90 min. The results indicated that during the inactivation process, there was no relationship between removal percentage and retention time and that the strains have no common response to the treatments.

  12. Protective effect of Lactobacillus casei strain Shirota against lethal infection with multi-drug resistant Salmonella enterica serovar Typhimurium DT104 in mice.

    Science.gov (United States)

    Asahara, T; Shimizu, K; Takada, T; Kado, S; Yuki, N; Morotomi, M; Tanaka, R; Nomoto, K

    2011-01-01

    The anti-infectious activity of lactobacilli against multi-drug resistant Salmonella enterica serovar Typhimurium DT104 (DT104) was examined in a murine model of an opportunistic antibiotic-induced infection. Explosive intestinal growth and subsequent lethal extra-intestinal translocation after oral infection with DT104 during fosfomycin (FOM) administration was significantly inhibited by continuous oral administration of Lactobacillus casei strain Shirota (LcS), which is naturally resistant to FOM, at a dose of 10(8) colony-forming units per mouse daily to mice. Comparison of the anti-Salmonella activity of several Lactobacillus type strains with natural resistance to FOM revealed that Lactobacillus brevis ATCC 14869(T) , Lactobacillus plantarum ATCC 14917(T) , Lactobacillus reuteri JCM 1112(T) , Lactobacillus rhamnosus ATCC 7469(T) and Lactobacillus salivarius ATCC 11741(T) conferred no activity even when they obtained the high population levels almost similar to those of the effective strains such as LcS, Lact. casei ATCC 334(T) and Lactobacillus zeae ATCC 15820(T) . The increase in concentration of organic acids and maintenance of the lower pH in the intestine because of Lactobacillus colonization were correlated with the anti-infectious activity. Moreover, heat-killed LcS was not protective against the infection, suggesting that the metabolic activity of lactobacilli is important for the anti-infectious activity. These results suggest that certain lactobacilli in combination with antibiotics may be useful for prophylaxis against opportunistic intestinal infections by multi-drug resistant pathogens, such as DT104. Antibiotics such as FOM disrupt the metabolic activity of the intestinal microbiota that produce organic acids, and that only probiotic strains that are metabolically active in vivo should be selected to prevent intestinal infection when used clinically in combination with certain antibiotics. © 2010 The Authors. Journal of Applied Microbiology

  13. Draft Genome Sequences of Three Multiantibiotic-Resistant Campylobacter jejuni Strains (2865, 2868, and 2871) Isolated from Poultry at Retail Outlets in Malaysia.

    Science.gov (United States)

    Teh, Amy Huei Teen; Lee, Sui Mae; Dykes, Gary A

    2016-05-05

    Campylobacter jejuni is a frequent cause of human bacterial gastrointestinal foodborne disease worldwide. Antibiotic resistance in this species is of public health concern. The draft genome sequences of three multiantibiotic-resistant C. jejuni strains (2865, 2868, and 2871) isolated from poultry at retail outlets in Malaysia are presented here. Copyright © 2016 Teh et al.

  14. Predictable Phenotypes of Antibiotic Resistance Mutations.

    Science.gov (United States)

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  15. Klebsiella pneumonia strains moderately resistant to ampicillin and carbenicillin: characterization of a new β-lactamase

    OpenAIRE

    Labia, Roger; Fabre, Christian; Masson, Jean-Michel; Barthelemy, Michel; Heitz, Madeleine; Pitton, Jean-S

    2017-01-01

    Klebsiella pneumoniae strain 11-03, moderately resistant to ampicillin and carbenicillin, produces one constitutive β-lactamase with an isoelectric point of 7.10 and a molecular weight of 20,000±500. The enzymatic activity is directed primarily against the penicillins, ampicillin being the best substrate. Some cephalosporins are also hydrolyzed to some extent but the affinity of the enzyme for these antibiotics is low (high Km values). It has not been possible to determine whether the biogene...

  16. Nanocomposite Strain Gauges Having Small TCRs

    Science.gov (United States)

    Gregory, Otto; Chen, Ximing

    2009-01-01

    Ceramic strain gauges in which the strain-sensitive electrically conductive strips made from nanocomposites of noble metal and indium tin oxide (ITO) are being developed for use in gas turbine engines and other power-generation systems in which gas temperatures can exceed 1,500 F (about 816 C). In general, strain gauges exhibit spurious thermally induced components of response denoted apparent strain. When temperature varies, a strain-gauge material that has a nonzero temperature coefficient of resistance (TCR) exhibits an undesired change in electrical resistance that can be mistaken for the change in resistance caused by a change in strain. It would be desirable to formulate straingauge materials having TCRs as small as possible so as to minimize apparent strain. Most metals exhibit positive TCRs, while most semiconductors, including ITO, exhibit negative TCRs. The present development is based on the idea of using the negative TCR of ITO to counter the positive TCRs of noble metals and of obtaining the benefit of the ability of both ITO and noble metals to endure high temperatures. The noble metal used in this development thus far has been platinum. Combinatorial libraries of many ceramic strain gauges containing nanocomposites of various proportions of ITO and platinum were fabricated by reactive co-sputtering from ITO and platinum targets onto alumina- and zirconia-based substrates mounted at various positions between the targets.

  17. Detection and coexistence of six categories of resistance genes in Escherichia coli strains from chickens in Anhui Province, China

    Directory of Open Access Journals (Sweden)

    Lin Li

    2015-12-01

    Full Text Available The aim of this study was to characterise the prevalence of class 1 integrons and gene cassettes, tetracycline-resistance genes, phenicol-resistance genes, 16S rRNA methylase genes, extended-spectrum β-lactamase genes and plasmid-mediated fluoroquinolone resistance determinants in 184 Escherichia coli isolates from chickens in Anhui Province, China. Susceptibility to 15 antimicrobials was determined using broth micro-dilution. Polymerase chain reaction and DNA sequencing were used to characterise the molecular basis of the antibiotic resistance. High rates of antimicrobial resistance were observed; 131 out of the 184 (72.3% isolates were resistant to at least six antimicrobial agents. The prevalences of class 1 integrons, tetracycline-resistance genes, phenicol-resistance genes, 16S rRNA methylase genes, extended-spectrum β-lactamase genes and plasmid-mediated fluoroquinolone resistance determinants were 49.5, 17.4, 15.8, 0.5, 57.6 and 46.2%, respectively. In 82 isolates, 48 different kinds of coexistence of the different genes were identified. Statistical (χ2 analysis showed that the resistance to amoxicillin, doxycycline, florfenicol, ofloxacin and gentamicin had significant differences (P<0.01 or 0.01strains that carried and did not carry the resistance genes, which showed a certain correlation between antimicrobial resistance and the presence of resistance genes.

  18. Prevalence of methicillin resistance and macrolide-lincosamide-streptogramin B resistance in Staphylococcus haemolyticus among clinical strains at a tertiary-care hospital in Thailand.

    Science.gov (United States)

    Teeraputon, S; Santanirand, P; Wongchai, T; Songjang, W; Lapsomthob, N; Jaikrasun, D; Toonkaew, S; Tophon, P

    2017-09-01

    Staphylococcus spp. is a major cause of nosocomial infection and sepsis. However, increasing drug resistance is becoming a challenge to microbiologists. The purpose of this study was to identify and determine antimicrobial resistance phenotypes and drug resistance genes of clinical coagulase-negative staphylococci (CoNS) isolates at Mae Sot Hospital in Tak province, Thailand. A total of 229 CoNS isolates were collected from clinical specimens during two periods in 2014 and in 2015. Staphylococcus haemolyticus was the most prevalent species (37.55%), followed by S. epidermidis (21.83%), S. saprophyticus (11.79%) and S. hominis (11.35%) respectively. The remaining 17.48% of the organisms comprised S. capitis, S. arlettae, S. cohnii, S. equorum, S. xylosus, S. warneri, S. sciuri, S. pettenkoferi, S. kloosii and S. lugdunensis. Methicillin-resistant CoNS (MRCoNS), containing the mec A gene, were detected in 145 of 229 isolates, mostly found in S. haemolyticus and S. epidermidis. In addition, the differentiation of their macrolide-lincosamide-streptogramin B (MLS B ) resistance phenotypes was determined by the D-test and corresponding resistance genes. Among 125 erythromycin-resistant CoNS, the prevalence of constitutive type of MLS B , inducible clindamycin resistance and macrolide-streptogramin B resistance phenotypes were 72, 13.60 and 14.40% respectively. These phenotypes were expressed in 80% of MRCoNS strains. In addition, the erm C gene (79.20%) was found to be more prevalent than the erm A gene (22.40%), especially among MRCoNS. These results indicate that CoNS may play an important role in spreading of drug resistance genes. More attention to these organisms in surveillance and monitoring programs is needed.

  19. Prevalence of methicillin resistance and macrolide–lincosamide–streptogramin B resistance in Staphylococcus haemolyticus among clinical strains at a tertiary-care hospital in Thailand

    Directory of Open Access Journals (Sweden)

    S. Teeraputon

    2017-09-01

    Full Text Available Staphylococcus spp. is a major cause of nosocomial infection and sepsis. However, increasing drug resistance is becoming a challenge to microbiologists. The purpose of this study was to identify and determine antimicrobial resistance phenotypes and drug resistance genes of clinical coagulase-negative staphylococci (CoNS isolates at Mae Sot Hospital in Tak province, Thailand. A total of 229 CoNS isolates were collected from clinical specimens during two periods in 2014 and in 2015. Staphylococcus haemolyticus was the most prevalent species (37.55%, followed by S. epidermidis (21.83%, S. saprophyticus (11.79% and S. hominis (11.35% respectively. The remaining 17.48% of the organisms comprised S. capitis, S. arlettae, S. cohnii, S. equorum, S. xylosus, S. warneri, S. sciuri, S. pettenkoferi, S. kloosii and S. lugdunensis. Methicillin-resistant CoNS (MRCoNS, containing the mecA gene, were detected in 145 of 229 isolates, mostly found in S. haemolyticus and S. epidermidis. In addition, the differentiation of their macrolide–lincosamide–streptogramin B (MLSB resistance phenotypes was determined by the D-test and corresponding resistance genes. Among 125 erythromycin-resistant CoNS, the prevalence of constitutive type of MLSB, inducible clindamycin resistance and macrolide–streptogramin B resistance phenotypes were 72, 13.60 and 14.40% respectively. These phenotypes were expressed in 80% of MRCoNS strains. In addition, the ermC gene (79.20% was found to be more prevalent than the ermA gene (22.40%, especially among MRCoNS. These results indicate that CoNS may play an important role in spreading of drug resistance genes. More attention to these organisms in surveillance and monitoring programs is needed.

  20. Effects of strain and strain-induced α′-martensite on passive films in AISI 304 austenitic stainless steel

    International Nuclear Information System (INIS)

    Lv, Jinlong; Luo, Hongyun

    2014-01-01

    In this paper, the effects of strain and heat treatment on strain-induced α′-martensite of AISI 304 stainless steel tubes were measured by X-ray diffraction. Moreover, the effects of strain and content of α′-martensite on passivated property on the surface of the material in borate buffer solution were evaluated by electrochemical technique. The results showed that the volume fraction of α′-martensite increased gradually with the increase of tensile strain for as-received and solid solution samples. However, α′-martensite in as-received sample was more than that in the solid solution sample. The electrochemical impedance spectroscopy results showed that the solid solution treatment improved corrosion resistance of the steel, especially for samples with small strain. Moreover, acceptor densities were always higher than donor densities for as-received and solid solution samples. With the increase of strain, the increase tendency of acceptor density was more significant than that of donor density. We also found that the total density of the acceptor and donor almost increased linearly with the increase of α′-martensite. The present results indicated that the increased acceptor density might lead to the decreased corrosion resistance of the steel. - Highlights: • The solid solution treatment improved corrosion resistance of the stainless steel. • The deteriorated passivated property after strain could be attributed to the increased acceptor density. • The α′-martensite reduced corrosion resistance of the stainless steel

  1. Typing discrepancy between phenotypic and molecular characterization revealing an emerging biovar 9 variant of smooth phage-resistant B. abortus strain 8416 in China

    Directory of Open Access Journals (Sweden)

    YaoXia eKang

    2015-12-01

    Full Text Available A newly isolated smooth colony morphology phage-resistant (SPR strain 8416 isolated from a 45-year-old cattle farm cleaner with clinical features of brucellosis in China was reported. The most unusual phenotype was its resistance to two Brucella phages Tbilisi and Weybridge, but sensitive to Berkeley 2, a pattern similar to that of B. melitensis biovar 1. VITEK 2 biochemical identification system found that both strain 8416 and B. melitensis strains shared positive ILATk, but negative in other B. abortus strains. However, routine biochemical and phenotypic characteristics of strain 8416 were most similar to that of B. abortus biovar 9 except CO2 requirement. In addition, multiple PCR molecular typing assays including AMOS-PCR, B. abortus special PCR (B-ab PCR and a novel sub-biovar typing PCR, indicated that strain 8416 may belong to either biovar 3b or 9 of B. abortus. Surprisingly, further MLVA typing results showed that strain 8416 was most closely related to B. abortus biovar 3 in the Brucella MLVA database, primarily differing in 4 out of 16 screened loci. Therefore, due to the unusual discrepancy between phenotypic (biochemical reactions and particular phage lysis profile and molecular typing characteristics, strain 8416 couldn’t be exactly classified to any of the existing B. abortus biovars and might be a new variant of B. abortus biovar 9. The present study also indicates that the present phage typing scheme for Brucella spp. is subject to variation and the routine Brucella biovar typing needs further studies.

  2. Typing Discrepancy Between Phenotypic and Molecular Characterization Revealing an Emerging Biovar 9 Variant of Smooth Phage-Resistant B. abortus Strain 8416 in China.

    Science.gov (United States)

    Kang, Yao-Xia; Li, Xu-Ming; Piao, Dong-Ri; Tian, Guo-Zhong; Jiang, Hai; Jia, En-Hou; Lin, Liang; Cui, Bu-Yun; Chang, Yung-Fu; Guo, Xiao-Kui; Zhu, Yong-Zhang

    2015-01-01

    A newly isolated smooth colony morphology phage-resistant strain 8416 isolated from a 45-year-old cattle farm cleaner with clinical features of brucellosis in China was reported. The most unusual phenotype was its resistance to two Brucella phages Tbilisi and Weybridge, but sensitive to Berkeley 2, a pattern similar to that of Brucella melitensis biovar 1. VITEK 2 biochemical identification system found that both strain 8416 and B. melitensis strains shared positive ILATk, but negative in other B. abortus strains. However, routine biochemical and phenotypic characteristics of strain 8416 were most similar to that of B. abortus biovar 9 except CO2 requirement. In addition, multiple PCR molecular typing assays including AMOS-PCR, B. abortus special PCR (B-ab PCR) and a novel sub-biovar typing PCR, indicated that strain 8416 may belong to either biovar 3b or 9 of B. abortus. Surprisingly, further MLVA typing results showed that strain 8416 was most closely related to B. abortus biovar 3 in the Brucella MLVA database, primarily differing in 4 out of 16 screened loci. Therefore, due to the unusual discrepancy between phenotypic (biochemical reactions and particular phage lysis profile) and molecular typing characteristics, strain 8416 could not be exactly classified to any of the existing B. abortus biovars and might be a new variant of B. abortus biovar 9. The present study also indicates that the present phage typing scheme for Brucella sp. is subject to variation and the routine Brucella biovar typing needs further studies.

  3. Leuconostoc strains isolated from dairy products: Response against food stress conditions.

    Science.gov (United States)

    D'Angelo, Luisa; Cicotello, Joaquín; Zago, Miriam; Guglielmotti, Daniela; Quiberoni, Andrea; Suárez, Viviana

    2017-09-01

    A systematic study about the intrinsic resistance of 29 strains (26 autochthonous and 3 commercial ones), belonging to Leuconostoc genus, against diverse stress factors (thermal, acidic, alkaline, osmotic and oxidative) commonly present at industrial or conservation processes were evaluated. Exhaustive result processing was made by applying one-way ANOVA, Student's test (t), multivariate analysis by Principal Component Analysis (PCA) and Matrix Hierarchical Cluster Analysis. In addition, heat adaptation on 4 strains carefully selected based on previous data analysis was assayed. The strains revealed wide diversity of resistance to stress factors and, in general, a clear relationship between resistance and Leuconostoc species was established. In this sense, the highest resistance was shown by Leuconostoc lactis followed by Leuconostoc mesenteroides strains, while Leuconostoc pseudomesenteroides and Leuconostoc citreum strains revealed the lowest resistance to the stress factors applied. Heat adaptation improved thermal cell survival and resulted in a cross-resistance against the acidic factor. However, all adapted cells showed diminished their oxidative resistance. According to our knowledge, this is the first study regarding response of Leuconostoc strains against technological stress factors and could establish the basis for the selection of "more robust" strains and propose the possibility of improving their performance during industrial processes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. Flux control-based design of furfural-resistance strains of Saccharomyces cerevisiae for lignocellulosic biorefinery.

    Science.gov (United States)

    Unrean, Pornkamol

    2017-04-01

    We have previously developed a dynamic flux balance analysis of Saccharomyces cerevisiae for elucidation of genome-wide flux response to furfural perturbation (Unrean and Franzen, Biotechnol J 10(8):1248-1258, 2015). Herein, the dynamic flux distributions were analyzed by flux control analysis to identify target overexpressed genes for improved yeast robustness against furfural. The flux control coefficient (FCC) identified overexpressing isocitrate dehydrogenase (IDH1), a rate-controlling flux for ethanol fermentation, and dicarboxylate carrier (DIC1), a limiting flux for cell growth, as keys of furfural-resistance phenotype. Consistent with the model prediction, strain characterization showed 1.2- and 2.0-fold improvement in ethanol synthesis and furfural detoxification rates, respectively, by IDH1 overexpressed mutant compared to the control. DIC1 overexpressed mutant grew at 1.3-fold faster and reduced furfural at 1.4-fold faster than the control under the furfural challenge. This study hence demonstrated the FCC-based approach as an effective tool for guiding the design of robust yeast strains.

  5. Seawater is a reservoir of multi-resistant Escherichia coli, including strains hosting plasmid-mediated quinolones resistance and extended-spectrum beta-lactamases genes.

    Science.gov (United States)

    Alves, Marta S; Pereira, Anabela; Araújo, Susana M; Castro, Bruno B; Correia, António C M; Henriques, Isabel

    2014-01-01

    The aim of this study was to examine antibiotic resistance (AR) dissemination in coastal water, considering the contribution of different sources of fecal contamination. Samples were collected in Berlenga, an uninhabited island classified as Natural Reserve and visited by tourists for aquatic recreational activities. To achieve our aim, AR in Escherichia coli isolates from coastal water was compared to AR in isolates from two sources of fecal contamination: human-derived sewage and seagull feces. Isolation of E. coli was done on Chromocult agar. Based on genetic typing 414 strains were established. Distribution of E. coli phylogenetic groups was similar among isolates of all sources. Resistances to streptomycin, tetracycline, cephalothin, and amoxicillin were the most frequent. Higher rates of AR were found among seawater and feces isolates, except for last-line antibiotics used in human medicine. Multi-resistance rates in isolates from sewage and seagull feces (29 and 32%) were lower than in isolates from seawater (39%). Seawater AR profiles were similar to those from seagull feces and differed significantly from sewage AR profiles. Nucleotide sequences matching resistance genes bla TEM, sul1, sul2, tet(A), and tet(B), were present in isolates of all sources. Genes conferring resistance to 3rd generation cephalosporins were detected in seawater (bla CTX-M-1 and bla SHV-12) and seagull feces (bla CMY-2). Plasmid-mediated determinants of resistance to quinolones were found: qnrS1 in all sources and qnrB19 in seawater and seagull feces. Our results show that seawater is a relevant reservoir of AR and that seagulls are an efficient vehicle to spread human-associated bacteria and resistance genes. The E. coli resistome recaptured from Berlenga coastal water was mainly modulated by seagulls-derived fecal pollution. The repertoire of resistance genes covers antibiotics critically important for humans, a potential risk for human health.

  6. Seawater is a reservoir of multi-resistant Escherichia coli, including strains hosting plasmid-mediated quinolones resistance and extended-spectrum beta-lactamases genes

    Directory of Open Access Journals (Sweden)

    Marta S. Alves

    2014-08-01

    Full Text Available The aim of this study was to examine antibiotic resistance (AR dissemination in coastal water, considering the contribution of different sources of faecal contamination. Samples were collected in Berlenga, an uninhabited island classified as Natural Reserve and visited by tourists for aquatic recreational activities. To achieve our aim, AR in Escherichia coli isolates from coastal water was compared to AR in isolates from two sources of faecal contamination: human-derived sewage and seagull faeces. Isolation of E. coli was done on Chromocult agar. Based on genetic typing 414 strains were established. Distribution of E. coli phylogenetic groups was similar among isolates of all sources. Resistances to streptomycin, tetracycline, cephalothin and amoxicillin were the most frequent. Higher rates of AR were found among seawater and faeces isolates, except for last-line antibiotics used in human medicine. Multi-resistance rates in isolates from sewage and seagull faeces (29% and 32% were lower than in isolates from seawater (39%. Seawater AR profiles were similar to those from seagull faeces and differed significantly from sewage AR profiles. Nucleotide sequences matching resistance genes blaTEM, sul1, sul2, tet(A and tet(B, were present in isolates of all sources. Genes conferring resistance to 3rd generation cephalosporins were detected in seawater (blaCTX-M-1 and blaSHV-12 and seagull faeces (blaCMY-2. Plasmid-mediated determinants of resistance to quinolones were found: qnrS1 in all sources and qnrB19 in seawater and seagull faeces. Our results show that seawater is a relevant reservoir of AR and that seagulls are an efficient vehicle to spread human-associated bacteria and resistance genes. The E. coli resistome recaptured from Berlenga coastal water was mainly modulated by seagulls-derived faecal pollution. The repertoire of resistance genes covers antibiotics critically important for humans, a potential risk for human health.

  7. Complete genome sequence of bacteriocin-producing Lactobacillus plantarum KLDS1.0391, a probiotic strain with gastrointestinal tract resistance and adhesion to the intestinal epithelial cells.

    Science.gov (United States)

    Jia, Fang-Fang; Zhang, Lu-Ji; Pang, Xue-Hui; Gu, Xin-Xi; Abdelazez, Amro; Liang, Yu; Sun, Si-Rui; Meng, Xiang-Chen

    2017-10-01

    Lactobacillus plantarum KLDS1.0391 is a probiotic strain isolated from the traditional fermented dairy products and identified to produce bacteriocin against Gram-positive and Gram-negative bacteria. Previous studies showed that the strain has a high resistance to gastrointestinal stress and has a high adhesion ability to the intestinal epithelial cells (Caco-2). We reported the entire genome sequence of this strain, which contains a circular 2,886,607-bp chromosome and three circular plasmids. Genes, which are related to the biosynthesis of bacteriocins, the stress resistance to gastrointestinal tract environment and adhesive performance, were identified. Whole genome sequence of Lactobacillus plantarum KLDS1.0391 will be helpful for its applications in food industry. Copyright © 2017 Elsevier Inc. All rights reserved.

  8. Cloning of the nptII gene of Escherichia coli and construction of a recombinant strain harboring functional recA and nptII antibiotic resistance.

    Science.gov (United States)

    Ghanem, S

    2011-01-01

    In an attempt to clone the ORF of the nptII gene of Escherichia coli K12 (ATCC 10798), two degenerate primers were designed based on the nptII sequence of its Tn5 transposon. The nptII ORF was placed under the control of the E. coli hybrid trc promoter, in the pKK388-1 vector, transformed into E. coli DH5α ΔrecA (recombinant, deficient strain). Transferred cells were tested for ampicillin, tetracycline, kanamycin, neomycin, geneticin, paromomycin, penicillin, and UV resistance. The neomycin phosphotransferase gene of E. coli was cloned successfully and conferred kanamycin, neomycin, geneticin, and paromomycin resistance to recombinant DH5α; this did not inhibit insertion of additional antibiotic resistance against ampicillin and tetracycline, meaning the trc promoter can express two different genes carried by two different plasmids harbored in the same cell. This resistance conferral process could be considered as an emulation of horizontal gene transfer occurring in nature and would be a useful tool for understanding mechanisms of evolution of multidrug-resistant strains.

  9. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  10. Identification of methicillin-resistant Staphylococcus aureus (MRSA) strains isolated from burn patients by multiplex PCR.

    Science.gov (United States)

    Montazeri, Effat Abbasi; Khosravi, Azar Dokht; Jolodar, Abbas; Ghaderpanah, Mozhgan; Azarpira, Samireh

    2015-05-01

    Methicillin-resistant Staphylococcus aureus (MRSA) and methicillin-resistant coagulase-negative staphylococci (MRCoNS) as important human pathogens are causes of nosocomial infections worldwide. Burn patients are at a higher risk of local and systemic infections with these microorganisms. A screening method for MRSA by using a multiplex polymerase chain reaction (PCR) targeting the 16S ribosomal RNA (rRNA), mecA, and nuc genes was developed. The aim of the present study was to investigate the potential of this PCR assay for the detection of MRSA strains in samples from burn patients. During an 11-month period, 230 isolates (53.11%) of Staphylococcus spp. were collected from burn patients. The isolates were identified as S. aureus by using standard culture and biochemical tests. DNA was extracted from bacterial colonies and multiplex PCR was used to detect MRSA and MRCoNS strains. Of the staphylococci isolates, 149 (64.9%) were identified as S. aureus and 81 (35.21%) were described as CoNS. Among the latter, 51 (62.97%) were reported to be MRCoNS. From the total S. aureus isolates, 132 (88.6%) were detected as MRSA and 17 (11.4%) were methicillin-susceptible S. aureus (MSSA). The presence of the mecA gene in all isolates was confirmed by using multiplex PCR as a gold standard method. This study presented a high MRSA rate in the region under investigation. The 16S rRNA-mecA-nuc multiplex PCR is a good tool for the rapid characterization of MRSA strains. This paper emphasizes the need for preventive measures and choosing effective antimicrobials against MRSA and MRCoNS infections in the burn units. Copyright © 2014 Elsevier Ltd and ISBI. All rights reserved.

  11. Mechanisms of antibiotic resistance in Mycobacterium tuberculosis, validation of methods BACTECTM MGIT 960 and AnyplexM TII MTB / MDR / XDR Detection for detection of antibiotic resistance to first and second line in Mycobacterium tuberculosis strains

    International Nuclear Information System (INIS)

    Centeno Urena, Yadel

    2014-01-01

    A literature review is developed of drug-resistant TB in the world and in Costa Rica. The mechanisms of resistance to antibiotics are studied of the bacterium that causes tuberculosis; drug resistance to first-line and second-line, treatment regimen according to the World Health Organization and edge detection methods available in the market. The agreement between the results is studied by the phenotypic detection system of resistance of M. tuberculosis BACTEC MGIT960 and PCR, in real-time of commercial kit Anyplex II MTB/MDR/XDR, for genotypic identification of M. tuberculosis and related mutations to resistance with the referring results to thirty strains provided by the Pan American Health Organization, allowing a significant shortening in the time of obtaining reliable results. The results obtained have allowed to suggest a possible implementation at the Centro Nacional de Referencia en Micobacteriologia (CNRM), to perform antibiotic susceptibility testing and genotypic testing of multidrug cases respectively. The study results have allowed the implementation of the technology of genotypic detection of M. tuberculosis in the CNRM, obtaining for the first time in Costa Rica, information about genes of M. tuberculosis related to the generation of resistance to the major drugs of Primary treatment scheme as well as testing of resistance to second-line drug for resistant strains referred to the Centro Nacional de Referencia en Micobacteriologia in 2013. (author) [es

  12. Characterization and antimicrobial susceptibility of one antibiotic-sensitive and one multidrug-resistant Corynebacterium kroppenstedtii strain isolated from patients with granulomatous mastitis

    Directory of Open Access Journals (Sweden)

    I. Fernández-Natal

    2016-11-01

    Full Text Available Human infections associated with Corynebacterium kroppenstedtii are rarely reported, and this organism is usually described as antibiotic sensitive. Almost all published cases of C. kroppenstedtii infections have been associated with breast pathology in women and have been described in New Zealand, France, Canada, India and Japan. Here we describe the microbiologic characteristics of two strains isolated from two women diagnosed of granulomatous mastitis in Spain. One C. kroppenstedtii isolate was antibiotic sensitive while the other was multidrug resistant. Biochemical identification was possible using a wide battery of methods including API Coryne V2.0, API Strep, API NH, API NE, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry and 16S rRNA gene amplification and sequencing. Antimicrobial susceptibility to 28 antibiotics as determined by Etest showed one isolate being sensitive to benzylpenicillin, ciprofloxacin, moxifloxacin, gentamicin, vancomycin, clindamycin, tetracycline, linezolid and rifampin. The second isolate showed resistance to ciprofloxacin, moxifloxacin, clindamycin, tetracycline and rifampin. The multidrug-resistant isolate contained the erm(X, tet(W, cmx, aphA1-IAB, strAB and sul1 resistance genes known from the R plasmid pJA144188 of Corynebacterium resistens. These genes were absent in the genome of the antibiotic-sensitive isolate. This report confirms the tropism of this microorganism for women's breasts and presents the first description of a multidrug-resistant C. kroppenstedtii strain.

  13. Simulation of the rate of transfer of antibiotic resistance between Escherichia coli strains cultured under well controlled environmental conditions

    NARCIS (Netherlands)

    Smelt, J.P.; Hoefsloot, H.C.; de Koster, C.G.; Schuurmans, J.M.; ter Kuile, B.H.; Brul, S.

    2015-01-01

    It was demonstrated that the tetracycline resistance plasmid in Escherichia coli resembling K-12 23:06 containing the E. coli plasmid DM0133 could be transferred to tetracycline sensitive E. coli K-12 MG1655 YFP. The sensitive recipient strain has a slight metabolic advantage in continuous

  14. Antibiotics resistance of Stenotrophomonas maltophilia strains ...

    African Journals Online (AJOL)

    Background: A limited number of antibiotics are recommended for the therapy of Stenotrophomonas maltophilia infections due to therapy difficulties caused by its numerous mechanisms of resistance. Objectives: In this study conducted over a period of approximately 5 years we aimed to determine resistance rates of S.

  15. Cyclic Strain Resistance, Stress Response, Fatigue Life, and Fracture Behavior of High Strength Low Alloy Steel 300 M

    Science.gov (United States)

    Manigandan, K.; Srivatsan, T. S.; Tammana, Deepthi; Poorgangi, Behrang; Vasudevan, Vijay K.

    2014-05-01

    The focus of this technical manuscript is a record of the specific role of microstructure and test specimen orientation on cyclic stress response, cyclic strain resistance, and cyclic stress versus strain response, deformation and fracture behavior of alloy steel 300 M. The cyclic strain amplitude-controlled fatigue properties of this ultra-high strength alloy steel revealed a linear trend for the variation of log elastic strain amplitude with log reversals-to-failure, and log plastic strain amplitude with log reversals-to-failure for both longitudinal and transverse orientations. Test specimens of the longitudinal orientation showed only a marginal improvement over the transverse orientation at equivalent values of plastic strain amplitude. Cyclic stress response revealed a combination of initial hardening for the first few cycles followed by gradual softening for a large portion of fatigue life before culminating in rapid softening prior to catastrophic failure by fracture. Fracture characteristics of test specimens of this alloy steel were different at both the macroscopic and fine microscopic levels over the entire range of cyclic strain amplitudes examined. Both macroscopic and fine microscopic observations revealed fracture to be a combination of both brittle and ductile mechanisms. The underlying mechanisms governing stress response, deformation characteristics, fatigue life, and final fracture behavior are presented and discussed in light of the competing and mutually interactive influences of test specimen orientation, intrinsic microstructural effects, deformation characteristics of the microstructural constituents, cyclic strain amplitude, and response stress.

  16. [New antibiotics produced by Bacillus subtilis strains].

    Science.gov (United States)

    Malanicheva, I A; Kozlov, D G; Efimenko, T A; Zenkova, V A; Kastrukha, G S; Reznikova, M I; Korolev, A M; Borshchevskaia, L N; Tarasova, O D; Sineokiĭ, S P; Efremenkova, O V

    2014-01-01

    Two Bacillus subtilis strains isolated from the fruiting body of a basidiomycete fungus Pholiota squarrosa exhibited a broad range of antibacterial activity, including those against methicillin-resistant Staphylococcus aureus INA 00761 (MRSA) and Leuconostoc mes6nteroides VKPM B-4177 resistant to glycopep-> tide antibiotics, as well as antifungal activity. The strains were identified as belonging to the "B. subtilis" com- plex based on their morphological and physiological characteristics, as well as by sequencing of the 16S rRNA gene fragments. Both strains (INA 01085 and INA 01086) produced insignificant amounts of polyene antibiotics (hexaen and pentaen, respectively). Strain INA 01086 produced also a cyclic polypeptide antibiotic containing Asp, Gly, Leu, Pro, Tyr, Thr, Trp, and Phe, while the antibiotic of strain INA 01085 contained, apart from these, two unidentified nonproteinaceous amino acids. Both polypeptide antibiotics were new compounds efficient against gram-positive bacteria and able to override the natural bacterial antibiotic resistance.

  17. Transcriptome differences between enrofloxacin-resistant and enrofloxacin-susceptible strains of Aeromonas hydrophila.

    Science.gov (United States)

    Zhu, Fengjiao; Yang, Zongying; Zhang, Yiliu; Hu, Kun; Fang, Wenhong

    2017-01-01

    Enrofloxacin is the most commonly used antibiotic to control diseases in aquatic animals caused by A. hydrophila. This study conducted de novo transcriptome sequencing and compared the global transcriptomes of enrofloxacin-resistant and enrofloxacin-susceptible strains. We got a total of 4,714 unigenes were assembled. Of these, 4,122 were annotated. A total of 3,280 unigenes were assigned to GO, 3,388 unigenes were classified into Cluster of Orthologous Groups of proteins (COG) using BLAST and BLAST2GO software, and 2,568 were mapped onto pathways using the Kyoto Encyclopedia of Gene and Genomes Pathway database. Furthermore, 218 unigenes were deemed to be DEGs. After enrofloxacin treatment, 135 genes were upregulated and 83 genes were downregulated. The GO terms biological process (126 genes) and metabolic process (136 genes) were the most enriched, and the terms for protein folding, response to stress, and SOS response were also significantly enriched. This study identified enrofloxacin treatment affects multiple biological functions of A. hydrophila. Enrofloxacin resistance in A. hydrophila is closely related to the reduction of intracellular drug accumulation caused by ABC transporters and increased expression of topoisomerase IV.

  18. Características de la resistencia antimicrobiana de una colección clínica de Strptococcus pyogenes Antimicrobial resistance of Streptococcus pyogenes clinical strains

    Directory of Open Access Journals (Sweden)

    Romeo S. Rodríguez

    2000-06-01

    Full Text Available OBJETIVO: Determinar la susceptibilidad antimicrobiana de Streptococcus pyogenes con el fin de estimar la prevalencia de los fenotipos de resistencia a los macrólidos. MATERIAL Y MÉTODOS: Se realizó un estudio de tipo transversal, en 1999, en el que se evaluaron 100 cepas de S. pyogenes, aislados en el Hospital Infantil de México Federico Gómez, en el lapso comprendido entre 1992 y 1998, procedentes de niños con faringoamigdalitis, conservadas en congelación en el laboratorio de bacteriología hasta su procesamiento. Se determinó la susceptibilidad antimicrobiana a algunos beta-lactámicos, macrólidos y clindamicina. La resistencia a eritromicina se probó por medio de la prueba de difusión de doble disco. Se calcularon medidas de tendencia central. RESULTADOS: Todas las cepas fueron sensibles a los beta-lactámicos y clindamicina; 16% fueron resistentes a los macrólidos, y todas correspondieron al fenotipo M. CONCLUSIONES: Es conveniente realizar periódicamente pruebas de escrutinio para conocer los posibles cambios en los patrones de sensibilidad estreptocócica.OBJECTIVE: To determine the antibiotic susceptibility of recent isolates of Streptococcus pyogenes and to evaluate the prevalence of macrolide-resistant phenotypes. MATERIAL AND METHODS: In 1999, we conducted a cross-sectional study at Mexico Children's Hospital "Federico Gomez", to analyze one hundred strains of S. pyogenes isolated from 1992 to 1998, in children with uncomplicated pharyngotonsillitis. Strains were frozen at the bacteriology lab until they were analyzed. Strains were tested for susceptibility against some beta-lactams, macrolides and clindamycin. Double-disk testing was carried out to evaluate erythromycin-resistant phenotypes. Data are presented using central tendency measures. RESULTS: All tested strains were not resistant to beta-lactams and clindamycin; 16% of the strains were resistant to macrolides and all of them belonged to phenotype M. CONCLUSIONS

  19. Evaluation of the synergistic potential of vancomycin combined with other antimicrobial agents against methicillin-resistant Staphylococcus aureus and coagulase-negative Staphylococcus spp strains

    Directory of Open Access Journals (Sweden)

    Lívia Viganor da Silva

    2011-02-01

    Full Text Available Methicillin-resistant Staphylococcus aureus (MRSA and coagulase-negative Staphylococcus spp (CNS are the most common pathogens that cause serious long term infections in patients. Despite the existence of new antimicrobial agents, such as linezolid, vancomycin (VAN remains the standard therapy for the treatment of infections caused by these multidrug-resistant strains. However, the use of VAN has been associated with a high frequency of therapeutic failures in some clinical scenarios, mainly with decreasing concentration of VAN. This work aims to evaluate the synergic potential of VAN plus sulfamethoxazole/trimethoprim (SXT, VAN plus rifampin (RIF and VAN plus imipenem (IPM in sub-minimum inhibitory concentrations against 22 clinical strains of MRSA and CNS. The checkerboard method showed synergism of VAN/RIF and VAN/SXT against two and three of the 22 strains, respectively. The combination of VAN with IPM showed synergistic effects against 21 out of 22 strains by the E-test method. Four strains were analyzed by the time-kill curve method and synergistic activity was observed with VAN/SXT, VAN/RIF and especially VAN/IPM in sub-inhibitory concentrations. It would be interesting to determine if synergy occurs in vivo. Evidence of in vivo synergy could lead to a reduction of the standard VAN dosage or treatment time.

  20. E119D Neuraminidase Mutation Conferring Pan-Resistance to Neuraminidase Inhibitors in an A(H1N1)pdm09 Isolate From a Stem-Cell Transplant Recipient.

    Science.gov (United States)

    L'Huillier, Arnaud G; Abed, Yacine; Petty, Tom J; Cordey, Samuel; Thomas, Yves; Bouhy, Xavier; Schibler, Manuel; Simon, Audrey; Chalandon, Yves; van Delden, Christian; Zdobnov, Evgeny; Boquete-Suter, Patricia; Boivin, Guy; Kaiser, Laurent

    2015-12-01

    An influenza A(H1N1)pdm09 infection was diagnosed in a hematopoietic stem cell transplant recipient during conditioning regimen. He was treated with oral oseltamivir, later combined with intravenous zanamivir. The H275Y neuraminidase (NA) mutation was first detected, and an E119D NA mutation was identified during zanamivir therapy. Recombinant wild-type (WT) E119D and E119D/H275Y A(H1N1)pdm09 NA variants were generated by reverse genetics. Susceptibility to NA inhibitors (NAIs) was evaluated with a fluorometric assay using the 2'-(4-methylumbelliferyl)-α-D-N-acetylneuraminic acid (MUNANA) substrate. Susceptibility to favipiravir (T-705) was assessed using plaque reduction assays. The NA affinity and velocity values were determined with NA enzymatic studies. We identified an influenza A(H1N1)pdm09 E119D mutant that exhibited a marked increase in the 50% inhibitory concentrations against all tested NAIs (827-, 25-, 286-, and 702-fold for zanamivir, oseltamivir, peramivir, and laninamivir, respectively). The double E119D/H275Y mutation further increased oseltamivir and peramivir 50% inhibitory concentrations by 790- and >5000-fold, respectively, compared with the WT. The mutant viruses remained susceptible to favipiravir. The NA affinity and velocity values of the E119D variant decreased by 8.1-fold and 4.5-fold, respectively, compared with the WT. The actual emergence of a single NA mutation conferring pan-NAI resistance in the clinical setting reinforces the pressing need to develop new anti-influenza strategies. © The Author 2015. Published by Oxford University Press on behalf of the Infectious Diseases Society of America. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.