WorldWideScience

Sample records for optimized plasma-deposited fluorocarbon

  1. Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers

    DEFF Research Database (Denmark)

    Keller, Stephan Urs; Häfliger, Daniel; Boisen, Anja

    2008-01-01

    during fluorocarbon deposition, the surface free energy of the coating can be tuned to allow for uniform wetting during spin coating of arbitrary thin SU-8 films. Further, they define an optimal pressure regime for the release of thin polymer structures at high yield. They demonstrate the successful......Plasma-deposited fluorocarbon coatings are introduced as a convenient method for the dry release of polymer structures. In this method, the passivation process in a deep reactive ion etch reactor was used to deposit hydrophobic fluorocarbon films. Standard photolithography with the negative epoxy......-based photoresist SU-8 was used to fabricate polymer structures such as cantilevers and membranes on top of the nonadhesive release layer. The authors identify the plasma density as the main parameter determining the surface properties of the deposited fluorocarbon films. They show that by modifying the pressure...

  2. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  3. Toward High-Performance Coatings for Biomedical Devices: Study on Plasma-Deposited Fluorocarbon Films and Ageing in PBS

    Directory of Open Access Journals (Sweden)

    Diego Mantovani

    2010-03-01

    Full Text Available High performance coatings tailored to medical devices represent a recognised approach to modulate surface properties. Plasma-deposited fluorocarbon films have been proposed as a potential stent coating. Previous studies have shown promising adhesion properties: the 35 nm-thick film sustained plastic deformation up to 25% such as induced during the clinical implantation. In this study, the compositional and morphological changes of plasma-deposited fluorocarbon films were examined during ageing in a pseudo-physiological medium, a phosphate buffer solution (PBS, by angle-resolved XPS, FT-IR data and AFM images. The evolution of the ageing process is discussed: defluorination and crosslinking yielded an oxidized protective top layer onto the films, which showed further degradation.

  4. Surface characterization of hydrophobic thin films deposited by inductively coupled and pulsed plasmas

    International Nuclear Information System (INIS)

    Kim, Youngsoo; Lee, Ji-Hye; Kim, Kang-Jin; Lee, Yeonhee

    2009-01-01

    Different fluorocarbon thin films were deposited on Si substrates using a plasma-polymerization method. Fluorine-containing hydrophobic thin films were obtained by inductively coupled plasma (ICP) and pulsed plasma (PP) with a mixture of fluorocarbon precursors C 2 F 6 , C 3 F 8 , and c-C 4 F 8 and the unsaturated hydrocarbons of C 2 H 2 . The influence on the fluorocarbon surfaces of the process parameters for plasma polymerization, including the gas ratio and the plasma power, were investigated under two plasma-polymerized techniques with different fluorocarbon gas precursors. The hydrophobic properties, surface morphologies, and chemical compositions were elucidated using water contact angle measurements, field emission-scanning electron microscope, x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR), and time-of-flight secondary ion mass spectrometry (TOF-SIMS). In this study, the ICP technique provides coarser grained films and more hydrophobic surfaces as well as a higher deposition rate compared to the PP technique. XPS, FT-IR, and TOF-SIMS analyses indicated that the ICP technique produced more fluorine-related functional groups, including CF 2 and CF 3 , on the surface. From the curve-fitted XPS results, fluorocarbon films grown under ICP technique exhibited less degree of cross-linking and higher CF 2 concentrations than those grown under PP technique.

  5. Plasma-deposited fluorocarbon polymer films on titanium for preventing cell adhesion: a surface finishing for temporarily used orthopaedic implants

    Science.gov (United States)

    Finke, B.; Testrich, H.; Rebl, H.; Walschus, U.; Schlosser, M.; Zietz, C.; Staehlke, S.; Nebe, J. B.; Weltmann, K. D.; Meichsner, J.; Polak, M.

    2016-06-01

    The design of a titanium implant surface should ideally support its later application in clinical use. Temporarily used implants have to fulfil requirements different from permanent implants: they should ensure the mechanical stabilization of the bone stock but in trauma surgery they should not be integrated into the bone because they will be removed after fracture healing. Finishing of the implant surface by a plasma-fluorocarbon-polymer (PFP) coating is a possible approach for preventing cell adhesion of osteoblasts. Two different low pressure gas-discharge plasma processes, microwave (MW 2.45 GHz) and capacitively coupled radio frequency (RF 13.56 MHz) plasma, were applied for the deposition of the PFP film using a mixture of the precursor octafluoropropane (C3F8) and hydrogen (H2). The thin films were characterized by x-ray photoelectron spectroscopy, Fourier transform infrared reflection absorption spectroscopy, and water contact angle measurements. Cell culture experiments show that cell adhesion and spreading of MG-63 osteoblasts were clearly reduced or nonexistent on these surfaces, also after 24 h of storage in the cell culture medium. In vivo data demonstrated that the local inflammatory tissue response for the PFP films deposited in MW and RF plasma were comparable to uncoated controls.

  6. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  7. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  8. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  9. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  10. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  11. Investigation of growth, coverage and effectiveness of plasma assisted nano-films of fluorocarbon

    International Nuclear Information System (INIS)

    Joshi, Pratik P.; Pulikollu, Rajasekhar; Higgins, Steven R.; Hu Xiaoming; Mukhopadhyay, S.M.

    2006-01-01

    Plasma-assisted functional films have significant potential in various engineering applications. They can be tailored to impart desired properties by bonding specific molecular groups to the substrate surface. The aim of this investigation was to develop a fundamental understanding of the atomic level growth, coverage and functional effectiveness of plasma nano-films on flat surfaces and to explore their application-potential for complex and uneven shaped nano-materials. In this paper, results on plasma-assisted nano-scale fluorocarbon films, which are known for imparting inertness or hydrophobicity to the surface, will be discussed. The film deposition was studied as a function of time on flat single crystal surfaces of silicon, sapphire and graphite, using microwave plasma. X-ray photoelectron spectroscopy (XPS) was used for detailed study of composition and chemistry of the substrate and coating atoms, at all stages of deposition. Atomic force microscopy (AFM) was performed in parallel to study the coverage and growth morphology of these films at each stage. Combined XPS and AFM results indicated complete coverage of all the substrates at the nanometer scale. It was also shown that these films grew in a layer-by-layer fashion. The nano-films were also applied to complex and uneven shaped nano-structured and porous materials, such as microcellular porous foam and nano fibers. It was seen that these nano-films can be a viable approach for effective surface modification of complex or uneven shaped nano-materials

  12. Deposition of polymer films in low pressure reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.

    1981-12-11

    Sputtering and plasma polymerization have found wide application as deposition techniques and have been extensively studied. R.f. sputtering of plastics, in particular of polytetrafluoroethylene, are discussed in the first part of this paper. In the second part, the general concept of plasma polymerization is considered and some examples of applications of plasma-polymerized films are presented. Special attention is paid to fluorocarbon and fluorochlorocarbon films. It has been suggested that these films could be used in thin film capacitors or as passivating layers for integrated circuits. In the optical field some of these films have been used as convenient moisture-resistant, protective and antireflecting coatings. Their mechanical properties have also been examined with the intention of using them for reducing surface friction. More recently some metals have been incorporated into fluorocarbon films to obtain layers with novel properties. Experiments in which films were prepared by the plasma polymerization of certain Freons are described. Some electrical and optical properties of these films are presented. High dielectric losses were obtained in a metal/film/metal sandwich configuration and the possible influence of ambient atmospheric effects on these measurements is discussed.

  13. Nanoscale mechanical and tribological properties of fluorocarbon films grafted onto plasma-treated low-density polyethylene surfaces

    International Nuclear Information System (INIS)

    Cheng, Q; Komvopoulos, K

    2012-01-01

    Fluorocarbon (FC) films were grafted onto Ar plasma-treated low-density polyethylene (LDPE) surfaces by plasma polymerization and deposition. The evolution of the surface morphology of the grafted FC films was investigated at different scales with an atomic force microscope. Nanoscale sliding experiments performed with a surface force microscope provided insight into the nanotribological properties of Ar plasma-treated LDPE, with and without grafted FC films, in terms of applied normal load and number of sliding cycles. The observed trends are explained in the context of microstructure models accounting for morphological and structure changes at the LDPE surface due to the effects of plasma treatment (e.g., selective etching of amorphous phase, chain crosslinking and FC film grafting) and surface sliding (e.g., crystalline lamellae alignment along the sliding direction). Nanoindentation experiments elucidated the effect of plasma treatment on surface viscoelasticity and global contact stiffness. The results of this study demonstrate that plasma-assisted grafting of FC films is an effective surface modification method for tuning the nanomechanical/tribological properties of polymers. (paper)

  14. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  15. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  16. Rare Earth Element Fluorocarbonate Minerals from the Olympic Dam Cu-U-Au-Ag Deposit, South Australia

    Directory of Open Access Journals (Sweden)

    Danielle S. Schmandt

    2017-10-01

    Full Text Available Olympic Dam is a world-class breccia-hosted iron-oxide copper-gold-uranium ore deposit located in the Gawler Craton, South Australia. It contains elevated concentrations of rare earth elements (REE which occur as the REE minerals bastnäsite, synchysite, florencite, monazite, and xenotime. This is the first study to focus on the mineralogy and composition of the most abundant REE mineral at Olympic Dam, bastnäsite, and subordinate synchysite. The sample suite extends across the deposit and represents different sulfide mineralization styles (chalcopyrite-bornite and bornite-chalcocite and breccias of various types, ranging from those dominated by clasts of granite, dykes, and hematite. The REE-fluorocarbonates (bastnäsite and synchysite typically occur as fine-grained (<50 μm disseminations in Cu-Fe-sulfides and gangue minerals, and also within breccia matrix. They are also locally concentrated within macroscopic REE-mineral-rich pockets at various locations across the deposit. Such coarse-grained samples formed the primary target of this study. Three general textural groups of bastnäsite are recognized: matrix (further divided into disseminated, fine-grained, and stubby types, irregular (sulfide-associated, and clast replacement. Textures are largely driven by the specific location and prevailing mineral assemblage, with morphology and grain size often controlled by the associated minerals (hematite, sulfides. Major element concentration data reveal limited compositional variation among the REE-fluorocarbonates; all are Ce-dominant. Subtle compositional differences among REE-fluorocarbonates define a spectrum from relatively La-enriched to (Ce + Nd-enriched phases. Granite-derived hydrothermal fluids were the likely source of F in the REE-fluorocarbonates, as well as some of the CO2, which may also have been contributed by associated mafic-ultramafic magmatism. However, transport of REE by Cl-ligands is the most likely scenario. Stubby bastn

  17. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  18. Charging and trapping of macroparticles in near-electrode regions of fluorocarbon plasmas with negative ions

    International Nuclear Information System (INIS)

    Ostrikov, K.N.; Kumar, S.; Sugai, H.

    2001-01-01

    Charging and trapping of macroparticles in the near-electrode region of fluorocarbon etching plasmas with negative ions is considered. The equilibrium charge and forces on particles are computed as a function of the local position in the plasma presheath and sheath. The ionic composition of the plasma corresponds to the etching experiments in 2.45 GHz surface-wave sustained and 13.56 MHz inductively coupled C 4 F 8 +Ar plasmas. It is shown that despite negligible negative ion currents collected by the particles, the negative fluorine ions affect the charging and trapping of particulates through modification of the sheath/presheath structure

  19. Metal doped fluorocarbon polymer films prepared by plasma polymerization using an RF planar magnetron target

    International Nuclear Information System (INIS)

    Biederman, H.; Holland, L.

    1983-01-01

    Fluorocarbon films have been prepared by plasma polymerization of CF 4 using an RF planar magnetron with an aluminium target. More than one order of magnitude higher deposition rate has been achieved in comparison with an r.f. diode system operated under similar conditions of monomer pressure and flow rate and power input. A glow discharge in a CF 4 [25%]-argon[75%] mixture was used to incorporate aluminium from a target electrode into the polymer films. The foregoing mixture and another based on CF 4 [87%]-argon[13%] were used in the RF discharge with a copper target. Some experiments with a gold target and pure CF 4 as the inlet gas were also made. The film structure was examined by SEM and TEM and characteristic micrographs are presented here. The composition of the films was estimated from an EAS study. The sheet resistivity of the metal/polymer film complexes was determined. (orig.)

  20. Metal doped fluorocarbon polymer films prepared by plasma polymerization using an RF planar magnetron target

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.; Holland, L. (Sussex Univ., Brighton (UK). Lab. for Plasma Materials Processing)

    1983-07-01

    Fluorocarbon films have been prepared by plasma polymerization of CF/sub 4/ using an RF planar magnetron with an aluminium target. More than one order of magnitude higher deposition rate has been achieved in comparison with an R.F. diode system operated under similar conditions of monomer pressure and flow rate and power input. A glow discharge in a CF/sub 4/(25%)-argon(75%) mixture was used to incorporate aluminium from a target electrode into the polymer films. The foregoing mixture and another based on CF/sub 4/(87%)-argon(13%) were used in the RF discharge with a copper target. Some experiments with a gold target and pure CF/sub 4/ as the inlet gas were also made. The film structure was examined by SEM and TEM and characteristic micrographs are presented here. The composition of the films was estimated from an EAS study. The sheet resistivity of the metal/polymer film complexes was determined.

  1. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  2. Deposition of nanostructured fluorocarbon plasma polymer films by RF magnetron sputtering of polytetrafluoroethylene

    Energy Technology Data Exchange (ETDEWEB)

    Kylian, Ondrej, E-mail: ondrej.kylian@gmail.com; Drabik, Martin; Polonskyi, Oleksandr; Cechvala, Juraj; Artemenko, Anna; Gordeev, Ivan; Choukourov, Andrei; Matolinova, Iva; Slavinska, Danka; Biederman, Hynek, E-mail: bieder@kmf.troja.mff.cuni.cz

    2011-07-29

    The RF magnetron sputtering of polytetrafluoroethylene target is studied with the aim to find out conditions leading to the deposition of super-hydrophobic thin films. It is shown that such coatings can be prepared at elevated pressures and a longer distance between the sputtered target and the substrate. This is explained by an increase in the density of longer C{sub x}F{sub y} molecules that reach the substrate and a lower flux of ions and CF{sub 2} radicals on the surface of growing film under such deposition conditions, as observed by optical emission spectroscopy and mass spectrometry. Such changes in plasma composition result in a deposition of rough films having F/C ratio close to 2 as observed by scanning electron microscopy and X-ray photoelectron spectroscopy, respectively. These findings clearly distinguish our results from the previous investigations of polytetrafluoroethylene sputtering performed at shorter distances from the target, where either low F/C ratio or low roughness of the deposited films did not allow reaching super-hydrophobic character of the coatings.

  3. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  4. Surface etching mechanism of carbon-doped Ge{sub 2}Sb{sub 2}Te{sub 5} phase change material in fluorocarbon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Lanlan [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China); Graduate School of the Chinese Academy of Sciences, Beijing (China); Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Wu, Liangcai; Liu, Bo; Feng, Songlin [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China)

    2016-09-15

    Recently, carbon-doped Ge2Sb2Te5 (CGST) phase change material has been widely researched for being highly promising material for future phase change memory application. In this paper, the reactive-ion etching of CGST film in CF{sub 4}/Ar plasma is studied. Compared with GST, the etch rate of CGST is relatively lower due to the existence of carbon which reduce the concentration of F or CF{sub x} reactive radicals. It was found that Argon plays an important role in defining the sidewall edge acuity. Compared with GST, more physical bombardment is required to obtain vertical sidewall of CGST. The effect of fluorocarbon gas on the damage of the etched CGST film was also investigated. A Ge- and Sb-deficient layer with tens of nanometers was observed by TEM combining with XPS analysis. The reaction between fluorocarbon plasma and CGST is mainly dominated by the diffusion and consumption of reactive fluorine radicals through the fluorocarbon layer into the CGST substrate material. The formation of damage layer is mainly caused by strong chemical reactivity, low volatility of reaction compounds and weak ion bombardment. (orig.)

  5. Fluorocarbon thin film with superhydrophobic property prepared by pyrolysis of hexafluoropropylene oxide

    International Nuclear Information System (INIS)

    Wang Jun; Song Xue; Li Rui; Shen Jinpeng; Yang Guangcheng; Huang Hui

    2012-01-01

    Highlights: ► We successfully prepared nanostructured fluorocarbon thin films using CVD method without any catalysts at low pyrolysis temperature (200–300 °C) of HFPO. ► The films show disparate morphology, high content of CF 2 (>90%), which are also characteristic of bulk PTFE. ► The film deposited at 300 °C shows superhydrophobic property (water contact angle of 172.7°). - Abstract: A fluorocarbon thin film with superhydrophobic property was prepared by chemical vapor deposition (CVD) method at low temperature (200–300 °C) via pyrolysis hexafluoropropylene oxide (HFPO). The experiment results indicated the morphology and structure of fluorocarbon films were strongly dependent on the pyrolysis temperature. As shown through atomic force microscope (AFM), the surface morphology of the films ranged from rodlike grains to sheets. Fourier transform infrared (FTIR) spectroscopy revealed that all the films contained the vibrational frequencies of linear CF 2 chains, which were also characteristic of bulk poly tetrafluoroethylene (PTFE). X-ray photoelectron spectroscopy (XPS) analysis showed that CF 2 structures were predominant in the films with high order. The film deposited at 300 °C exhibited a superhydrophobic surface with contact angle up to 172.7°.

  6. Fine structures and magnetic properties of FeCo granular thin films with plasma polymerized (C4F8) n matrix

    International Nuclear Information System (INIS)

    Kakizaki, K.; Yasoshima, S.; Choi, K.-K.; Kamishima, K.; Hiratsuka, N.

    2007-01-01

    In this paper a method for polymerization of fluorocarbon gas in argon plasma to obtain a novel granular structure was reported. We prepared granular films where FeCo fine particles were distributed in plasma-polymerized fluorocarbon matrix by a facing-targets RF magnetron sputtering method, and investigated the correlation between their structures and magnetic properties. The magnetization of the films prepared with the partial pressure of fluorocarbon gas between 0 and 1.0 mTorr decreased linearly, because the FeCo content in a unit volume of a film decreased when a polymerized material was used as the matrix. However, the coercivity of the films decreased drastically with increasing the partial pressure of fluorocarbon gas above 0.4 mTorr. This is because the magnetic anisotropy of FeCo particles is decreased by the decrease of grain size. It was confirmed by a TEM observation that the FeCo-(C 4 F 8 ) n films had the granular structure which was constituted by the very fine FeCo particles and the plasma-polymerized fluorocarbon matrix. For the film deposited at the partial pressure of fluorocarbon gas of 0.4 mTorr, the size of FeCo magnetic particles is about 20 nm. On the other hand, the size of FeCo particles is decreased to about 8 nm when the film deposited at the partial pressure of fluorocarbon gas of 0.8 mTorr and its distribution is small

  7. Hydrophobicity attainment and wear resistance enhancement on glass substrates by atmospheric plasma-polymerization of mixtures of an aminosilane and a fluorocarbon

    Energy Technology Data Exchange (ETDEWEB)

    Múgica-Vidal, Rodolfo, E-mail: rodolfo.mugica@alum.unirioja.es [Department of Mechanical Engineering, University of La Rioja, c/ Luis de Ulloa 20, 26004, Logroño, La Rioja (Spain); Alba-Elías, Fernando, E-mail: fernando.alba@unirioja.es [Department of Mechanical Engineering, University of La Rioja, c/ Luis de Ulloa 20, 26004, Logroño, La Rioja (Spain); Sainz-García, Elisa, E-mail: elisa.sainzg@unirioja.es [Department of Mechanical Engineering, University of La Rioja, c/ Luis de Ulloa 20, 26004, Logroño, La Rioja (Spain); Pantoja-Ruiz, Mariola, E-mail: mpruiz@ing.uc3m.es [Materials Science and Engineering Department, IAAB, Materials Performance Group, University Carlos III of Madrid, Av. Universidad 30, 28911, Leganés, Madrid (Spain)

    2015-08-30

    Graphical abstract: - Highlights: • APTES and PFH were used to coat glass by non-thermal atmospheric jet plasma. • A mixture of 75% of APTES and 25% PFH produced the best sample of this work. • Hydrophobicity was achieved by changes in surface morphology and chemistry. • Wear resistance was enhanced by the formation of siloxane groups. - Abstract: Mixtures of different proportions of two liquid precursors were subjected to plasma-polymerization by a non-thermal atmospheric jet plasma system in a search for a coating that achieves a hydrophobic character on a glass substrate and enhances its wear resistance. 1-Perfluorohexene (PFH) was chosen as a low-surface-energy precursor to promote a hydrophobic character. Aminopropyltriethoxysilane (APTES) was chosen for its contribution to the improvement of wear resistance by the formation of siloxane bonds. The objective of this work was to determine which of the precursors’ mixtures that were tested provides the coating with the most balanced enhancement of both hydrophobicity and wear resistance, given that coatings deposited with fluorocarbon-based precursors such as PFH are usually low in resistance to wear and coatings deposited with APTES are generally hydrophilic. The coatings obtained were analyzed by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Fourier Transform Infra-Red (FTIR) spectroscopy, X-ray Photoelectron Spectroscopy (XPS), static Water Contact Angle (WCA) measurements, tribological ball-on-disc tests and contact profilometry. A relationship between the achievement of a hydrophobic character and the modifications to roughness and surface morphology and the incorporation of fluorocarbon groups in the surface chemistry was observed. Also, it was seen that the wear resistance was influenced by the SiOSi content of the coatings. In turn, the SiOSi content appears to be directly related to the percentage of APTES used in the mixture of precursors. The best conjunction of

  8. Reaction of uranium and the fluorocarbon FC-75

    Science.gov (United States)

    Young, R. H.

    1985-04-01

    Because of criticality concerns with water cooling in enriched uranium upgrading, a fluorocarbon has been evaluated as a replacement coolant for internal module components in the Plasma Separation Process (PSP). The interaction of bulk uranium and of powdered uranium with FC-75 has been investigated at temperatures between 200 and 700 C. The gas pressure and the metal temperature were monitored as a function of time. Modest temperature changes of 50 to 100 C were observed for the bulk uranium/fluorocarbon reaction. Much larger changes (up to 1000 C) were noted for the reaction involving high surface area uranium powder. These temperature transients, particularly for the powdered uranium reaction, were short-lived ( 10 seconds) and indicative of the formation of a protective layer of reaction products. Analysis of residual gas products by infrared spectroscopy indicated that one potentially serious hazard, UF6, was not present; however, several small toxic fluorocarbons were produced by thermolysis and/or reaction. X-ray diffraction analysis of the residual solids indicated UF4 and UO2 were the major solid products.

  9. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  10. Plasma processed coating of laser fusion targets

    International Nuclear Information System (INIS)

    Johnson, W.L.; Letts, S.A.; Myers, D.W.; Crane, J.K.; Illige, J.D.; Hatcher, C.W.

    1979-01-01

    Coatings for laser fusion targets have been deposited in an inductively coupled discharge device by plasma polymerization. Two feed gases were used: perfluoro-2-butene, which produced a fluorocarbon coating (CF 1 3 ) with a density of 1.8 g/cc, and trans-2-butene which produced a hydrocarbon coating (CH 1 3 ) with a density of 1.0 g/cc. Uniform pin-hole free films have been deposited to a thickness of up to 30 μm of fluorocarbon and up to 110 μm of hydrocarbon. The effect of process variables on surface smoothness has been investigated. The basic defect in the coating has been found to result from shadowing by a small surface irregularity in an anisotropic coating flux

  11. Biomimetic Fluorocarbon Surfactant Polymers Reduce Platelet Adhesion on PTFE/ePTFE Surfaces

    Science.gov (United States)

    Wang, Shuwu; Gupta, Anirban Sen; Sagnella, Sharon; Barendt, Pamela M.; Kottke-Marchant, Kandice; Marchant, Roger E.

    2010-01-01

    We describe a series of fluorocarbon surfactant polymers designed as surface-modifying agents for improving the thrombogenicity of ePTFE vascular graft materials by the reduction of platelet adhesion. The surfactant polymers consist of a poly(vinyl amine) backbone with pendent dextran and perfluoroundecanoyl branches. Surface modification is accomplished by a simple dip-coating process in which surfactant polymers undergo spontaneous surface-induced adsorption and assembly on PTFE/ePTFE surface. The adhesion stability of the surfactant polymer on PTFE was examined under dynamic shear conditions in PBS and human whole blood with a rotating disk system. Fluorocarbon surfactant polymer coatings with three different dextran to perfluorocarbon ratios (1:0.5, 1:1 and 1:2) were compared in the context of platelet adhesion on PTFE/ePTFE surface under dynamic flow conditions. Suppression of platelet adhesion was achieved for all three coated surfaces over the shear-stress range of 0–75 dyn/cm2 in platelet-rich plasma (PRP) or human whole blood. The effectiveness depended on the surfactant polymer composition such that platelet adhesion on coated surfaces decreased significantly with increasing fluorocarbon branch density at 0 dyn/cm2. Our results suggest that fluorocarbon surfactant polymers can effectively suppress platelet adhesion and demonstrate the potential application of the fluorocarbon surfactant polymers as non-thrombogenic coatings for ePTFE vascular grafts. PMID:19323880

  12. Fluorocarbon polymer formation, characterization, and reduction in polycrystalline-silicon etching with CF4-added plasma

    International Nuclear Information System (INIS)

    Xu Songlin; Sun Zhiwen; Chen Arthur; Qian Xueyu; Podlesnik, Dragan

    2001-01-01

    Addition of CF 4 into HBr-based plasma for polycrystalline-silicon gate etching reduces the deposition of an etch byproduct, silicon oxide, onto the chamber wall but tends to generate organic polymer. In this work, a detailed study has been carried out to analyze the mechanism of polymerization and to characterize the polymer composition and quantity. The study has shown that the polymer formation is due to the F-radical depletion by H atoms dissociated from HBr. The composition of the polymer changes significantly with CF 4 concentration in the gas feed, and the polymer deposition rate depends on CF 4 % and other process conditions such as source power, bias power, and pressure. Surface temperature also affects the polymer deposition rate. Adding O 2 into the plasma can clean the organic polymer, but the O 2 amount has to be well controlled in order to prevent the formation of silicon oxide. Based on a series of tests to evaluate polymer deposition and oxide cleaning with O 2 addition, an optimized process regime in terms of O 2 -to-CF 4 ratio has been identified to simultaneously suppress the polymer and oxide deposition so that the etch process becomes self-cleaning

  13. Curable fluorocarbon substituted polyetherurethaneacrylates

    International Nuclear Information System (INIS)

    Newell, R.G.; Wolf, S.F.

    1981-01-01

    Radiation polymerizable compositions comprise polyetherurethaneacrylates having pendant fluorocarbon substituents. The compositions may be radiation polymerized, e.g. by electron beam, actinic light or heat, to a light transmissive material. The fluorocarbon substituent generally has the formula-W-Rsub(f), wherein W is a divalent connecting moiety and Rsub(f) is a highly fluorinated, preferably perfluorinated, aliphatic, aryl or alkaryl radical. These compositions may be utilized as 100% solids. The compositions are particularly useful for joining electro-optical components, and as a protective coating. (author)

  14. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  15. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  16. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  17. The possible impact of fluorocarbons and halocarbons on ozone

    International Nuclear Information System (INIS)

    1975-05-01

    Partial contents: Chemistry-(The production and atmospheric release of fluorocarbons and certain other chlorine compounds, Photochemistry of fluorocarbons); Measurement techniques-(Stratospheric sampling platforms, Methods for measuring fluorocarbons and other halocarbons); Measurements-(Halogenated organic compounds in the troposphere, Stratospheric measurement of oxides of nitrogen, Total ozone trends); Models-(Assessment of the accuracy of atmospheric transport, Model prediction of ozone depletion); Effects-

  18. Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2016-01-15

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C{sub 4}F{sub 8} ALE based on steady-state Ar plasma in conjunction with periodic, precise C{sub 4}F{sub 8} injection and synchronized plasma-based low energy Ar{sup +} ion bombardment has been established for SiO{sub 2} [Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014)]. In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF{sub 3} as a precursor is examined and compared to C{sub 4}F{sub 8}. CHF{sub 3} is shown to enable selective SiO{sub 2}/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and x-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. Plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.

  19. Optimization of cathodic arc deposition and pulsed plasma melting techniques for growing smooth superconducting Pb photoemissive films for SRF injectors

    Science.gov (United States)

    Nietubyć, Robert; Lorkiewicz, Jerzy; Sekutowicz, Jacek; Smedley, John; Kosińska, Anna

    2018-05-01

    Superconducting photoinjectors have a potential to be the optimal solution for moderate and high current cw operating free electron lasers. For this application, a superconducting lead (Pb) cathode has been proposed to simplify the cathode integration into a 1.3 GHz, TESLA-type, 1.6-cell long purely superconducting gun cavity. In the proposed design, a lead film several micrometres thick is deposited onto a niobium plug attached to the cavity back wall. Traditional lead deposition techniques usually produce very non-uniform emission surfaces and often result in a poor adhesion of the layer. A pulsed plasma melting procedure reducing the non-uniformity of the lead photocathodes is presented. In order to determine the parameters optimal for this procedure, heat transfer from plasma to the film was first modelled to evaluate melting front penetration range and liquid state duration. The obtained results were verified by surface inspection of witness samples. The optimal procedure was used to prepare a photocathode plug, which was then tested in an electron gun. The quantum efficiency and the value of cavity quality factor have been found to satisfy the requirements for an injector of the European-XFEL facility.

  20. Coating material innovation in conjunction with optimized deposition technologies

    International Nuclear Information System (INIS)

    Stolze, M.; Leitner, K.

    2009-01-01

    Concentrating on physical vapour deposition methods several examples of recently developed coating materials for optical applications were studied for film deposition with optimized coating technologies: mixed evaporation materials for ion assisted deposition with modern plasma ion sources, planar metal and oxide sputter targets for Direct Current (DC) and Mid-Frequency (MF) pulsed sputter deposition and planar and rotatable sputter targets of transparent conductive oxides (TCO) for large-area sputter deposition. Films from specially designed titania based mixed evaporation materials deposited with new plasma ion sources and possible operation with pure oxygen showed extended ranges of the ratio between refractive index and structural film stress, hence there is an increased potential for the reduction of the total coating stress in High-Low alternating stacks and for coating plastics. DC and MF-pulsed sputtering of niobium metal and suboxide targets for optical coatings yielded essential benefits of the suboxide targets in a range of practical coating conditions (for absent in-situ post-oxidation ability): higher refractive index and deposition rate, better reproducibility and easier process control, and the potential for co-deposition of several targets. Technological progress in the manufacture of rotatable indium tin oxide (ITO) targets with regard to higher wall-thickness and density was shown to be reflected in higher material stock and coater up-time, economical deposition rates and stable process behaviour. Both for the rotatable ITO targets and higher-dense aluminum-doped zinc oxide (AZO) planar targets values of film transmittance and resistivity were in the range of the best values industrially achieved for films from the respective planar targets. The results for the rotatable ITO and planar AZO targets point to equally optimized process and film properties for the optimized rotatable AZO targets currently in testing

  1. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  2. Fluorocarbon Adsorption in Hierarchical Porous Frameworks

    Energy Technology Data Exchange (ETDEWEB)

    Motkuri, Radha K.; Annapureddy, Harsha V.; Vijayakumar, M.; Schaef, Herbert T.; Martin, P F.; McGrail, B. Peter; Dang, Liem X.; Krishna, Rajamani; Thallapally, Praveen K.

    2014-07-09

    The adsorption behavior of a series of fluorocarbon derivatives was examined on a set of microporous metal organic framework (MOF) sorbents and another set of hierarchical mesoporous MOFs. The microporous M-DOBDC (M = Ni, Co) showed a saturation uptake capacity for R12 of over 4 mmol/g at a very low relative saturation pressure (P/Po) of 0.02. In contrast, the mesoporous MOF MIL-101 showed an exceptionally high uptake capacity reaching over 14 mmol/g at P/Po of 0.4. Adsorption affinity in terms of mass loading and isosteric heats of adsorption were found to generally correlate with the polarizability of the refrigerant with R12 > R22 > R13 > R14 > methane. These results suggest the possibility of exploiting MOFs for separation of azeotropic mixtures of fluorocarbons and use in eco-friendly fluorocarbon-based adsorption cooling and refrigeration applications.

  3. Positron deposition in plasmas by positronium beam ionization and transport of positrons in tokamak plasmas

    International Nuclear Information System (INIS)

    Murphy, T.J.

    1986-11-01

    In a recently proposed positron transport experiment, positrons would be deposited in a fusion plasma by forming a positronium (Ps) beam and passing it through the plasma. Positrons would be deposited as the beam is ionized by plasma ions and electrons. Radial transport of the positrons to the limiter could then be measured by detecting the gamma radiation produced by annihilation of positrons with electrons in the limiter. This would allow measurements of the transport of electron-mass particles and might shed some light on the mechanisms of electron transport in fusion plasmas. In this paper, the deposition and transport of positrons in a tokamak are simulated and the annihilation signal determined for several transport models. Calculations of the expected signals are necessary for the optimal design of a positron transport experiment. There are several mechanisms for the loss of positrons besides transport to the limiter. Annihilation with plasma electrons and reformation of positronium in positron-hydrogen collisions are two such processes. These processes can alter the signal and place restrictions ons on the plasma conditions in which positron transport experiments can be effectively performed

  4. Fluorocarbon adsorption in hierarchical porous frameworks

    Energy Technology Data Exchange (ETDEWEB)

    Motkuri, RK; Annapureddy, HVR; Vijaykumar, M; Schaef, HT; Martin, PF; McGrail, BP; Dang, LX; Krishna, R; Thallapally, PK

    2014-07-09

    Metal-organic frameworks comprise an important class of solid-state materials and have potential for many emerging applications such as energy storage, separation, catalysis and bio-medical. Here we report the adsorption behaviour of a series of fluorocarbon derivatives on a set of microporous and hierarchical mesoporous frameworks. The microporous frameworks show a saturation uptake capacity for dichlorodifluoromethane of >4 mmol g(-1) at a very low relative saturation pressure (P/P-o) of 0.02. In contrast, the mesoporous framework shows an exceptionally high uptake capacity reaching >14 mmol g(-1) at P/P-o of 0.4. Adsorption affinity in terms of mass loading and isosteric heats of adsorption is found to generally correlate with the polarizability and boiling point of the refrigerant, with dichlorodifluoromethane >chlorodifluoromethane >chlorotrifluoromethane >tetrafluoromethane >methane. These results suggest the possibility of exploiting these sorbents for separation of azeotropic mixtures of fluorocarbons and use in eco-friendly fluorocarbon-based adsorption cooling.

  5. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  6. Fluoro-Carbonate Solvents for Li-Ion Cells

    International Nuclear Information System (INIS)

    NAGASUBRAMANIAN, GANESAN

    1999-01-01

    A number of fluoro-carbonate solvents were evaluated as electrolytes for Li-ion cells. These solvents are fluorine analogs of the conventional electrolyte solvents such as dimethyl carbonate, ethylene carbonate, diethyl carbonate in Li-ion cells. Conductivity of single and mixed fluoro carbonate electrolytes containing 1 M LiPF(sub 6) was measured at different temperatures. These electrolytes did not freeze at -40 C. We are evaluating currently, the irreversible 1st cycle capacity loss in carbon anode in these electrolytes and the capacity loss will be compared to that in the conventional electrolytes. Voltage stability windows of the electrolytes were measured at room temperature and compared with that of the conventional electrolytes. The fluoro-carbon electrolytes appear to be more stable than the conventional electrolytes near Li voltage. Few preliminary electrochemical data of the fluoro-carbonate solvents in full cells are reported in the literature. For example, some of the fluorocarbonate solvents appear to have a wider voltage window than the conventional electrolyte solvents. For example, methyl 2,2,2 trifluoro ethyl carbonate containing 1 M LiPF(sub 6) electrolyte has a decomposition voltage exceeding 6 V vs. Li compared to and lt;5 V for conventional electrolytes. The solvent also appears to be stable in contact with lithium at room temperature

  7. Process for synthesizing a new series of fluorocarbon polymers

    Science.gov (United States)

    Toy, M. S.

    1970-01-01

    Two-step process for preparing fluorocarbon materials includes - /1/ adding gaseous fluorine to a polyperfluoropolyene to create fluorocarbon radicals, with reactive sites at unsaturated carbon atoms, and /2/ introducing a monomer, after evacuation of fluorine gas, and allowing copolymerization with the free radicals.

  8. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  9. From superamphiphobic to amphiphilic polymeric surfaces with ordered hierarchical roughness fabricated with colloidal lithography and plasma nanotexturing.

    Science.gov (United States)

    Ellinas, K; Tserepi, A; Gogolides, E

    2011-04-05

    Ordered, hierarchical (triple-scale), superhydrophobic, oleophobic, superoleophobic, and amphiphilic surfaces on poly(methyl methacrylate) PMMA polymer substrates are fabricated using polystyrene (PS) microparticle colloidal lithography, followed by oxygen plasma etching-nanotexturing (for amphiphilic surfaces) and optional subsequent fluorocarbon plasma deposition (for amphiphobic surfaces). The PS colloidal microparticles were assembled by spin-coating. After etching/nanotexturing, the PMMA plates are amphiphilic and exhibit hierarchical (triple-scale) roughness with microscale ordered columns, and dual-scale (hundred nano/ten nano meter) nanoscale texture on the particles (top of the column) and on the etched PMMA surface. The spacing, diameter, height, and reentrant profile of the microcolumns are controlled with the etching process. Following the design requirements for superamphiphobic surfaces, we demonstrate enhancement of both hydrophobicity and oleophobicity as a result of hierarchical (triple-scale) and re-entrant topography. After fluorocarbon film deposition, we demonstrate superhydrophobic surfaces (contact angle for water 168°, compared to 110° for a flat surface), as well as superoleophobic surfaces (153° for diiodomethane, compared to 80° for a flat surface).

  10. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  11. Gain and loss mechanisms for neutral species in low pressure fluorocarbon plasmas by infrared spectroscopy

    International Nuclear Information System (INIS)

    Nelson, Caleb T.; Overzet, Lawrence J.; Goeckner, Matthew J.

    2012-01-01

    This article examines the chemical reaction pathways of stable neutral species in fluorocarbon plasmas. Octafluorocyclobutane (c-C 4 F 8 ) inductively coupled plasma discharges were found to primarily produce stable and metastable products downstream from the discharge, including c-C 4 F 8 , C 2 F 4 , C 2 F 6 , CF 4 , C 3 F 8 , C 4 F 10 , C 3 F 6 , and CF 2 . A novel analysis technique allows the estimation of gain and loss rates for neutral species in the steady state as functions of residence time, pressure, and discharge power. The gain and loss rates show that CF 4 , C 2 F 6 , C 3 F 8 , and C 4 F 10 share related gain mechanisms, speculated to occur at the surface. Further analysis confirms that CF 2 is predominantly produced at the chamber walls through electron impact dissociation of C 2 F 4 and lost through gas-phase addition reactions to form C 2 F 4 . Additionally, time-resolved FTIR spectra provide a second-order rate coefficient of 1.8 × 10 −14 cm 3 /s for the gas-phase addition of CF 2 to form C 2 F 4 . Finally, C 2 F 4, which is much more abundant than CF 2 in the discharge, is shown to be dominantly produced through electron impact dissociation of c-C 4 F 8 and lost through either surface or gas-phase addition reactions.

  12. Elimination of defects in plasma polymerized films used in laser fusion targets

    International Nuclear Information System (INIS)

    Letts, S.A.; Johnson, W.L.; Myers, D.W.; Illige, J.D.; Lorensen, L.E.; Hatcher, C.W.

    1979-01-01

    This study was conducted to understand and control the parameters governing the formation of defects in plasma polymerized surfaces. An inductively-coupled discharge was used as the source of activated monomer. Four types of well characterized surface irregularities were produced on glass slides which were subsequently fluorocarbon coated. Optimization of the process variables is discussed

  13. Oxide cathodes produced by plasma deposition

    International Nuclear Information System (INIS)

    Scheitrum, G.; Caryotakis, G.; Pi, T.; Umstattd, R.; Brown, I.; Montiero, O.

    1997-01-01

    These are two distinct applications for high-current-density, long-life thermionic cathodes. The first application is as a substitute for explosive emission cathodes used in high-power microwave (HPM) devices being developed for Air Force programs. The second application is in SLAC's X-band klystrons for the Next Linear Collider (NLC). SLAC, UCD, and LBL are developing a plasma deposition process that eliminates the problems with binders, carbonate reduction, peeling, and porosity. The emission layer is deposited using plasma deposition of metallic barium in vacuum with an oxygen background gas. An applied bias voltage drives the oxide plasma into the nickel surface. Since the oxide is deposited directly, it does not have problems with poisoning from a hydrocarbon binder. The density of the oxide layer is increased from the 40--50% for standard oxide cathodes to nearly 100% for plasma deposition

  14. Application of plasma deposition technology for nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Jung, I. H.; Moon, J. S.; Park, H. S.; Song, K. C.; Lee, C. Y.; Kang, K. H.; Ryu, H. J.; Kim, H. S.; Yang, M. S.

    2001-01-01

    Yttria-stabilized-zirconia (m.p. 2670.deg. C), was deposited by induction plasma spraying system with a view to develop a new nuclear fuel fabrication technology. To fabricate the dense pellets, the spraying condition was optimized through the process parameters such as, chamber pressure, plasma plate power, powder spraying distance, sheath gas composition, probe position particle size and its morphology. The results with a 5mm thick deposit on rectangular planar graphite substrates showed 97.11% theoretical density, when the sheath gas flow rate was Ar/H 2 120/20 L/min, probe position 8cm, particle size-75 μm and spraying distance 22cm. The microstructure of YSZ deposit by ICP was lamellae and columnar perpendicular to the spraying direction. In the bottom part near the substrate, small equiaxed grains bounded in a layer. In the middle part, relatively regular size of columnar grains with excellent bonding each other were distinctive

  15. New system for vacuum deposition of refractory materials using an atmospheric-pressure inductively coupled plasma

    International Nuclear Information System (INIS)

    Merkle, B.D.; Kniseley, R.N.; Schmidt, F.A.

    1987-01-01

    We have successfully developed a technique utilizing an atmospheric-pressure inductively coupled plasma combined with a low-pressure deposition chamber for deposition of thin films. The equipment and method of operation are discussed. Refractory powders (Nb and Y 2 O 3 ) were injected into the plasma and deposited as Nb and substoichiometric yttrium oxide, YO/sub 1.49/, onto Fe and Cu substrates. The substoichiometric yttrium oxide deposit adhered well to the Fe and Cu substrates, while the Nb deposit adhered well to the Fe only. The Nb deposit on the Cu substrate flaked and peeled probably because of stresses induced from the thermal expansion mismatch between the Nb and Cu. Further studies will be undertaken to better understand the processes occurring in this type of plasma-coating system in order to optimize the instrumental parameters for particular coating applications

  16. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  17. Fluorocarbon seal replaces metal piston ring in low density gas environment

    Science.gov (United States)

    Morath, W. D.; Morgan, N. E.

    1967-01-01

    Reinforced fluorocarbon cupseal, which provides an integral lip-type seal, replaces the metal piston rings in piston-cylinder configurations used in the compression of low density gases. The fluorocarbon seal may be used as cryogenic compressor piston seals.

  18. Industrial implementation of plasma deposition using the expanding thermal plasma technique

    NARCIS (Netherlands)

    Sanden, van de M.C.M.; Oever, van den P.J.; Creatore, M.; Schaepkens, M.; Miebach, T.; Iacovangelo, C.D.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Kessels, W.M.M.

    2004-01-01

    Two successful industrial implementations of the expanding thermal plasma setup, a novel plasma source, obtaining high deposition rate are discussed. The Ar/O2/hexamethyldisiloxane and Ar/O2/octamethyl-cyclosiloxane-fed expanding thermal plasma setup is used to deposit scratch resistant silicone

  19. Application of cyclic fluorocarbon/argon discharges to device patterning

    International Nuclear Information System (INIS)

    Metzler, Dominik; Uppireddi, Kishore; Bruce, Robert L.; Miyazoe, Hiroyuki; Zhu, Yu; Price, William; Sikorski, Ed S.; Engelmann, Sebastian U.; Joseph, Eric A.; Li, Chen; Oehrlein, Gottlieb S.

    2016-01-01

    With increasing demands on device patterning to achieve smaller critical dimensions and pitches for the 5 nm node and beyond, the need for atomic layer etching (ALE) is steadily increasing. In this work, a cyclic fluorocarbon/Ar plasma is successfully used for ALE patterning in a manufacturing scale reactor. Self-limited etching of silicon oxide is observed. The impact of various process parameters on the etch performance is established. The substrate temperature has been shown to play an especially significant role, with lower temperatures leading to higher selectivity and lower etch rates, but worse pattern fidelity. The cyclic ALE approach established with this work is shown to have great potential for small scale device patterning, showing self-limited etching, improved uniformity and resist mask performance

  20. Application of cyclic fluorocarbon/argon discharges to device patterning

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik, E-mail: dmetzler@umd.edu [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 and Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Uppireddi, Kishore; Bruce, Robert L.; Miyazoe, Hiroyuki; Zhu, Yu; Price, William; Sikorski, Ed S.; Engelmann, Sebastian U.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Oehrlein, Gottlieb S. [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States)

    2016-01-15

    With increasing demands on device patterning to achieve smaller critical dimensions and pitches for the 5 nm node and beyond, the need for atomic layer etching (ALE) is steadily increasing. In this work, a cyclic fluorocarbon/Ar plasma is successfully used for ALE patterning in a manufacturing scale reactor. Self-limited etching of silicon oxide is observed. The impact of various process parameters on the etch performance is established. The substrate temperature has been shown to play an especially significant role, with lower temperatures leading to higher selectivity and lower etch rates, but worse pattern fidelity. The cyclic ALE approach established with this work is shown to have great potential for small scale device patterning, showing self-limited etching, improved uniformity and resist mask performance.

  1. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  2. Effect of fluorocarbons on acetylcholinesterase activity and some counter measures

    Science.gov (United States)

    Young, W.; Parker, J. A.

    1975-01-01

    An isolated vagal sympathetic heart system has been successfully used for the study of the effect of fluorocarbons (FCs) on cardiac performance and in situ enzyme activity. Dichlorodifluoromethane sensitizes this preparation to sympathetic stimulation and to exogenous epinephrine challenge. Partial and complete A-V block and even cardiac arrest have been induced by epinephrine challenge in the FC sensitized heart. Potassium chloride alone restores the rhythmicity but not the normal contractility of the heart in such a situation. Addition of glucose will, however, completely restore the normal function of the heart which is sensitized by dichlorodifluoromethane. The ED 50 values of acetylcholinesterase activity which are used as a measure of relative effectiveness of fluorocarbons are compared with the maximum permissible concentration. Kinetic studies indicate that all the fluorocarbons tested so far are noncompetitive.

  3. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  4. Optimizing Thermoelectric Properties of In Situ Plasma-Spray-Synthesized Sub-stoichiometric TiO2-x Deposits

    Science.gov (United States)

    Lee, Hwasoo; Seshadri, Ramachandran Chidambaram; Pala, Zdenek; Sampath, Sanjay

    2018-06-01

    In this article, an attempt has been made to relate the thermoelectric properties of thermal spray deposits of sub-stoichiometric titania to process-induced phase and microstructural variances. The TiO2-x deposits were formed through the in situ reaction of the TiO1.9 or TiO1.7 feedstock within the high-temperature plasma flame and manipulated via varying the amounts of hydrogen fed into in the thermal plasma. Changes in the flow rates of H2 in the plasma plume greatly affected the in-flight particle behavior and composition of the deposits. For reference, a high-velocity oxy-fuel spray torch was also used to deposit the two varieties of feedstocks. Refinements to the representation of the in-flight particle characteristics derived via single particle and ensemble diagnostic methods are proposed using the group parameters (melting index and kinetic energy). The results show that depending on the value of the melting index, there is an inverse proportional relationship between electrical conductivity and Seebeck coefficient, whereas thermal conductivity has a directly proportional relationship with the electrical conductivity. Retention of the original phase and reduced decomposition is beneficial to retain the high Seebeck coefficient or the high electrical conductivity in the TiO2 system.

  5. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  6. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  7. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  8. Dust cloud evolution in sub-stellar atmospheres via plasma deposition and plasma sputtering

    Science.gov (United States)

    Stark, C. R.; Diver, D. A.

    2018-04-01

    Context. In contemporary sub-stellar model atmospheres, dust growth occurs through neutral gas-phase surface chemistry. Recently, there has been a growing body of theoretical and observational evidence suggesting that ionisation processes can also occur. As a result, atmospheres are populated by regions composed of plasma, gas and dust, and the consequent influence of plasma processes on dust evolution is enhanced. Aim. This paper aims to introduce a new model of dust growth and destruction in sub-stellar atmospheres via plasma deposition and plasma sputtering. Methods: Using example sub-stellar atmospheres from DRIFT-PHOENIX, we have compared plasma deposition and sputtering timescales to those from neutral gas-phase surface chemistry to ascertain their regimes of influence. We calculated the plasma sputtering yield and discuss the circumstances where plasma sputtering dominates over deposition. Results: Within the highest dust density cloud regions, plasma deposition and sputtering dominates over neutral gas-phase surface chemistry if the degree of ionisation is ≳10-4. Loosely bound grains with surface binding energies of the order of 0.1-1 eV are susceptible to destruction through plasma sputtering for feasible degrees of ionisation and electron temperatures; whereas, strong crystalline grains with binding energies of the order 10 eV are resistant to sputtering. Conclusions: The mathematical framework outlined sets the foundation for the inclusion of plasma deposition and plasma sputtering in global dust cloud formation models of sub-stellar atmospheres.

  9. Fluorocarbon adsorption in hierarchical porous frameworks

    NARCIS (Netherlands)

    Motkuri, R.K.; Annapureddy, H.V.R.; Vijaykumar, M.; Schaef, H.T.; Martin, P.F.; McGrail, B.P.; Dang, L.X.; Krishna, R.; Thallapally, P.K.

    2014-01-01

    Metal-organic frameworks comprise an important class of solid-state materials and have potential for many emerging applications such as energy storage, separation, catalysis and bio-medical. Here we report the adsorption behaviour of a series of fluorocarbon derivatives on a set of microporous and

  10. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  11. Aligned, plasma sprayed SmCo5 deposits

    International Nuclear Information System (INIS)

    Kumar, K.; Das, D.

    1986-01-01

    Highly aligned SmCo 5 deposits were produced using plasma spraying. c-axis alignment, normal to the plane of the deposit, was achieved by depositing the Sm-Co alloys on steel substrates maintained at high temperatures. The substrates were heated by the plasma flame to obtain the high temperatures. The attainment of a range of substrate temperatures was made possible through control over the geometry of the substrate

  12. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  13. Aspects of the use of saturated fluorocarbon fluids in high energy physics

    Energy Technology Data Exchange (ETDEWEB)

    Hallewell, G., E-mail: Gregory.Hallewell@cern.c [Centre de Physique des Particules de Marseille, 163 Avenue de Luminy, Case 907, 13288 Marseille Cedex 09 (France)

    2011-05-21

    The excellent dielectric properties of saturated fluorocarbons have allowed their use in direct immersion liquid cooling of electronics, including supercomputers and as heat transfer media in vapour phase soldering and burn-in testing of electronics. Their high density, UV transparency, non-flammability, non-toxicity and radiation tolerance have led to their use as liquid and gas radiator media for RICH detectors in numerous particle physics experiments. Systems to circulate and purify saturated fluorocarbon Cherenkov radiator vapours often rely on thermodynamic evaporation-condensation cycles similar to those used in refrigeration. Their use as evaporative refrigerants was pioneered for the ATLAS silicon tracker, and they are now also used as evaporative coolants in ALICE and TOTEM and as liquid coolants in ATLAS and CMS. Ultrasonic techniques for vapour phase analysis of fluorocarbon mixtures-developed for the SLAC SLD barrel CRID radiator during the 1980s as an alternative to UV refractometry are again under development for the ATLAS tracker evaporative cooling system. Examples of fluorocarbon circulation systems, together with purification and analysis techniques for these versatile fluids are mentioned.

  14. Aspects of the use of saturated fluorocarbon fluids in high energy physics

    International Nuclear Information System (INIS)

    Hallewell, G.

    2011-01-01

    The excellent dielectric properties of saturated fluorocarbons have allowed their use in direct immersion liquid cooling of electronics, including supercomputers and as heat transfer media in vapour phase soldering and burn-in testing of electronics. Their high density, UV transparency, non-flammability, non-toxicity and radiation tolerance have led to their use as liquid and gas radiator media for RICH detectors in numerous particle physics experiments. Systems to circulate and purify saturated fluorocarbon Cherenkov radiator vapours often rely on thermodynamic evaporation-condensation cycles similar to those used in refrigeration. Their use as evaporative refrigerants was pioneered for the ATLAS silicon tracker, and they are now also used as evaporative coolants in ALICE and TOTEM and as liquid coolants in ATLAS and CMS. Ultrasonic techniques for vapour phase analysis of fluorocarbon mixtures-developed for the SLAC SLD barrel CRID radiator during the 1980s as an alternative to UV refractometry are again under development for the ATLAS tracker evaporative cooling system. Examples of fluorocarbon circulation systems, together with purification and analysis techniques for these versatile fluids are mentioned.

  15. Kinetic calculation of plasma deposition in castellated tile gaps

    International Nuclear Information System (INIS)

    Dejarnac, R.; Gunn, J.P.

    2007-01-01

    Plasma-facing divertors and limiters are armoured with castellated tiles to withstand intense heat fluxes. Recent experimental studies show that a non-negligible amount of deuterium is deposited in the gaps between tiles. We present here a numerical study of plasma deposition in this critical region. For this purpose we have developed a particle-in-cell code with realistic boundary conditions determined from kinetic calculations. We find a strong asymmetry of plasma deposition into the gaps. A significant fraction of the plasma influx is expelled from the gap to be deposited on the leading edge of the downstream tile

  16. Induction plasma deposition technology for nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Jung, I. H.; Bae, K. K.; Lee, J. W.; Kim, T. K.; Yang, M. S.

    1998-01-01

    A study on induction plasma deposition with ceramic materials, yttria-stabilized-zirconia ZrO 2 -Y 2 O 3 (m.p. 2640 degree C), was conducted with a view of developing a new method for nuclear fuel fabrication. Before making dense pellets of more than 96%T.D., the spraying condition was optimized through the process parameters, such as chamber pressure, plasma plate power, powder spraying distance, sheath gas composition, probe position, particle size and powders of different morphology. The results with a 5mm thick deposit on rectangular planar graphite substrates showed a 97.11% theoretical density when the sheath gas flow rate was Ar/H 2 120/20 l/min, probe position 8cm, particle size -75 μm and spraying distance 22cm by AMDRY146 powder. The degree of influence of the main effects on density were powder morphology, particle size, sheath gas composition, plate power and spraying distance, in that order. Among the two parameter interactions, the sheath gas composition and chamber pressure affects density greatly. By using the multi-pellets mold of wheel type, the pellet density did not exceed 94%T.D., owing to the spraying angle

  17. Plasma-assisted deposition of microcapsule containing Aloe vera extract for cosmeto-textiles

    Science.gov (United States)

    Nascimento do Carmo, S.; Zille, A.; Souto, A. P.

    2017-10-01

    Dielectric Barrier Discharge (DBD) atmospheric-pressure plasma was employed to enhance the deposition of commercial microcapsules (MCs) containing Aloe vera extract onto a cotton/polyester (50:50) fabric. DBD conditions were optimized in term of energy dosage and contact angle. The MCs were applied by padding and printing methods and the coatings were characterized in terms of SEM and FTIR. MCs display a spherical shape with size between 2 and 8 μm with an average wall thickness of 0.5 μm. The MCs applied by printing and pretreated with a plasma dosage of 1.6 kW m2 min-1 showed the best results with an increased adhesion of 200% and significant penetration of MCs into the fibres network. Plasma printed fabric retained 230% more MCs than untreated fabric after 10 washing cycles. However, the coating resistance between unwashed and washed samples was only improved by 5%. Considering the fact that no binder or crosslinking agents were used, the DBD plasma-assisted deposition of MCs revealed to be a promising environmental safe and low cost coating technology.

  18. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  19. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  20. Study on usage of fluorocarbon for toroidal field coil cooling

    International Nuclear Information System (INIS)

    Miyata, Hiroshi; Arai, Takashi

    1998-09-01

    In JT-60 machine, usage of fluorocarbon as an alternate coolant to a cooling channel of toroidal field coil (TF coil) in which a crack was detected is investigated. Fluorinert (a registered trademark of 3M) liquid which is one of fluorocarbon was reviewed, and liquid 'FC-43' was found as an appropriate one for TF coils cooling because of its physical properties about boiling point and thermal capacity. Fortunately, Fluorinert does not have impact on the greenhouse effect for the earth under the temperature of its boiling point. And thermal analysis shows that the cooling effectiveness obtained with liquid 'FC-43' for TF coils is rather well. Moreover, corrosion tests were carried out between liquid 'FC-43' and materials used in JT-60 by considering deterioration of TF coils. The test results demonstrate that there is no problem in applying liquid 'FC-43' as a coolant to cooling channel of TF coils. Results obtained above conclude that usage of fluorocarbon is one of the effective means to perform further experiments in JT-60. (author)

  1. The application of magnetic self-filter to optimization of AIN film growth process during the impulse plasma deposition synthesis

    Directory of Open Access Journals (Sweden)

    Chodun Rafal

    2016-03-01

    Full Text Available This work presents the very first results of the application of plasma magnetic filtering achieved by a coil coupled with an electrical circuit of a coaxial accelerator during the synthesis of A1N thin films by use of Impulse Plasma Deposition method (IPD. The uniqueness of this technical solution lies in the fact that the filter is not supplied, controlled and synchronized from any external device. Our solution uses the energy from the electrical circuit of plasma accelerator. The plasma state was described on the basis of OES studies. Estimation of the effects of plasma filtering on the film quality was carried out on the basis of characterization of structure morphology (SEM, phase and chemical composition (vibrational spectroscopy. Our work has shown that the use of the developed magnetic self-filter improved the structure of the AlN coatings synthesized under the condition of impulse plasma, especially by the minimization of the tendency to deposit metallic aluminum droplets and columnar growth.

  2. Plasma deposition by discharge in powder

    International Nuclear Information System (INIS)

    El-Gamal, H.A.; El-Tayeb, H.A.; Abd El-Moniem, M.; Masoud, M.M.

    2000-01-01

    Different types of material powders have been fed to the breach of a coaxial discharge. The coaxial discharge is powered from a 46.26 mu F, 24 KV capacitor bank. When the discharge takes place at the breach, the powder is heated and ionized to form a sheath of its material. The plasma sheath is ejected from the discharge zone with high velocity. The plasma sheath material is deposited on a glass substrate. It has been found from scanning electron microscope (SEM) analysis that the deposited material is almost homogenous for ceramic and graphite powders. The grain size is estimated to be the order of few microns. To measure the deposited material thickness the microdensitometer and a suitable arrangement of a laser interferometer and an optical microscope are used. It has also been found that deposited material thickness depends on the discharge number of shots and the capacitor bank energy

  3. Process development for synthesis and plasma spray deposition of LaPO4 and YPO4 for nuclear applications

    International Nuclear Information System (INIS)

    Chakravarthy, Y.; Sreekumar, K.P.; Jayakumar, S.; Thiyagarajan, T.K.; Ananthapadmanabhan, P.V.; Das, A.K.; Gantayet, L.M.; Krishnan, K.

    2009-01-01

    Rare earth phosphates are geologically very stable and considered as potential matrix material for nuclear waste disposal and also for many high temperature thermal barrier and corrosion barrier applications involving molten metals. This paper focuses on developmental studies related to synthesis, thermal stability and plasma spray deposition of LaPO 4 and YPO 4 . The rare earth phosphates were synthesized by chemical method from their respective oxide materials using ortho phosphoric acid. The as-precipitated powders were converted to thermal spray grade powder by compaction, sintering and crushing. Thermal stability of these phosphates up to their melting point was determined by arc plasma melting, followed by X-ray diffraction. Results indicate that LaPO 4 and YPO 4 melt congruently without decomposition. Plasma spray deposition was carried out using the in-house 40 kW atmospheric plasma spray system. Adherent coatings could be deposited on various substrates by optimizing the plasma spray parameters. (author)

  4. Radiation induced solid-state polymerization of long-chain acrylates containing fluorocarbon chain

    International Nuclear Information System (INIS)

    Shibasaki, Y.; Zhu, Zhi-Qin

    1995-01-01

    γ-Ray irradiation post-polymerizations of long-chain acrylates containing fluorocarbon chain, H(CF 2 ) 10 CH 2 OCOCH=CH 2 and H(CF 2 ) 8 CH 2 OCOCH=CH 2 , were investigated and also the structures and thermal properties of comb-like polymers obtained were studied. It was found that these monomers exhibited very high polymerizability at wide temperature ranges around the melting points. Because the fluorocarbon chains are less flexible and thicker than the hydrocarbon chains, it can be expected that the aggregation force among the monomer molecules is strong and the conformational freedom of functional group for polymerization is large. According to the DSC and the X-ray diffraction measurements of the comb-like polymers obtained, the fluorocarbon chains are aggregated in a mode of hexagonal packing in the lamellar crystals. This situation can be considered as an optimum condition for the γ-ray irradiation post-polymerization. (author)

  5. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  6. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  7. Development of fluorocarbon/silica composites via sol/gel process

    International Nuclear Information System (INIS)

    Ferreira, Max P.; Maria, Daniel A.; Gomes, Luiza M.F.

    2009-01-01

    Fluorocarbon/silica composites have interesting physical-chemical properties, combining the great resistance to chemical products, the electric insulation, and the thermal stability of fluorine polymers with the optical, magnetic, and dielectric properties of silica. Due to the unique mechanical, thermal, and dielectric properties of fluorocarbon and silica composites, there is interest in their application in the development of fuel cells, the production of integrated circuit boards (ICB), and packages for the transportation of integrated circuits. The sol-gel process is a chemical route to prepare ceramic materials with specific properties that are hard or impossible to obtain by conventional methods. Fluorocarbon/silica composites were obtained by the sol-gel method from tetramethoxysilane - TMOS and fluorinated hydrocarbons with low molecular weight and main chains with 10 - 20 carbon atoms previously obtained from PTFE scraps irradiated with a 60 Co γ source in oxygen atmosphere with a dose of 1 MGy. Syntheses were performed in 125-mL reaction flasks in basic medium at 35 deg C and in acid medium at 60 deg C with N-N dimethylformamide as a chemical additive for drying control. After synthesis, the material was thermally treated in an oven with electronic temperature control. The monoliths obtained were characterized by Fourier transform infrared spectroscopy (FTIR), electron microprobe and by a standard nitrogen adsorption-desorption technique. (author)

  8. Group additivity values for enthalpies of formation (298 K), entropies (298 K), and molar heat capacities (300 K < T < 1500 K) of gaseous fluorocarbons

    International Nuclear Information System (INIS)

    Van Otterloo, Maren K.; Girshick, Steven L.; Roberts, Jeffrey T.

    2007-01-01

    A group additivity method was developed to estimate standard enthalpies of formation and standard entropies at 298 K of linear radical and closed-shell, gaseous fluorocarbon neutrals containing four or more carbon atoms. The method can also be used to estimate constant pressure molar heat capacities of the same compounds over the temperature range 300 K to 1500 K. Seventeen groups and seven fluorine-fluorine interaction terms were defined from 12 fluorocarbon molecules. Interaction term values from Yamada and Bozzelli [T. Yamada, J.W. Bozzelli, J. Phys. Chem. A 103 (1999) 7373-7379] were utilized. The enthalpy of formation group values were derived from G3MP2 calculations by Bauschlicher and Ricca [C.W. Bauschlicher, A. Ricca, J. Phys. Chem. A 104 (2000) 4581-4585]. Standard entropy and molar heat capacity group values were estimated from ab initio geometry optimization and frequency calculations at the Hartree-Fock level using the 6-31G(d) basis set. Enthalpies of formation for larger fluorocarbons estimated from the group additivity method compare well to enthalpies of formation found in the literature

  9. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  10. Plasma deposited fluorinated films on porous membranes

    Energy Technology Data Exchange (ETDEWEB)

    Gancarz, Irena [Department of Polymer and Carbon Materials, Wrocław University of Technology, 50-370 Wrocław (Poland); Bryjak, Marek, E-mail: marek.bryjak@pwr.edu.pl [Department of Polymer and Carbon Materials, Wrocław University of Technology, 50-370 Wrocław (Poland); Kujawski, Jan; Wolska, Joanna [Department of Polymer and Carbon Materials, Wrocław University of Technology, 50-370 Wrocław (Poland); Kujawa, Joanna; Kujawski, Wojciech [Nicolaus Copernicus University, Faculty of Chemistry, 7 Gagarina St., 87-100 Torun (Poland)

    2015-02-01

    75 KHz plasma was used to modify track etched poly(ethylene terephthalate) membranes and deposit on them flouropolymers. Two fluorine bearing monomers were used: perflourohexane and hexafluorobenzene. The modified surfaces were analyzed by means of attenuated total reflection infra-red spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, atomic force microscopy and wettability. It was detected that hexaflourobenxene deposited to the larger extent than perflourohaxane did. The roughness of surfaces decreased when more fluoropolymer was deposited. The hydrophobic character of surface slightly disappeared during 20-days storage of hexaflourobenzene modified membrane. Perfluorohexane modified membrane did not change its character within 120 days after modification. It was expected that this phenomenon resulted from post-reactions of oxygen with radicals in polymer deposits. The obtained membranes could be used for membrane distillation of juices. - Highlights: • Plasma deposited hydrophobic layer of flouropolymers. • Deposition degree affects the surface properties. • Hydrohilization of surface due to reaction of oxygen with entrapped radicals. • Possibility to use modified porous membrane for water distillation and apple juice concentration.

  11. Ultrasmooth plasma polymerized coatings for laser-fusion targets

    International Nuclear Information System (INIS)

    Letts, S.A.; Myers, D.W.; Witt, L.A.

    1980-01-01

    Coatings for laser fusion targets were deposited up to 135 μm thick by plasma polymerization onto 140 μm diameter DT filled glass microspheres. Ultrasmooth surfaces (no defect higher than 0.1 μm) were achieved by eliminating particulate contamination. Process generated particles were eliminated by determining the optimum operating conditions of power, gas flow, and pressure, and maintaining these conditions through feedback control. From a study of coating defects grown over known surface irregularities, a quantitative relationship between irregularity size, film thickness, and defect size was determined. This relationship was used to set standards for the maximum microshell surface irregularity tolerable in the production of hydrocarbon or fluorocarbon coated laser fusion targets

  12. An Evaluation of Atmospheric-pressure Plasma for the Cost-Effective Deposition of Antireflection Coatings

    Energy Technology Data Exchange (ETDEWEB)

    Rob Sailer; Guruvenket Srinivasan; Kyle W. Johnson; Douglas L. Schulz

    2010-04-01

    Atmospheric-pressure plasma deposition (APPD) has previously been used to deposit various functional materials including polymeric surface modification layers, transparent conducting oxides, and photo catalytic materials. For many plasma polymerized coatings, reaction occurs via free radical mechanism where the high energy electrons from the plasma activate the olefinic carbon-carbon double bonds - a typical functional group in such precursors. The precursors for such systems are typically inexpensive and readily available and have been used in vacuum PECVD previously. The objectives are to investigate: (1) the effect of plasma power, gas composition and substrate temperature on the Si-based film properties using triethylsilane(TES) as the precursor; and (2) the chemical, mechanical, and optical properties of several experimental matrices based on Design of Experiment (DOE) principals. A simple APPD route has been utilized to deposit Si based films from an inexpensive precursor - Triethylsilane (TES). Preliminary results indicates formation of Si-C & Si-O and Si-O, Si-C & Si-N bonds with oxygen and nitrogen plasmas respectively. N{sub 2}-O{sub 2} plasma showed mixed trend; however oxygen remains a significant portion of all films, despite attempts to minimize exposure to atmosphere. SiN, SiC, and SiO ratios can be modified by the reaction conditions resulting in differing film properties. SE studies revealed that films with SiN bond possess refractive index higher than coatings with Si-O/Si-C bonds. Variable angle reflectance studies showed that SiOCN coatings offer AR properties; however thickness and refractive index optimization of these coatings remains necessary for application as potential AR coatings.

  13. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  14. Diamond deposition using a planar radio frequency inductively coupled plasma

    Science.gov (United States)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  15. Preparation of a Fluorocarbon Polymerizable Surfactant and Its Application in Emulsion Polymerization of Fluorine-Containing Acrylate

    Directory of Open Access Journals (Sweden)

    Meng Zhao

    2017-11-01

    Full Text Available A novel polymerizable fluorocarbon surfactant, perfluoro (4–methyl–3, 6–dioxaoct–7–ene sodium sulfonate (PSVNa, was synthesized and characterized. The fluorocarbon surfactant PSVNa and its mixture PSVNa/SDS were used as emulsifiers during the emulsion polymerization of DFHMA/MMA. The investigation of polymerization kinetics, particle size, and stability of the emulsions revealed that PSVNa has excellent emulsifying properties. The NMR spectrum of the copolymer and the detection of residual PSVNa show that more than 95% of the fluorocarbon surfactants have been linked to the polymer chains by radical polymerization, which will greatly reduce the environmental pollution caused by fluorinated surfactants.

  16. Plasma deposition of refractories

    International Nuclear Information System (INIS)

    Kudinov, V.V.; Ivanov, V.M.

    1981-01-01

    The problems of deposition, testing and application of plasma coating of refractory metals and oxides are considered. The process fundamentals, various manufacturing procedures and equipment for their realization are described in detail. Coating materials are given (Al, Mg, Al 2 O 3 , ZrO 2 , MgAlO 4 ) which are used in reactor engineering and their designated purposes are shown [ru

  17. Plasma deposition of amorphous silicon-based materials

    CERN Document Server

    Bruno, Giovanni; Madan, Arun

    1995-01-01

    Semiconductors made from amorphous silicon have recently become important for their commercial applications in optical and electronic devices including FAX machines, solar cells, and liquid crystal displays. Plasma Deposition of Amorphous Silicon-Based Materials is a timely, comprehensive reference book written by leading authorities in the field. This volume links the fundamental growth kinetics involving complex plasma chemistry with the resulting semiconductor film properties and the subsequent effect on the performance of the electronic devices produced. Key Features * Focuses on the plasma chemistry of amorphous silicon-based materials * Links fundamental growth kinetics with the resulting semiconductor film properties and performance of electronic devices produced * Features an international group of contributors * Provides the first comprehensive coverage of the subject, from deposition technology to materials characterization to applications and implementation in state-of-the-art devices.

  18. Ultra-small platinum and gold nanoparticles by arc plasma deposition

    International Nuclear Information System (INIS)

    Kim, Sang Hoon; Jeong, Young Eun; Ha, Heonphil; Byun, Ji Young; Kim, Young Dok

    2014-01-01

    Highlights: • Ultra-small (<2 nm) and bigger platinum and gold nanoparticles were produced by arc plasma deposition (APD). • Size and coverage of deposited nanoparticles were easily controlled with APD parameters. • Crystalline structures of deposited nanoparticles emerged only when the particle size was bigger than ∼2 nm. - Abstract: Ultra-small (<2 nm) nanoparticles of platinum and gold were produced by arc plasma deposition (APD) in a systematic way and the deposition behavior was studied. Nanoparticles were deposited on two dimensional amorphous carbon and amorphous titania thin films and characterized by transmission electron microscopy (TEM). Deposition behavior of nanoparticles by APD was studied with discharge voltage (V), discharge condenser capacitance (C), and the number of plasma pulse shots (n) as controllable parameters. The average size of intrinsic nanoparticles generated by APD process was as small as 0.9 nm and deposited nanoparticles began to have crystal structures from the particle size of about 2 nm. V was the most sensitive parameter to control the size and coverage of generated nanoparticles compared to C and n. Size of APD deposited nanoparticles was also influenced by the nature of evaporating materials and substrates

  19. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  20. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  1. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  2. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  3. Ultrasmooth plasma polymerized coatings for laser fusion targets

    International Nuclear Information System (INIS)

    Letts, S.A.; Myers, D.W.; Witt, L.A.

    1980-01-01

    Coatings for laser fusion were deposited up to 135μm thick by plasma polymerization onto 140 μm diameter DT filled glass microspheres. Ultrasmooth surfaces (no defect higher than 0.1 μm) were achieved by eliminating particulate contamination. Process generated particles were eliminated by determining the optimum operating conditions of power (20 watts), gas flow (0.3 sccm trans-2-butene, 10.0 sccm hydrogen), and pressure (75 millitorr), and maintaining these conditions through feedback control. From a study of coating defects grown over known surface irregularities, a quantitative relationship between irregularity size, film thickness, and defect size was determined. This relationship was used to set standards for the maximum microshell surface irregularity tolerable in the production of hydrocarbon or fluorocarbon coated laser fusion targets

  4. Abnormal energy deposition on the wall through plasma disruptions

    International Nuclear Information System (INIS)

    Yamazaki, K.; Schmidt, G.L.

    1984-07-01

    The dissipation of plasma kinetic and magnetic energy during sawtooth oscillstions and disruptions in tokamaks is analyzed using Kadomtsev's disruption model and the plasma-circuit equations. New simple scalings of several characteristic times are obtained for sawteeth and for thermal and magnetic energy quenches of disruptions. The abnormal energy deposition on the wall during major or minor disruptions, estimated from this analysis, is compared with bolometric measurements in the PDX tokamak. Especially, magnetic energy dissipation during current termination period is shown to be reduced by the strong coupling of the plasma current with external circuits. These analyses are found to be useful to predict the phenomenological behavior of plasma disruptions in large future tokamaks, and to estimate abnormal heat deposition on the wall during plasma disruptions. (author)

  5. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  6. Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

    Directory of Open Access Journals (Sweden)

    Domagoj Belić

    2017-11-01

    Full Text Available This work presents a highly effective approach for the chemical purification of directly written 2D and 3D gold nanostructures suitable for plasmonics, biomolecule immobilisation, and nanoelectronics. Gold nano- and microstructures can be fabricated by one-step direct-write lithography process using focused electron beam induced deposition (FEBID. Typically, as-deposited gold nanostructures suffer from a low Au content and unacceptably high carbon contamination. We show that the undesirable carbon contamination can be diminished using a two-step process – a combination of optimized deposition followed by appropriate postdeposition cleaning. Starting from the common metal-organic precursor Me2-Au-tfac, it is demonstrated that the Au content in pristine FEBID nanostructures can be increased from 30 atom % to as much as 72 atom %, depending on the sustained electron beam dose. As a second step, oxygen-plasma treatment is established to further enhance the Au content in the structures, while preserving their morphology to a high degree. This two-step process represents a simple, feasible and high-throughput method for direct writing of purer gold nanostructures that can enable their future use for demanding applications.

  7. A technique for temperature mapping in fluorocarbon plasmas using planar laser-induced fluorescence of CF

    International Nuclear Information System (INIS)

    Steffens, Kristen L.; Sobolewski, Mark A.

    2004-01-01

    Planar laser-induced fluorescence measurements of CF A 2 Σ + -X 2 Π(1,0) were used to determine two-dimensional maps of rotational temperature in CF 4 plasmas. Measured rotational temperatures are expected to be in equilibrium with the gas temperature due to the long chemical lifetime of CF relative to the collision rate. Experiments were performed in the capacitively coupled Gaseous Electronics Conference rf reference cell at pressures from 26.7 Pa (200 mTorr) to 107 Pa (800 mTorr) and powers of 10 to 30 W deposited in the plasma. Temperatures, which ranged from 273±15 K to 480±15 K, were fairly axially symmetric and increased with pressure and power. All plasmas were coolest near the electrodes, which provided a substantial sink for heat in the plasma. Highest temperatures were found at a radial position near the edge of the electrodes. The strong temperature gradients observed in the plasmas can have serious effects on density measurements that probe a single rotational level, as well as on reaction rate constants and interpretation of density gradients. The effects of water-cooling the electrodes and the presence of a silicon wafer on temperature were also measured

  8. Plasma sprayed and electrospark deposited zirconium metal diffusion barrier coatings

    International Nuclear Information System (INIS)

    Hollis, Kendall J.; Pena, Maria I.

    2010-01-01

    Zirconium metal coatings applied by plasma spraying and electrospark deposition (ESD) have been investigated for use as diffusion barrier coatings on low enrichment uranium fuel for research nuclear reactors. The coatings have been applied to both stainless steel as a surrogate and to simulated nuclear fuel uranium-molybdenum alloy substrates. Deposition parameter development accompanied by coating characterization has been performed. The structure of the plasma sprayed coating was shown to vary with transferred arc current during deposition. The structure of ESD coatings was shown to vary with the capacitance of the deposition equipment.

  9. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  10. Abnormal energy deposition on the wall through plasma disruptions

    International Nuclear Information System (INIS)

    Yamazaki, K.; Schmidt, G.L.

    1984-01-01

    The dissipation of plasma kinetic and magnetic energy during sawtooth oscillations and disruptions in tokamak is analyzed using Kadomtsev's disruption model and the plasma-circuit equations. New simple scalings of several characteristic times are obtained for sawteeth and for thermal and magnetic energy quenches of disruptions. The abnormal energy deposition on the wall during major or minor disruptions, estimated from this analysis, is compared with bolometric measurements in the PDX tokamak. Especially, magnetic energy dissipation during the current termination period is shown to be reduced by the strong coupling of the plasma current with external circuits. These analyses are found to be useful to predict the phenomenological behavior of plasma disruptions in large future tokamaks, and to estimate abnormal heat deposition on the wall during plasma disruptions. (orig.)

  11. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  12. Study of the properties of plasma deposited layers of nickel-chrome-aluminium-yttrium coatings resistant to oxidation and hot corrosion

    Directory of Open Access Journals (Sweden)

    Mihailo R. Mrdak

    2012-04-01

    Full Text Available The aim of this study was to examine the properties of Ni22Cr10Al1Y layers in order to obtain optimal structural - mechanical properties with the optimization of depositing parameters. Powder was deposited by the atmospheric plasma spray (APS process with the current intensity of 600, 700 and 800A, with a corresponding plasma gun power supply of 22KW, 34KW and 28KW. The evaluation of the Ni22Cr10Al1Y coating layers was made on the basis of their microhardness, tensile strength and microstructure performance. The best performance was obtained in the layers deposited with 800A and the 34KW plasma gun power supply. The coating with the best characteristics was tested to oxidation in the furnace for heat treatment without a protective atmosphere at 1100°C for one hour. The examination of the morphology of Ni22Cr10Al1Y powder particles was carried out on the SEM (Scanning Electron Microscope as well as the EDS analysis of the best layers. The microstructure of the deposited coating layers was examined with a light microscope. The microstructure analysis was performed according to the TURBOMECA standard. The mechanical properties of layers were evaluated by the method HV0.3 for microhardness and by tensile testing for bond strength. The research has shown that plasma gun power supply significantly affects the mechanical properties and microstructure of coatings that are of crucial importance for the protection of components exposed to high temperature oxidation and hot corrosion.

  13. Surfactant Membrane Phases Containing Mixtures of Hydrocarbon and Fluorocarbon Surfactants

    International Nuclear Information System (INIS)

    de Campo, Liliana; Warr, G.G.

    2005-01-01

    Full text: We describe the structure and stability of sponge and lamellar phases comprising mixtures of hydrocarbon and fluorocarbon surfactants. Such mixtures can show limited miscibility with each other, forming for example coexisting populations of hydrocarbon rich and fluorocarbon rich micelles under some circumstances. Our system is based on the well-characterised lamellar and sponge phases of cetylpyridinium chloride, hexanol and 0.2M brine, into which the partially fluorinated surfactant N-1H,1H,2H,2H-tridecafluorooctylpyridinium chloride is incorporated. By probing the structures with SAXS (small angle x-ray scattering) and SANS (small angle neutron scattering) using contrast variation, and by characterizing the dynamic properties with dynamic light scattering, we will describe the effect of incorporating the fluorinated surfactant on the phase equilibria and properties of the surfactant membrane structures. (authors)

  14. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  15. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  16. Advances and challenges in the field of plasma polymer nanoparticles

    Directory of Open Access Journals (Sweden)

    Andrei Choukourov

    2017-09-01

    Full Text Available This contribution reviews plasma polymer nanoparticles produced by gas aggregation cluster sources either via plasma polymerization of volatile monomers or via radio frequency (RF magnetron sputtering of conventional polymers. The formation of hydrocarbon, fluorocarbon, silicon- and nitrogen-containing plasma polymer nanoparticles as well as core@shell nanoparticles based on plasma polymers is discussed with a focus on the development of novel nanostructured surfaces.

  17. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  18. Deposition of aluminium nanoparticles using dense plasma focus device

    International Nuclear Information System (INIS)

    Devi, Naorem Bilasini; Srivastava, M P; Roy, Savita

    2010-01-01

    Plasma route to nanofabrication has drawn much attention recently. The dense plasma focus (DPF) device is used for depositing aluminium nanoparticles on n-type Si (111) wafer. The plasma chamber is filled with argon gas and evacuated at a pressure of 80 Pa. The substrate is placed at distances 4.0 cm, 5.0 cm and 6.0 cm from the top of the central anode. The aluminium is deposited on Si wafer at room temperature with two focused DPF shots. The deposits on the substrate are examined for their morphological properties using atomic force microscopy (AFM). The AFM images have shown the formation of aluminium nanoparticles. From the AFM images, it is found that the size of aluminium nanoparticles increases with increase in distance between the top of anode and the substrate for same number of DPF shots.

  19. Plasma distribution of cathodic ARC deposition system

    International Nuclear Information System (INIS)

    Anders, S.; Raoux, S.; Krishnan, K.; MacGill, R.A.; Brown, I.G.

    1996-01-01

    The plasma distribution using a cathodic arc plasma source with and without magnetic macroparticle filter has been determined by depositing on a transparent plastic substrate and measuring the film absorption. It was found that the width of the distribution depends on the arc current, and it also depends on the cathode material which leads to a spatial separation of the elements when an alloy cathode is used. By applying a magnetic multicusp field near the exit of the magnetic filter, it was possible to modify the plasma distribution and obtain a flat plasma profile with a constant and homogeneous elemental distribution

  20. 2-D Temperature Mapping in Fluorocarbon Plasmas

    Science.gov (United States)

    Steffens, Kristen L.; Sobolewski, Mark A.

    2005-09-01

    Two-dimensional maps of rotational temperature in CF4 plasmas were determined using planar laser-induced fluorescence measurements of CF A2Σ+ - X2Π (1,0). Rotational temperatures are expected to be in equilibrium with gas temperatures under the present conditions. Experiments were performed in a capacitively-coupled, parallel-plate reactor at pressures from 27 Pa to 107 Pa and powers of 10 W to 30 W. The effects of electrode cooling and having a wafer present were also examined. Measured temperatures ranged between 273 K±15 K and 480 K±15 K. The strong temperature gradients found in these plasmas can have serious effects on density measurements that probe a single rotational level, as well as on reaction rate constants and interpretation of density gradients.

  1. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  2. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  3. Corrosion properties of plasma deposited high-alloy steel

    Czech Academy of Sciences Publication Activity Database

    Voleník, Karel; Pražák, M.; Kalabisová, E.; Kreislová, K.; Neufuss, Karel

    2002-01-01

    Roč. 47, - (2002), s. 243-254 ISSN 0001-7043 R&D Projects: GA ČR GA106/99/0298 Institutional research plan: CEZ:AV0Z2043910 Keywords : plasma deposits, high-alloy steel, polarization curves, corrosion test Subject RIV: BL - Plasma and Gas Discharge Physics

  4. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  5. Low pressure plasma spray deposition of W-Ni-Fe alloy

    International Nuclear Information System (INIS)

    Mutasim, Z.Z.; Smith, R.W.

    1991-01-01

    The production of net shape refractory metal structural preforms are increasing in importance in chemical processing, defense and aerospace applications. Conventional methods become limited for refractory metal processing due to the high melting temperatures and fabrication difficulties. Plasma spray forming, a high temperature process, has been shown to be capable of refractory metal powder consolidation in net shape products. The research reported here has evaluated this method for the deposition of heavy tungsten alloys. Plasma Melted Rapidly Solidified (PMRS) W 8%Ni-2%Fe refractory metal powders were spray formed using vacuum plasma spray (VPS) process and produced 99% dense, fine grain and homogeneous microstructures. In this paper plasma operating parameters (plasma arc gas type and flowrate plasma gun nozzle size and spray distance) were studied and their effects on deposit's density and microstructure are reported

  6. Antireflection coatings on plastics deposited by plasma ...

    Indian Academy of Sciences (India)

    In the ophthalmic industry, plastic lenses are rapidly displacing glass lenses ... Moreover, the plasma polymerization process allows deposition of optical films at room temperature, essential for plastics. ... Bulletin of Materials Science | News.

  7. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  8. Ion deposition by inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Hu, K.; Houk, R.S.

    1996-01-01

    An atmospheric pressure inductively coupled plasma (ICP) is used with a quadrupole mass spectrometer (MS) for ion deposition. The deposited element is introduced as a nebulized aqueous solution. Modifications to the ICP-MS device allow generation and deposition of a mass-resolved beam of 165 Ho + at 5x10 12 ions s -1 . The ICP is a universal, multielement ion source that can potentially be used for applications such as deposition of mixtures of widely varying stoichiometry or of alternating layers of different elements. copyright 1996 American Vacuum Society

  9. Mass spectrometry of fluorocarbon-labeled glycosphingolipids

    DEFF Research Database (Denmark)

    Li, Yunsen; Arigi, Emma; Eichert, Heather

    2010-01-01

    ceramide N-deacylase (SCDase) is used to remove the fatty acid from the ceramide moiety, after which a fluorocarbon-rich substituent (F-Tag) is incorporated at the free amine of the sphingoid. In initial trials, a neutral GSL, globotriaosylceramide (Gb(3)Cer), three purified bovine brain gangliosides...... with subsequent per-N,O-methylation was established for the F-tagged Gb(3) Cer and purified gangliosides, and extensive mass spectra (MS(1) and MS(2)) consistent with all of the expected products were acquired. The potential use of F-tagged derivatives for a comprehensive MS based profiling application...

  10. Heating of polymer substrate by discharge plasma in radiofrequency magnetron sputtering deposition

    International Nuclear Information System (INIS)

    Sirghi, Lucel; Popa, Gheorghe; Hatanaka, Yoshinori

    2006-01-01

    The substrate used for the thin film deposition in a radiofrequency magnetron sputtering deposition system is heated by the deposition plasma. This may change drastically the surface properties of the polymer substrates. Deposition of titanium dioxide thin films on polymethyl methacrylate and polycarbonate substrates resulted in buckling of the substrate surfaces. This effect was evaluated by analysis of atomic force microscopy topography images of the deposited films. The amount of energy received by the substrate surface during the film deposition was determined by a thermal probe. Then, the results of the thermal probe measurements were used to compute the surface temperature of the polymer substrate. The computation revealed that the substrate surface temperature depends on the substrate thickness, discharge power and substrate holder temperature. For the case of the TiO 2 film depositions in the radiofrequency magnetron plasma, the computation indicated substrate surface temperature values under the polymer melting temperature. Therefore, the buckling of polymer substrate surface in the deposition plasma may not be regarded as a temperature driven surface instability, but more as an effect of argon ion bombardment

  11. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  12. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  13. Interpretation of plasma impurity deposition probes. Analytic approximation

    Science.gov (United States)

    Stangeby, P. C.

    1987-10-01

    Insertion of a probe into the plasma induces a high speed flow of the hydrogenic plasma to the probe which, by friction, accelerates the impurity ions to velocities approaching the hydrogenic ion acoustic speed, i.e., higher than the impurity ion thermal speed. A simple analytic theory based on this effect provides a relation between impurity fluxes to the probe Γimp and the undisturbed impurity ion density nimp, with the hydrogenic temperature and density as input parameters. Probe size also influences the collection process and large probes are found to attract a higher flux density than small probes in the same plasma. The quantity actually measured, cimp, the impurity atom surface density (m-2) net-deposited on the probe, is related to Γimp and thus to nimp by taking into account the partial removal of deposited material caused by sputtering and the redeposition process.

  14. Thermal plasma spheroidization and spray deposition of barium titanate powder and characterization of the plasma sprayable powder

    Energy Technology Data Exchange (ETDEWEB)

    Pakseresht, A.H., E-mail: amirh_pak@yahoo.com [Department of Ceramics, Materials and Energy Research Center, P.O. Box 31787-316, Karaj (Iran, Islamic Republic of); Rahimipour, M.R. [Department of Ceramics, Materials and Energy Research Center, P.O. Box 31787-316, Karaj (Iran, Islamic Republic of); Vaezi, M.R. [Department of Nanotechnology and Advanced Materials, Materials and Energy Research Center, P.O. Box 31787-316, Karaj (Iran, Islamic Republic of); Salehi, M. [Department of Materials Engineering, Isfahan University of Technology, P.O. Box 84156-83111, Isfahan (Iran, Islamic Republic of)

    2016-04-15

    In this paper, atmospheric plasma spray method was used to produce dense plasma sprayable powder and thick barium titanate film. In this regard, the commercially feedstock powders were granulated and spheroidized by the organic binder and the thermal spray process, respectively. Scanning electron microscopy was used to investigate the microstructure of the produced powders and the final deposits. X-ray diffraction was also implemented to characterize phase of the sprayed powder. The results indicated that spheroidized powder had suitable flowability as well as high density. The micro-hardness of the film produced by the sprayed powders was higher than that of the film deposited by the irregular granules. Additionally, relative permittivity of the films was increased by decreasing the defects from 160 to 293 for film deposited using spheroidized powder. The reduction in the relative permittivity of deposits, in comparison with the bulk material, was due to the existence of common defects in the thermal spray process. - Highlights: • We prepare sprayable BaTiO{sub 3} powder with no or less inside voids for plasma spray application for first time. • The sprayable powder has good flow characteristics and high density. • Powder spheroidization via plasma spray improves the hardness and dielectric properties of the deposited film.

  15. Thermal plasma spheroidization and spray deposition of barium titanate powder and characterization of the plasma sprayable powder

    International Nuclear Information System (INIS)

    Pakseresht, A.H.; Rahimipour, M.R.; Vaezi, M.R.; Salehi, M.

    2016-01-01

    In this paper, atmospheric plasma spray method was used to produce dense plasma sprayable powder and thick barium titanate film. In this regard, the commercially feedstock powders were granulated and spheroidized by the organic binder and the thermal spray process, respectively. Scanning electron microscopy was used to investigate the microstructure of the produced powders and the final deposits. X-ray diffraction was also implemented to characterize phase of the sprayed powder. The results indicated that spheroidized powder had suitable flowability as well as high density. The micro-hardness of the film produced by the sprayed powders was higher than that of the film deposited by the irregular granules. Additionally, relative permittivity of the films was increased by decreasing the defects from 160 to 293 for film deposited using spheroidized powder. The reduction in the relative permittivity of deposits, in comparison with the bulk material, was due to the existence of common defects in the thermal spray process. - Highlights: • We prepare sprayable BaTiO_3 powder with no or less inside voids for plasma spray application for first time. • The sprayable powder has good flow characteristics and high density. • Powder spheroidization via plasma spray improves the hardness and dielectric properties of the deposited film.

  16. Measurements of recombination coefficient of hydrogen atoms on plasma deposited thin films

    International Nuclear Information System (INIS)

    Drenik, A.; Vesel, A.; Mozetic, M.

    2006-01-01

    We have performed experiments in plasma afterglow in order to determine the recombination coefficients of plasma deposited thin films of tungsten and graphite. Plasma deposited films rather than bulk material were used in order to more closely emulate surface structure of plasma-facing material deposits in fusion reactors. We have also determined the recombination coefficient of 85250 borosilicate glass and Teflon. Plasma was created by means of a radio frequency generator in a mixture of argon and hydrogen at the pressures between 60 Pa and 280 Pa. The degree of dissociation of hydrogen molecules was found to be between 0.1 and 1. The H-atom density was measured by Fiber Optic Catalytic Probe. The recombination coefficient was determined by measuring the axial profile of the H-atom density and using Smith's side arm diffusion model. (author)

  17. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  18. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  19. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  20. Influence of emitter temperature on the energy deposition in a low-pressure plasma

    International Nuclear Information System (INIS)

    Levko, Dmitry; Raja, Laxminarayan L.

    2016-01-01

    The influence of emitter temperature on the energy deposition into low-pressure plasma is studied by the self-consistent one-dimensional Particle-in-Cell Monte Carlo Collisions model. Depending on the emitter temperature, different modes of discharge operation are obtained. The mode type depends on the plasma frequency and does not depend on the ratio between the densities of beam and plasma electrons. Namely, plasma is stable when the plasma frequency is small. For this plasma, the energy transfer from emitted electrons to plasma electrons is inefficient. The increase in the plasma frequency results first in the excitation of two-stream electron instability. However, since the thermal velocity of plasma electrons is smaller than the electrostatic wave velocity, the resonant wave-particle interaction is inefficient for the energy deposition into the plasma. Further increase in the plasma frequency leads to the distortion of beam of emitted electrons. Then, the electrostatic wave generated due to two-stream instability decays into multiple slower waves. Phase velocities of these waves are comparable with the thermal velocity of plasma electrons which makes possible the resonant wave-particle interaction. This results in the efficient energy deposition from emitted electrons into the plasma.

  1. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  2. Identification and optimization problems in plasma physics

    International Nuclear Information System (INIS)

    Gilbert, J.C.

    1986-06-01

    Parameter identification of the current in a tokamak plasma is studied. Plasma equilibrium in a vacuum container with a diaphragm is analyzed. A variable metric method with reduced optimization with nonlinear equality constraints; and a quasi-Newton reduced optimization method with constraints giving priority to restoration are presented [fr

  3. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    CERN Document Server

    Martins, D R; Verdonck, P; Brown, I G

    2002-01-01

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the SRIM (Stopping and Range of Ions in Matter) code. We find film contamination of order 10-4 - 10-3, and the memory of the prior history of the deposition hardware can be relatively long-lasting.

  4. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    International Nuclear Information System (INIS)

    Martins, D.R.; Salvadori, M.C.; Verdonck, P.; Brown, I.G.

    2002-01-01

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the stopping and range of ions in matter code. We find film contamination of the order of 10 -4 -10 -3 , and the memory of the prior history of the deposition hardware can be relatively long lasting

  5. Contamination due to memory effects in filtered vacuum arc plasma deposition systems

    Energy Technology Data Exchange (ETDEWEB)

    Martins, D.R.; Salvadori, M.C.; Verdonck, P.; Brown, I.G.

    2002-08-13

    Thin film synthesis by filtered vacuum arc plasma deposition is a widely used technique with a number of important emerging technological applications. A characteristic feature of the method is that during the deposition process not only is the substrate coated by the plasma, but the plasma gun itself and the magnetic field coil and/or vacuum vessel section constituting the macroparticle filter are also coated to some extent. If then the plasma gun cathode is changed to a new element, there can be a contamination of the subsequent film deposition by sputtering from various parts of the system of the previous coating species. We have experimentally explored this effect and compared our results with theoretical estimates of sputtering from the SRIM (Stopping and Range of Ions in Matter) code. We find film contamination of order 10-4 - 10-3, and the memory of the prior history of the deposition hardware can be relatively long-lasting.

  6. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  7. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  8. Enhanced removal of radioactive particles by fluorocarbon surfactant solutions

    International Nuclear Information System (INIS)

    Kaiser, R.; Harling, O.K.

    1993-08-01

    The proposed research addressed the application of ESI's particle removal process to the non-destructive decontamination of nuclear equipment. The cleaning medium used in this process is a solution of a high molecular weight fluorocarbon surfactant in an inert perfluorinated liquid which results in enhanced particle removal. The perfluorinated liquids of interest, which are recycled in the process, are nontoxic, nonflammable, and environmentally compatible, and do not present a hazard to the ozone layer. The information obtained in the Phase 1 program indicated that the proposed ESI process is technically effective and economically attractive. The fluorocarbon surfactant solutions used as working media in the ESI process survived exposure of up to 10 Mrad doses of gamma rays, and are considered sufficiently radiation resistant for the proposed process. Ultrasonic cleaning in perfluorinated surfactant solutions was found to be an effective method of removing radioactive iron (Fe 59) oxide particles from contaminated test pieces. Radioactive particles suspended in the process liquids could be quantitatively removed by filtration through a 0.1 um membrane filter. Projected economics indicate a pre-tax pay back time of 1 month for a commercial scale system

  9. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  10. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  11. Plasma deposition of antimicrobial coating on organic polymer

    Science.gov (United States)

    Rżanek-Boroch, Zenobia; Dziadczyk, Paulina; Czajkowska, Danuta; Krawczyk, Krzysztof; Fabianowski, Wojciech

    2013-02-01

    Organic materials used for packing food products prevent the access of microorganisms or gases, like oxygen or water vapor. To prolong the stability of products, preservatives such as sulfur dioxide, sulfites, benzoates, nitrites and many other chemical compounds are used. To eliminate or limit the amount of preservatives added to food, so-called active packaging is sought for, which would limit the development of microorganisms. Such packaging can be achieved, among others, by plasma modification of a material to deposit on its surface substances inhibiting the growth of bacteria. In this work plasma modification was carried out in barrier discharge under atmospheric pressure. Sulfur dioxide or/and sodium oxide were used as the coating precursors. As a result of bacteriological studies it was found that sulfur containing coatings show a 16% inhibition of Salmonella bacteria growth and 8% inhibition of Staphylococcus aureus bacteria growth. Sodium containing coatings show worse (by 10%) inhibiting properties. Moreover, films with plasma deposited coatings show good sealing properties against water vapor. Contribution to the Topical Issue "13th International Symposium on High Pressure Low Temperature Plasma Chemistry (Hakone XIII)", Edited by Nicolas Gherardi, Henryca Danuta Stryczewska and Yvan Ségui.

  12. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  13. Absorption and recovery of n-hexane in aqueous solutions of fluorocarbon surfactants.

    Science.gov (United States)

    Xiao, Xiao; Yan, Bo; Fu, Jiamo; Xiao, Xianming

    2015-11-01

    n-Hexane is widely used in industrial production as an organic solvent. As an industrial exhaust gas, the contribution of n-hexane to air pollution and damage to human health are attracting increasing attention. In the present study, aqueous solutions of two fluorocarbon surfactants (FSN100 and FSO100) were investigated for their properties of solubilization and dynamic absorption of n-hexane, as well as their capacity for regeneration and n-hexane recovery by thermal distillation. The results show that the two fluorocarbon surfactants enhance dissolution and absorption of n-hexane, and their effectiveness is closely related to their concentrations in solution. For low concentration solutions (0.01%-0.30%), the partition coefficient decreases dramatically and the saturation capacity increases significantly with increasing concentration, but the changes for both are more modest when the concentration is over 0.30%. The FSO100 solution presents a smaller partition coefficient and a greater saturation capacity than the FSN100 solution at the same concentration, indicating a stronger solubilization for n-hexane. Thermal distillation is a feasible method to recover n-hexane from these absorption solutions, and to regenerate them. With 90sec heating at 80-85°C, the recovery of n-hexane ranges between 81% and 85%, and the regenerated absorption solution maintains its original performance during reuse. This study provides basic information on two fluorocarbon surfactants for application in the treatment of industrial n-hexane waste gases. Copyright © 2015. Published by Elsevier B.V.

  14. Deposition of dielectric films on silicon using a fore-vacuum plasma electron source

    Energy Technology Data Exchange (ETDEWEB)

    Zolotukhin, D. B.; Tyunkov, A. V.; Yushkov, Yu. G., E-mail: yuyushkov@gmail.com [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Oks, E. M. [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Institute of High Current Electronics SB RAS, 2/3, Akademichesky Ave., Tomsk 634055 (Russian Federation)

    2016-06-15

    We describe an experiment on the use of a fore-vacuum-pressure, plasma-cathode, electron beam source with current up to 100 mA and beam energy up to 15 keV for deposition of Mg and Al oxide films on Si substrates in an oxygen atmosphere at a pressure of 10 Pa. The metals (Al and Mg) were evaporated and ionized using the electron beam with the formation of a gas-metal beam-plasma. The plasma was deposited on the surface of Si substrates. The elemental composition of the deposited films was analyzed.

  15. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  16. Velocity of sound measurements in gaseous per-fluorocarbons and their custom mixtures

    CERN Document Server

    Vacek, V; Lindsay, S

    2000-01-01

    An inexpensive sonar instrument was prepared for measurements of sound velocity in two fluorocarbon vapors; per-fluoro-n-propane (C3F8), per-fluoro-n-butane (C4F10), and their custom mixtures. The apparatus, measurement principle and instrument software are described. All sound velocity measurements in per-fluorocarbons were made in the low pressure range between 0.01 and 0.4 MPa, and at temperatures between 253 and 303 K. The purity of the C3F8 and C4F10 samples was checked using gas chromatography. Uncertainties in the speed of sound measurements were better than ± 0.1 %. Comparisons were made with theoretical predictions of sound velocity for the two individual components. The instrument was then used for concentration monitoring of custom C3F8/C4F10 mixtures.

  17. Preparation of transparent fluorocarbon/TiO{sub 2}-SiO{sub 2} composite coating with improved self-cleaning performance and anti-aging property

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Jianping, E-mail: zf161162@163.com; Tan, Zhongyuan; Liu, Zhilei; Jing, Mengmeng; Liu, Wenjie; Fu, Wanli

    2017-02-28

    Graphical abstract: Semicrystalline colloidal particles of TiO{sub 2}-SiO{sub 2} composite oxide were prepared via a sol-gel approach and annealed by a microwave heating treatment. The fabricated fluorocarbon/TiO{sub 2}-SiO{sub 2} composite coatings are transparent, exhibit a highly stable and excellent hydrophilicity, an improved photocatalytic activity and outstanding self-cleaning performance. What’s more, the composite coatings display an excellent anti-aging performance toward UV irradiation. These findings indicate that the fluorocarbon/TiO{sub 2}-SiO{sub 2} composite coatings could be potentially used for outdoor applications. - Highlights: • Semicrystalline colloidal particles of TiO{sub 2}-SiO{sub 2} composite oxide presenting a particle size of 6–10 nm were prepared via a sol-gel approach and annealed by microwave heating method. • The fabricated transparent fluorocarbon/TiO{sub 2}-SiO{sub 2} composite coatings exhibited a superior hydrophilicity, an improved photocatalytic activity and excellent self-cleaning performance. • The fluorocarbon/TiO{sub 2}-SiO{sub 2} composite coatings exhibited an excellent anti-aging performance toward UV irradiation, rendering it quite suitable for outdoor applications. - Abstract: This work reports a facile method to fabricate transparent self-cleaning fluorocarbon coatings filled by semicrystalline colloidal particles of TiO{sub 2}-SiO{sub 2} composite oxide presenting a particle size ranging from 6 to 10 nm. Anatase-TiO{sub 2} crystallites were successfully obtained after microwave heating treatment of the TiO{sub 2}-SiO{sub 2} colloidal particles as confirmed by XRD, TEM and FTIR measurements. The fluorocarbon/TiO{sub 2}-SiO{sub 2} composite coatings exhibited a superior hydrophilicity and an improved photocatalytic activity in contrast to the TiO{sub 2}-filled coatings. In particular, a water contact angle (WCA) value of 4.5° and a decolorization ratio relative to methyl orange as high as 96.0% were

  18. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  19. Niobium thin film coating on a 500-MHz copper cavity by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Haipeng Wang; Genfa Wu; H. Phillips; Robert Rimmer; Anne-Marie Valente; Andy Wu

    2005-05-16

    A system using an Electron Cyclotron Resonance (ECR) plasma source for the deposition of a thin niobium film inside a copper cavity for superconducting accelerator applications has been designed and is being constructed. The system uses a 500-MHz copper cavity as both substrate and vacuum chamber. The ECR plasma will be created to produce direct niobium ion deposition. The central cylindrical grid is DC biased to control the deposition energy. This paper describes the design of several subcomponents including the vacuum chamber, RF supply, biasing grid and magnet coils. Operational parameters are compared between an operating sample deposition system and this system. Engineering work progress toward the first plasma creation will be reported here.

  20. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  1. Assessment of power deposition dependence on the antenna poloidal extension in the fast waves-plasma interaction in pre-heated spherical tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Komoshvili, K [Tel Aviv University, Ramat Aviv (Israel); Cuperman, S [Tel Aviv University, Ramat Aviv (Israel); Bruma, C [Tel Aviv University, Ramat Aviv (Israel)

    2007-09-15

    To assess the effect of antenna poloidal extension on fast waves-plasma interactions in pre-heated spherical tokamaks and, as a result, to assist the determination of optimal conditions for power deposition, we carried out a global, numerical investigation. Thus, we solved the steady-state full wave equations for Alfvenic modes in an inhomogeneous, non-uniformly magnetized, resistive, low aspect ratio tokamak plasma with appropriate consideration of boundary conditions; in this, processes such as wave propagation, reflection, transmission, absorption and mode conversion as well as mode-coupling(s) by plasma cross-section non-homogeneity generated waves were included. The results were analysed in terms of the directions of the current densities generated in the presence of up low field side or down high field side magnetic field gradient. Suitable antenna location and poloidal extension for maximum power deposition were determined.

  2. Assessment of power deposition dependence on the antenna poloidal extension in the fast waves-plasma interaction in pre-heated spherical tokamaks

    International Nuclear Information System (INIS)

    Komoshvili, K; Cuperman, S; Bruma, C

    2007-01-01

    To assess the effect of antenna poloidal extension on fast waves-plasma interactions in pre-heated spherical tokamaks and, as a result, to assist the determination of optimal conditions for power deposition, we carried out a global, numerical investigation. Thus, we solved the steady-state full wave equations for Alfvenic modes in an inhomogeneous, non-uniformly magnetized, resistive, low aspect ratio tokamak plasma with appropriate consideration of boundary conditions; in this, processes such as wave propagation, reflection, transmission, absorption and mode conversion as well as mode-coupling(s) by plasma cross-section non-homogeneity generated waves were included. The results were analysed in terms of the directions of the current densities generated in the presence of up low field side or down high field side magnetic field gradient. Suitable antenna location and poloidal extension for maximum power deposition were determined

  3. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  4. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  5. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  6. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  7. Decontamination by cleaning with fluorocarbon surfactant solutions

    International Nuclear Information System (INIS)

    Kaiser, R.; Benson, C.E.; Meyers, E.S.; Vaughen, V.C.A.

    1994-02-01

    In the nuclear industry, facilities and their components inevitably become contaminated with radioactive materials. This report documents the application of a novel particle-removal process developed by Entropic Systems, Inc. (ESI), to decontaminate critical instruments and parts that are contaminated with small radioactive particles that adhere to equipment surfaces. The tests were performed as a cooperative effort between ESI and the Chemical Technology Division of the Oak Ridge National Laboratory (ORNL). ESI developed a new, environmentally compatible process to remove small particles from solid surfaces that is more effective than spraying or sonicating with CFC-113. This process uses inert perfluorinated liquids as working media; the liquids have zero ozone-depleting potential, are nontoxic and nonflammnable, and are generally recognized as nonhazardous materials. In the ESI process, parts to be cleaned are first sprayed or sonicated with a dilute solution of a high-molecular-weight fluorocarbon surfactant in an inert perfluorinated liquid to effect particle removal. The parts are then rinsed with the perfluorinated liquid to remove the fluorocarbon surfactant applied in the first step, and the residual rinse liquid is then evaporated from the parts into an air or nitrogen stream from which it is recovered. Nuclear contamination is inherently a surface phenomenon. The presence of radioactive particles is responsible for all ''smearable'' contamination and, if the radioactive particles are small enough, for some of the fixed contamination. Because radioactivity does not influence the physical chemistry of particle adhesion, the ESI process should be just as effective in removing radioactive particles as it is in removing nonradioactive particles

  8. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  9. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  10. Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques

    International Nuclear Information System (INIS)

    Ishikawa, Yasushi; Katoh, Yuji; Okigawa, Mitsuru; Samukawa, Seiji

    2005-01-01

    We measured electron-hole pairs generated in dielectric film using our developed on-wafer monitoring technique to detect electrical currents in the film during the plasma etching processes. The electron-hole pairs were generated by plasma induced ultraviolet (UV) photons, and the number of electron-hole pairs depends on the UV wavelength. In SiO 2 film, UV light, which has a wavelength of less than 140 nm, generates electron-hole pairs, because the band gap energy of the film is 8.8 eV. On the other hand, in Si 3 N 4 film, which has a band gap energy level of 5.0 eV, UV light below 250 nm induces the electron-hole pairs. Additionally, we evaluated the fluorocarbon gas plasma process that induces UV radiation damage using multilayer sensors that consisted of both SiO 2 and Si 3 N 4 stacked films. In these cases, electron-hole pair generation depended on the dielectric film structure. There were more electron-hole pairs generated in the SiO 2 deposited on the Si 3 N 4 film than in the Si 3 N 4 deposited on the SiO 2 film. As a result, our developed on-wafer monitoring sensor was able to predict electron-hole pair generation and the device characteristics

  11. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  12. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  13. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  14. Microstructure and tribological behavior of tungsten-containing diamondlike carbon coated rubbers

    NARCIS (Netherlands)

    Pei, Y.T.; Bui, X.L.; Zhou, Xiao; Hosson, J.Th.M. De

    2008-01-01

    Tungsten-containing diamondlike carbon (W-DLC) coatings have been deposited on FKM (fluorocarbon), ACM (acrylate), and HNBR (hydrogenated nitrile butadiene) rubbers via unbalanced magnetron reactive sputtering from a WC target in C2H2/Ar plasma. The surface morphology and, fracture cross sections of

  15. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  16. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  17. Characterization and Optimization of Ni-WC Composite Weld Matrix Deposited by Plasma-Transferred Arc Process

    Science.gov (United States)

    Tahaei, Ali; Horley, Paul; Merlin, Mattia; Torres-Torres, David; Garagnani, Gian Luca; Praga, Rolando; Vázquez, Felipe J. García; Arizmendi-Morquecho, Ana

    2017-03-01

    This work is dedicated to optimization of carbide particle system in a weld bead deposited by PTAW technique over D2 tool steel with high chromium content. The paper reports partial melting of the original carbide grains of the Ni-based filling powder, and growing of the secondary carbide phase (Cr, Ni)_3W_3C in the form of dendrites with wide branches that enhanced mechanical properties of the weld. The optimization of bead parameters was made with design of experiment methodology complemented by a complex sample characterization including SEM, EDXS, XRD, and nanoindentation measurements. It was shown that the preheat of the substrate to a moderate temperature 523 K (250° C) establishes linear pattern of metal flow in the weld pool, resulting in the most homogeneous distribution of the primary carbides in the microstructure of weld bead.

  18. Observation of non-uniform erosion and deposition phenomena on graphite after plasma exposure

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Grote, H.; Schneider, W.; Wienhold, P.; Seggern, J. von

    1999-01-01

    The modifications of fine grain isotropic graphite surfaces after plasma exposure have been investigated using surface analysis techniques with high spatial resolution in area and depth. The samples are graphite target tiles of ASDEX-upgrade and coated graphite collector samples exposed for special erosion/deposition experiments in the divertor plasma of ASDEX-upgrade or in the scrape-off plasma of TEXTOR-94. In addition, a graphite sample was exposed to a low temperature, clean deuterium plasma to study the modifications of the surface morphology during plasma exposure. The results give clear indications of non-uniform erosion and deposition processes. The change of the surface morphology during these processes is discussed. (orig.)

  19. Characterization and Application of a Planar Radio - Inductively-Coupled Plasma Source for the Production of Barrier Coatings.

    Science.gov (United States)

    Mahoney, Leonard Joseph

    A planar radio-frequency (rf) inductively-coupled plasma (ICP) source is used to produce fluorocarbon discharges (CF_4/Ar) to fluorinate the surface of high-density polyethylene (HDPE). Using this system, concurrent studies of discharge characteristics, permeation properties of treated polymers and polymer surface characteristics are conducted to advance the use of plasma-fluorinated polymer surfaces as a barrier layer for automotive applications. Langmuir probes are used to determine spatial distribution of charged-particle and space-potential characteristics in Ar and CF_4/Ar discharges and to show the influence of the spatial distribution of the heating regions and the reactor boundaries on the discharge uniformity. Langmuir probes are also used to identify rf anisotropic drift motion of electrons in the heating regions of the source and transient high-energy electron features in pulsed discharges. These latter features allow pulsed ICP sources to be operated at low time-averaged powers that are necessary to treat thermally sensitive polymers. Fourier Transform Infrared (FITR) spectroscopy is used to measure the dissociation of fluorocarbon gases and to explore differences between pulsed- and continuous -power operation. Dissociation levels of CF_4 (50-85%) using pulsed-power operation are as high as that for continuous operation, even though the net time -averaged power is far less with pulsed operation. The result suggests that pulsed fluorocarbon discharges possess high concentrations of chemically-active species needed for rapid surface fluorination. A gravimetric permeation cup method is used to measure the permeation rate of test fuels through HDPE membranes, and electron spectroscopy for chemical analysis (ESCA) studies are performed to determine the stoichiometry and thickness of the barrier layer. From these studies we find that a 50-70 A thick, polar, fluoro-hydrocarbon over layer reduces the permeation of isooctane/toluene/methanol mixtures by a

  20. Deposition of hybrid organic-inorganic composite coatings using an atmospheric plasma jet system.

    Science.gov (United States)

    Dembele, Amidou; Rahman, Mahfujur; Reid, Ian; Twomey, Barry; MacElroy, J M Don; Dowling, Denis P

    2011-10-01

    The objective of this study is to investigate the influence of alcohol addition on the incorporation of metal oxide nanoparticles into nm thick siloxane coatings. Titanium oxide (TiO2) nanoparticles with diameters of 30-80 nm were incorporated into an atmospheric plasma deposited tetramethylorthosilicate (TMOS) siloxane coating. The TMOS/TiO2 coating was deposited using the atmospheric plasma jet system known as PlasmaStream. In this system the liquid precursor/nanoparticle mixture is nebulised into the plasma. It was observed that prior to being nebulised the TiO2 particles agglomerated and settled over time in the TMOS/TiO2 mixture. In order to obtain a more stable nanoparticle/TMOS suspension the addition of the alcohols methanol, octanol and pentanol to this mixture was investigated. The addition of each of these alcohols was found to stabilise the nanoparticle suspension. The effect of the alcohol was therefore assessed with respect to the properties of the deposited coatings. It was observed that coatings deposited from TMOS/TiO2, with and without the addition of methanol were broadly similar. In contrast the coatings deposited with octanol and pentanol addition to the TMOS/TiO2 mixture were significantly thicker, for a given set of deposition parameters and were also more homogeneous. This would indicate that the alcohol precursor was incorporated into the plasma polymerised siloxane. The incorporation of the organic functionality from the alcohols was confirmed from FTIR spectra of the coatings. The difference in behaviour with alcohol type is likely to be due to the lower boiling point of methanol (65 degrees C), which is lower than the maximum plasma temperature measured at the jet orifice (77 degrees C). This temperature is significantly lower than the 196 degrees C and 136 degrees C boiling points of octanol and pentanol respectively. The friction of the coatings was determined using the Pin-on-disc technique. The more organic coatings deposited with

  1. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  2. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  3. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  4. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  5. Process maps for plasma spray. Part II: Deposition and properties

    International Nuclear Information System (INIS)

    XIANGYANG, JIANG; MATEJICEK, JIRI; KULKARNI, ANAND; HERMAN, HERBERT; SAMPATH, SANJAY; GILMORE, DELWYN L.; NEISER A, RICHARD Jr.

    2000-01-01

    This is the second paper of a two part series based on an integrated study carried out at the State University of New York at Stony Brook and Sandia National Laboratories. The goal of the study is the fundamental understanding of the plasma-particle interaction, droplet/substrate interaction, deposit formation dynamics and microstructure development as well as the deposit property. The outcome is science-based relationships, which can be used to link processing to performance. Molybdenum splats and coatings produced at 3 plasma conditions and three substrate temperatures were characterized. It was found that there is a strong mechanical/thermal interaction between droplet and substrate, which builds up the coatings/substrate adhesion. Hardness, thermal conductivity, and modulus increase, while oxygen content and porosity decrease with increasing particle velocity. Increasing deposition temperature resulted in dramatic improvement in coating thermal conductivity and hardness as well as increase in coating oxygen content. Indentation reveals improved fracture resistance for the coatings prepared at higher deposition temperature. Residual stress was significantly affected by deposition temperature, although not significant by particle energy within the investigated parameter range. Coatings prepared at high deposition temperature with high-energy particles suffered considerably less damage in wear tests. Possible mechanisms behind these changes are discussed within the context of relational maps which are under development

  6. Effect of electron degeneracy on fast-particles energy deposition in dense plasma systems

    International Nuclear Information System (INIS)

    Johzaki, T.; Nakao, Y.; Nakashima, H.; Kudo, K.

    1997-01-01

    The effects of electron degeneracy on fast-particles energy deposition in dense plasmas are investigated by making transport calculations for the fast particles. It is found that the degeneracy substantially affects the profiles of energy deposition of 3.52-MeV α-particles. On the other hand, the effect on the energy deposition of 14.1-MeV neutrons is negligibly small because the recoil ions, which transfer the neutron energy to the plasma constituents, are produced in a whole plasma volume due to the long mean-free-path of neutrons. The coupled transport-hydrodynamic calculations show that these effects of degeneracy are negligible in the ignition and burn characteristics of central ignition D-T targets. (author)

  7. Tribological behavior of W-DLC coated rubber seals

    NARCIS (Netherlands)

    Pei, Y.T.; Bui, X.L.; Zhou, X.B.; Hosson, J.Th.M. De

    2008-01-01

    Tungsten-containing diamond-like carbon (W-DLC) coatings have been deposited on FKM (fluorocarbon) and HNBR (hydrogenated nitrile butadiene) rubbers via unbalanced magnetron reactive sputtering from a WC target in a C2H2/Ar plasma. The surface morphology and fracture cross sections of uncoated and

  8. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  9. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  10. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  11. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  12. Optimization of Nano-Process Deposition Parameters Based on Gravitational Search Algorithm

    Directory of Open Access Journals (Sweden)

    Norlina Mohd Sabri

    2016-06-01

    Full Text Available This research is focusing on the radio frequency (RF magnetron sputtering process, a physical vapor deposition technique which is widely used in thin film production. This process requires the optimized combination of deposition parameters in order to obtain the desirable thin film. The conventional method in the optimization of the deposition parameters had been reported to be costly and time consuming due to its trial and error nature. Thus, gravitational search algorithm (GSA technique had been proposed to solve this nano-process parameters optimization problem. In this research, the optimized parameter combination was expected to produce the desirable electrical and optical properties of the thin film. The performance of GSA in this research was compared with that of Particle Swarm Optimization (PSO, Genetic Algorithm (GA, Artificial Immune System (AIS and Ant Colony Optimization (ACO. Based on the overall results, the GSA optimized parameter combination had generated the best electrical and an acceptable optical properties of thin film compared to the others. This computational experiment is expected to overcome the problem of having to conduct repetitive laboratory experiments in obtaining the most optimized parameter combination. Based on this initial experiment, the adaptation of GSA into this problem could offer a more efficient and productive way of depositing quality thin film in the fabrication process.

  13. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  14. Optimization of plasma flow parameters of the magnetoplasma compressor

    International Nuclear Information System (INIS)

    Dojcinovic, I P; Kuraica, M M; Obradovc, B M; Cvetanovic, N; Puric, J

    2007-01-01

    Optimization of the working conditions of the magnetoplasma compressor (MPC) has been performed through analysing discharge and compression plasma flow parameters in hydrogen, nitrogen and argon at different pressures. Energy conversion rate, volt-ampere curve exponent and plasma flow velocities have been studied to optimize the efficiency of energy transfer from the supply source to the plasma. It has been found that the most effective energy transfer from the supply to the plasma is in hydrogen as a working gas at 1000 Pa pressure. It was found that the accelerating regime exists for hydrogen up to 3000 Pa pressures, in nitrogen up to 2000 Pa and in argon up to 1000 Pa pressure. At higher pressures MPC in all the gases works in the decelerating regime. At pressures lower than 200 Pa, high cathode erosion is observed. MPC plasma flow parameter optimization is very important because this plasma accelerating system may be of special interest for solid surface modification and other technology applications

  15. Factors affecting the adhesion of microwave plasma deposited siloxane films on polycarbonate

    International Nuclear Information System (INIS)

    Muir, B.W.; Thissen, H.; Simon, G.P.; Murphy, P.J.; Griesser, H.J.

    2006-01-01

    The effects of a radiofrequency oxygen plasma pretreatment and residual water content in the substrate on the adhesion of microwave plasma deposited tetramethyldisiloxane thin films on Bisphenol-A polycarbonate (BPA-PC) were investigated. Samples were characterised using a crosshatch adhesion test, optical and electron microscopy, and X-ray photoelectron spectroscopy. It was found that the use of a low power (5 W) and low treatment time (0.1 s) oxygen plasma can improve adhesion while greater treatment times (1-30 s) and higher oxygen plasma powers (40 W) resulted in a decreased level of adhesion. In addition, it was shown that a BPA-PC water content greater than 90 ppm resulted in rapid adhesion failure of deposited films at the substrate-plasma polymer interface during outdoor weathering. All films degraded substantially when exposed to environmental weathering, indicating ageing reactions within the plasma polymer films themselves, and at the bulk polymer-coating interface

  16. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  17. Plasma exposure behavior of re-deposited tungsten on structural materials of fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yu-Ping; Wang, Jing [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Zhou, Hai-Shan, E-mail: haishanzhou@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Liu, Feng [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Li, Zeng-De [General Research Institute for Nonferrous Metals, Beijing 100088 (China); Li, Xiao-Chun; Lu, Tao [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Liu, Hao-Dong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Ding, Fang; Mao, Hong-Min; Zhao, Ming-Zhong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Lin, Chen-Guang [General Research Institute for Nonferrous Metals, Beijing 100088 (China); Luo, Guang-Nan [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Hefei Center for Physical Science and Technology, Hefei 230031 (China); Hefei Science Center of Chinese Academy of Science, Hefei 230027 (China)

    2017-05-15

    To evaluate the effects of re-deposited tungsten (W) on the surface modification and hydrogen isotope retention behavior of fusion structural materials, the plasma exposure behavior of re-deposited W samples prepared by magnetron sputtering on the F82H steel, the V-5Cr-5Ti alloy as well as bare substrate samples was investigated. All the samples were exposed to 367 shots of deuterium plasmas in the 2015 spring EAST campaign. After the plasma exposure, large area of W layer was exfoliated, while big blisters were found at the interface between the remaining W layer and the substrate materials. The deuterium retention behavior of the samples with re-deposited W layer was characterized by thermal desorption spectroscopy and compared with the bare substrate samples.

  18. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  19. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  20. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  1. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  2. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  3. Controlled fluoridation of amorphous carbon films deposited at reactive plasma conditions

    Directory of Open Access Journals (Sweden)

    Yoffe Alexander

    2015-09-01

    Full Text Available A study of the correlations between plasma parameters, gas ratios, and deposited amorphous carbon film properties is presented. The injection of a C4F8/Ar/N2 mixture of gases was successfully used in an inductively coupled plasma system for the preparation of amorphous carbon films with different fluoride doping at room-temperature, using silicon as a substrate. This coating was formed at low-pressure and low-energy using an inductively coupled plasma process. A strong dependence between the ratios of gases during deposition and the composition of the substrate compounds was shown. The values of ratios between Ar (or Ar+N2 and C4F8 - 1:1 and between N2 and Ar - 1:2 in the N2/Ar/C4F8 mixture were found as the best for low fluoridated coatings. In addition, an example of improving the etch-passivation in the Bosch procedure was described. Scanning electron microscopy with energy dispersive spectroscopy options, X-ray diffraction, and X-ray reflectivity were used for quantitative analysis of the deposited films.

  4. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  5. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  6. Deposition of porous cathodes using plasma spray technique for reduced-temperature SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Jankovic, J.; Hui, S.; Roller, J.; Kesler, O.; Xie, Y.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    Current techniques for Solid Oxide Fuel Cell (SOFC) materials deposition are often expensive and time-consuming. Plasma-spraying techniques provide higher deposition rates, short processing times and control over porosity and composition during deposition. Optimum plasma spraying for lanthanum based cathode materials were discussed. Plasma-spraying was used to deposit cathode materials onto ceramic and stainless steel substrates to obtain highly porous structures. Lanthanum cathode materials with composition of La{sub 0.6}Sr{sub 0.4}C{sub 0.2}Fe{sub 0.8}O{sub 3} were employed in the powder form. The powder was prepared from powder precursors with different power formers and binder levels, or from produced single-phase lanthanum powders. The (La{sub 0.8}Sr{sub 0.2}){sub 0.98}MnO{sub 3} cathode material was also processed for comparison purposes. The deposition process was developed to obtain coatings with good bond strength, porosity, film thickness and residual stresses. The phase and microstructure of deposited materials were characterized using X-Ray Diffraction and Scanning Electron Microscopy (SEM). It was concluded that good flow of the powder precursors is achieved by spraying 50-100 um particle size powders and using vibrating feeders. Further processing of the spraying powders was recommended. It was noted that oxide precursors showed greater reactivity among the precursors. The best precursor reactivity and coating morphology was obtained using 40 volume per cent of graphite pore former, incorporated into the precursor mixture during wet ball milling. It was concluded that higher power levels and larger distances between the plasma gun and the substrate result in coatings with the highest porosities and best phase compositions. 5 refs., 1 tab., 6 figs.

  7. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  8. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  9. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  10. Formation of microchannels from low-temperature plasma-deposited silicon oxynitride

    Science.gov (United States)

    Matzke, Carolyn M.; Ashby, Carol I. H.; Bridges, Monica M.; Manginell, Ronald P.

    2000-01-01

    A process for forming one or more fluid microchannels on a substrate is disclosed that is compatible with the formation of integrated circuitry on the substrate. The microchannels can be formed below an upper surface of the substrate, above the upper surface, or both. The microchannels are formed by depositing a covering layer of silicon oxynitride over a mold formed of a sacrificial material such as photoresist which can later be removed. The silicon oxynitride is deposited at a low temperature (.ltoreq.100.degree. C.) and preferably near room temperature using a high-density plasma (e.g. an electron-cyclotron resonance plasma or an inductively-coupled plasma). In some embodiments of the present invention, the microchannels can be completely lined with silicon oxynitride to present a uniform material composition to a fluid therein. The present invention has applications for forming microchannels for use in chromatography and electrophoresis. Additionally, the microchannels can be used for electrokinetic pumping, or for localized or global substrate cooling.

  11. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  12. Plasma-deposited hybrid silica membranes with a controlled retention of organic bridges

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Wienk, I.M.; Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-03-05

    Hybrid organically bridged silica membranes are suitable for energy-efficient molecular separations under harsh industrial conditions. Such membranes can be useful in organic solvent nanofiltration if they can be deposited on flexible, porous and large area supports. Here, we report the proof of concept for applying an expanding thermal plasma to the synthesis of perm-selective hybrid silica films from an organically bridged monomer, 1,2-bis(triethoxysilyl)ethane. This membrane is the first in its class to be produced by plasma enhanced chemical vapor deposition. By tuning the plasma and process parameters, the organic bridging groups could be retained in the separating layer. This way, a defect free film could be made with pervaporation performances of an n-butanol-water mixture comparable with those of conventional ceramic supported membranes made by sol-gel technology (i.e. a water flux of [similar]1.8 kg m'-{sup 2} h{sup -1}, a water concentration in the permeate higher than 98% and a separation factor of >1100). The obtained results show the suitability of expanding thermal plasma as a technology for the deposition of hybrid silica membranes for molecular separations.

  13. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  14. Hidden parameters in the plasma deposition of microcrystalline silicon solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Rech, B.; Schmitz, R.; Klomfass, J.; Dingemans, G.; Finger, F.; Houben, L.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2007-01-01

    The effect of process parameters on the plasma deposition of µc-Si:H solar cells is reviewed in this article. Several in situ diagnostics are presented, which can be used to study the process stability as an additional parameter in the deposition process. The diagnostics were used to investigate the

  15. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  16. A possible method of carbon deposit mapping on plasma facing components using infrared thermography

    International Nuclear Information System (INIS)

    Mitteau, R.; Spruytte, J.; Vallet, S.; Travere, J.M.; Guilhem, D.; Brosset, C.

    2007-01-01

    The material eroded from the surface of plasma facing components is redeposited partly close to high heat flux areas. At these locations, the deposit is heated by the plasma and the deposition pattern evolves depending on the operation parameters. The mapping of the deposit is still a matter of intense scientific activity, especially during the course of experimental campaigns. A method based on the comparison of surface temperature maps, obtained in situ by infrared cameras and by theoretical modelling is proposed. The difference between the two is attributed to the thermal resistance added by deposited material, and expressed as a deposit thickness. The method benefits of elaborated imaging techniques such as possibility theory and fuzzy logics. The results are consistent with deposit maps obtained by visual inspection during shutdowns

  17. Plasma deposition of silver nanoparticles on ultrafiltration membranes: antibacterial and anti-biofouling properties.

    Science.gov (United States)

    Cruz, Mercedes Cecilia; Ruano, Gustavo; Wolf, Marcus; Hecker, Dominic; Vidaurre, Elza Castro; Schmittgens, Ralph; Rajal, Verónica Beatriz

    2015-02-01

    A novel and versatile plasma reactor was used to modify Polyethersulphone commercial membranes. The equipment was applied to: i) functionalize the membranes with low-temperature plasmas, ii) deposit a film of poly(methyl methacrylate) (PMMA) by Plasma Enhanced Chemical Vapor Deposition (PECVD) and, iii) deposit silver nanoparticles (SNP) by Gas Flow Sputtering. Each modification process was performed in the same reactor consecutively, without exposure of the membranes to atmospheric air. Scanning electron microscopy and transmission electron microscopy were used to characterize the particles and modified membranes. SNP are evenly distributed on the membrane surface. Particle fixation and transport inside membranes were assessed before- and after-washing assays by X-ray photoelectron spectroscopy depth profiling analysis. PMMA addition improved SNP fixation. Plasma-treated membranes showed higher hydrophilicity. Anti-biofouling activity was successfully achieved against Gram-positive ( Enterococcus faecalis ) and -negative ( Salmonella Typhimurium) bacteria. Therefore, disinfection by ultrafiltration showed substantial resistance to biofouling. The post-synthesis functionalization process developed provides a more efficient fabrication route for anti-biofouling and anti-bacterial membranes used in the water treatment field. To the best of our knowledge, this is the first report of a gas phase condensation process combined with a PECVD procedure in order to deposit SNP on commercial membranes to inhibit biofouling formation.

  18. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  19. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  20. Transport and deposition of injected hydrocarbons in plasma generator PSI-2

    International Nuclear Information System (INIS)

    Bohmeyer, W.; Naujoks, D.; Markin, A.; Arkhipov, I.; Koch, B.; Schroeder, D.; Fussmann, G.

    2005-01-01

    The transport and deposition of hydrocarbons were studied in the stationary plasma of plasma generator PSI-2. CH 4 or C 2 H 4 were injected into the plasma at different positions in the target chamber. After an interaction between the plasma and the hydrocarbons, different species are produced, some of them having high sticking probabilities and forming a:CH films on a temperature controlled collector. The film growth is studied in situ for different plasma parameters. The 3D Monte Carlo code ERO including three different sets of atomic data is used to describe the formation of hydrocarbon films

  1. Optimization design of energy deposition on single expansion ramp nozzle

    Science.gov (United States)

    Ju, Shengjun; Yan, Chao; Wang, Xiaoyong; Qin, Yupei; Ye, Zhifei

    2017-11-01

    Optimization design has been widely used in the aerodynamic design process of scramjets. The single expansion ramp nozzle is an important component for scramjets to produces most of thrust force. A new concept of increasing the aerodynamics of the scramjet nozzle with energy deposition is presented. The essence of the method is to create a heated region in the inner flow field of the scramjet nozzle. In the current study, the two-dimensional coupled implicit compressible Reynolds Averaged Navier-Stokes and Menter's shear stress transport turbulence model have been applied to numerically simulate the flow fields of the single expansion ramp nozzle with and without energy deposition. The numerical results show that the proposal of energy deposition can be an effective method to increase force characteristics of the scramjet nozzle, the thrust coefficient CT increase by 6.94% and lift coefficient CN decrease by 26.89%. Further, the non-dominated sorting genetic algorithm coupled with the Radial Basis Function neural network surrogate model has been employed to determine optimum location and density of the energy deposition. The thrust coefficient CT and lift coefficient CN are selected as objective functions, and the sampling points are obtained numerically by using a Latin hypercube design method. The optimized thrust coefficient CT further increase by 1.94%, meanwhile, the optimized lift coefficient CN further decrease by 15.02% respectively. At the same time, the optimized performances are in good and reasonable agreement with the numerical predictions. The findings suggest that scramjet nozzle design and performance can benefit from the application of energy deposition.

  2. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  3. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  4. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  5. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  6. Optimization of AZO films prepared on flexible substrates

    Indian Academy of Sciences (India)

    Administrator

    grey relational analysis, the optimization of these deposition process parameters for AZO thin films with multiple characteristics ... bias voltage, annealing temperature and plasma treatment deposition time (Pei et ... water and dried in nitrogen.

  7. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  8. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  9. 40 CFR Appendix A to Subpart F of... - Specifications for Fluorocarbon and Other Refrigerants

    Science.gov (United States)

    2010-07-01

    ..., and 1C). An alternative gravimetric method is described in Appendix C to ARI Standard 700-1995. 5... fluorocarbon and other refrigerants regardless of source and lists acceptable test methods. These refrigerants... Test Methods and Maximum Permissible Contaminant Levels 5.1Referee Test. The referee test methods for...

  10. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  11. Study on re-sputtering during CN{sub x} film deposition through spectroscopic diagnostics of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Peipei; Yang, Xu; Li, Hui; Cai, Hua [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Sun, Jian; Xu, Ning [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Wu, Jiada, E-mail: jdwu@fudan.edu.cn [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Fudan University, Shanghai 200433 (China)

    2015-10-15

    A nitrogen-carbon plasma was generated during the deposition of carbon nitride (CN{sub x}) thin films by pulsed laser ablation of a graphite target in a discharge nitrogen plasma, and the optical emission of the generated nitrogen-carbon plasma was measured for the diagnostics of the plasma and the characterization of the process of CN{sub x} film deposition. The nitrogen-carbon plasma was recognized to contain various species including nitrogen molecules and molecular ions excited in the ambient N{sub 2} gas, carbon atoms and atomic ions ablated from the graphite target and CN radicals. The temporal evolution and spatial distribution of the CN emission and their dependence on the substrate bias voltage show two groups of CN radicals flying in opposite directions. One represents the CN radicals formed as the products of the reactions occurring in the nitrogen-carbon plasma, revealing the reactive deposition of CN{sub x} film due to the reactive expansion of the ablation carbon plasma in the discharge nitrogen plasma and the effective formation of gaseous CN radicals as precursors for CN{sub x} film growth. The other one represents the CN radicals re-sputtered from the growing CN{sub x} film by energetic plasma species, evidencing the re-sputtering of the growing film accompanying film growth. And, the re-sputtering presents ion-induced sputtering features.

  12. Shaped articles of cross-linked fluorocarbon polymers

    International Nuclear Information System (INIS)

    Gotcher, A.J.; Germeraad, P.B.

    1981-01-01

    A process is described which comprises (1) contacting (a) a shaped article of a polymeric composition wherein the polymer is a fluorocarbon polymer having a melting point of at least 200 0 C, the article having a tensile strength of at least 3,000 psi, with (b) a fluid composition comprising a cross-linking agent, until the article contains at least 2.5% by weight of the cross-linking agent; and (2) irradiating the shaped article with ionising radiation to a dosage not exceeding 50 Mrads under conditions such that the composition is cross-linked sufficiently to impart thereto an M 100 value of at least 300 psi, while maintaining a tensile strength of at least 3000 psi, the shaped article containing a specified proportion of the cross-linking agent. (author)

  13. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  14. Floating harmonic probe measurements in the low-temperature plasma jet deposition system

    Czech Academy of Sciences Publication Activity Database

    Zanáška, M.; Hubička, Zdeněk; Čada, Martin; Kudrna, Pavel; Tichý, M.

    2018-01-01

    Roč. 51, č. 2 (2018), s. 1-8, č. článku 025205. ISSN 0022-3727 R&D Projects: GA ČR(CZ) GA15-00863S Institutional support: RVO:68378271 Keywords : plasma diagnostic * floating harmonic probe * Langmuir probe * hollow cathode * non-conducting film deposition Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics ) Impact factor: 2.588, year: 2016

  15. In situ growth optimization in focused electron-beam induced deposition

    Directory of Open Access Journals (Sweden)

    Paul M. Weirich

    2013-12-01

    Full Text Available We present the application of an evolutionary genetic algorithm for the in situ optimization of nanostructures that are prepared by focused electron-beam-induced deposition (FEBID. It allows us to tune the properties of the deposits towards the highest conductivity by using the time gradient of the measured in situ rate of change of conductance as the fitness parameter for the algorithm. The effectiveness of the procedure is presented for the precursor W(CO6 as well as for post-treatment of Pt–C deposits, which were obtained by the dissociation of MeCpPt(Me3. For W(CO6-based structures an increase of conductivity by one order of magnitude can be achieved, whereas the effect for MeCpPt(Me3 is largely suppressed. The presented technique can be applied to all beam-induced deposition processes and has great potential for a further optimization or tuning of parameters for nanostructures that are prepared by FEBID or related techniques.

  16. Hydrogen retention properties of co-deposition under high-density plasmas in TRIAM-1M

    International Nuclear Information System (INIS)

    Tokitani, M.; Miyamoto, M.; Tokunaga, K.; Fujiwara, T.; Yoshida, N.; Sakamoto, M.; Zushi, H.; Hanada, K.; TRIAM Group,; Nagata, S.; Tsuchiya, B.

    2007-01-01

    Retention of hydrogen in co-deposits formed under high-density plasma discharge in TRIAM-1M was studied. In order to quantify the retained hydrogen, material probe experiments were performed under the high-density (n at e ∼10 19 m -3 ) discharges. After the exposure to the plasma, the quantitative analysis of deposition, hydrogen retention, and microscopic modification of specimens were performed by means of ion beam analysis and transmission electron microscopy. The co-deposits mainly consisted of Mo. The deposition rate of Mo was about ten times higher than that of the low-density discharge case. The hydrogen concentrations (H/Mo) retained in the co-deposits were 0.06-0.17, which was much higher than that in bulk-Mo and almost equal to the low-density case. These results indicate that as long as the co-deposition layers are continuously formed, strong wall pumping in TRIAM-1M is maintained during the discharges

  17. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  18. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  19. BIRTH: a beam deposition code for non-circular tokamak plasmas

    International Nuclear Information System (INIS)

    Otsuka, Michio; Nagami, Masayuki; Matsuda, Toshiaki

    1982-09-01

    A new beam deposition code has been developed which is capable of calculating fast ion deposition profiles including the orbit correction. The code incorporates any injection geometry and a non-circular cross section plasma with a variable elongation and an outward shift of the magnetic flux surface. Typical cpu time on a DEC-10 computer is 10 - 20 seconds and 5 - 10 seconds with and without the orbit correction, respectively. This is shorter by an order of magnitude than that of other codes, e.g., Monte Carlo codes. The power deposition profile calculated by this code is in good agreement with that calculated by a Monte Carlo code. (author)

  20. Comparative study of the physicochemical properties of aqueous solutions of the hydrocarbon and fluorocarbon surfactants and their ternary mixtures

    Energy Technology Data Exchange (ETDEWEB)

    Szymczyk, Katarzyna, E-mail: katarzyna.szymczyk@poczta.umcs.lublin.pl

    2014-03-03

    Highlights: • Acoustic properties of hydrocarbon and fluorocarbon surfactants were studied. • Auerbach’s relation is not proper for mixtures with fluorocarbon surfactants. • Values of the hydration number decreases at concentrations higher than CMC. • FSO100 and its mixtures are the strongest chaotropes. - Abstract: Speed of sound and density of aqueous solutions of hydrocarbon p-(1,1,3,3-tetramethylbutyl) phenoxypoly(ethyleneglycols) (Triton X-100 (TX100), Triton X-165 (TX165)) and fluorocarbon (Zonyl FSN-100 (FSN100), Zonyl FSO-100 (FSO100)) surfactants as well as their ternary mixtures were measured at 293 K. Taking into account these values and the literature data of the surface tension and viscosity of the studied systems, the values of the isentropic compressibility, apparent specific adiabatic compressibility, hydration number, apparent specific volume and Jones Dole’s A and B-coefficients were determined. For the systems containing FSO100 also the values of dB/dT were determined on the basis of the values of viscosity measured at different temperatures. Next, the calculated thermodynamic properties have been discussed in the term of intermolecular interactions between the components of the mixtures.

  1. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  2. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  3. Neutral-beam deposition in large, finite-beta noncircular tokamak plasmas

    International Nuclear Information System (INIS)

    Wieland, R.M.; Houlberg, W.A.

    1982-02-01

    A parametric pencil beam model is introduced for describing the attenuation of an energetic neutral beam moving through a tokamak plasma. The nonnegligible effects of a finite beam cross section and noncircular shifted plasma cross sections are accounted for in a simple way by using a smoothing algorithm dependent linearly on beam radius and by including information on the plasma flux surface geometry explicitly. The model is benchmarked against more complete and more time-consuming two-dimensional Monte Carlo calculations for the case of a large D-shaped tokamak plasma with minor radius a = 120 cm and elongation b/a = 1.6. Deposition profiles are compared for deuterium beam energies of 120 to 150 keV, central plasma densities of 8 x 10 13 - 2 x 10 14 cm -3 , and beam orientation ranging from perpendicular to tangential to the inside wall

  4. Iridium Coating Deposited by Double Glow Plasma Technique — Effect of Glow Plasma on Structure of Coating at Single Substrate Edge

    International Nuclear Information System (INIS)

    Wu Wangping; Chen Zhaofeng; Liu Yong

    2012-01-01

    Double glow plasma technique has a high deposition rate for preparing iridium coating. However, the glow plasma can influence the structure of the coating at the single substrate edge. In this study, the iridium coating was prepared by double glow plasma on the surface of single niobium substrate. The microstructure of iridium coating at the substrate edge was observed by scanning electron microscopy. The composition of the coating was confirmed by energy dispersive spectroscopy and X-ray diffraction. There was a boundary between the coating and the substrate edge. The covered area for the iridium coating at the substrate edge became fewer and fewer from the inner area to the outer flange-area. The bamboo sprout-like particles on the surface of the substrate edge were composed of elemental niobium. The substrate edge was composed of the Nb coating and there was a transition zone between the Ir coating and the Nb coating. The interesting phenomenon of the substrate edge could be attributed to the effects of the bias voltages and the plasma cloud in the deposition chamber. The substrate edge effect could be mitigated or eliminated by adding lots of small niobium plates around the substrate in a deposition process. (plasma technology)

  5. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  6. Laser ablation and deposition of wide bandgap semiconductors: plasma and nanostructure of deposits diagnosis

    Science.gov (United States)

    Sanz, M.; López-Arias, M.; Rebollar, E.; de Nalda, R.; Castillejo, M.

    2011-12-01

    Nanostructured CdS and ZnS films on Si (100) substrates were obtained by nanosecond pulsed laser deposition at the wavelengths of 266 and 532 nm. The effect of laser irradiation wavelength on the surface structure and crystallinity of deposits was characterized, together with the composition, expansion dynamics and thermodynamic parameters of the ablation plume. Deposits were analyzed by environmental scanning electron microscopy, atomic force microscopy and X-ray diffraction, while in situ monitoring of the plume was carried out with spectral, temporal and spatial resolution by optical emission spectroscopy. The deposits consist of 25-50 nm nanoparticle assembled films but ablation in the visible results in larger aggregates (150 nm) over imposed on the film surface. The aggregate free films grown at 266 nm on heated substrates are thicker than those grown at room temperature and in the former case they reveal a crystalline structure congruent with that of the initial target material. The observed trends are discussed in reference to the light absorption step, the plasma composition and the nucleation processes occurring on the substrate.

  7. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  8. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  9. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  10. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  11. Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition

    International Nuclear Information System (INIS)

    Usami, Kenji; Ishijima, Tatsuo; Toyoda, Hirotaka

    2012-01-01

    To improve adhesion at the interface between Cupper (Cu) and polyimide (PI) layers, a PI film surface was treated with a microwave-excited plasma. The Ar/N 2 plasma treatment improved the Cu adhesion force to 10 N/cm even for PI substrates with absorbed water. A dramatic improvement of the adhesion durability was achieved by depositing a thin carbon film (C) on the PI substrate as an interlayer between PI and Cu using a microwave plasma followed by treatment with the Ar/N 2 plasma prior to the Cu deposition. After a 20-h accelerated aging test, the reduction of the adhesion force for the resulting Cu/C/PI sample was only 10%, whereas that for the Cu/PI sample was 55%. To gain insight into the film properties, the interface between the Cu and PI film was investigated by X-ray photoelectron spectroscopy.

  12. Erosion of pyrolytic carbon under high surface energy deposition from a pulsed hydrogen plasma

    International Nuclear Information System (INIS)

    Bolt, H.

    1992-01-01

    Carbon materials are widely applied as plasma facing materials in nuclear fusion devices and are also the prime candidate materials for the next generation of experimental fusion reactors. During operation these materials are frequently subjected to high energy deposition from plasma disruptions. The erosion of carbon materials is regarded as the main issue governing the operational lifetime of plasma facing components. Laboratory experiments have been performed to study the thermal erosion behaviour of carbon in a plasma environment. In the experiments the surface of pyrolytic carbon specimens was exposed to pulsed energy deposition of up to 3.8 MJ m -2 from a hydrogen plasma. The behaviour of the eroded carbon species in the plasma was measured by time-resolved and space-resolved spectroscopy. Intense line radiation of ionic carbon has been measured in the plasma in front of the carbon surface. The results show that the eroded carbon is immediately ionised in the vicinity of the material surface, with a fraction of it being ionised to the double-charged state. (Author)

  13. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  14. Magnetic field effects on runaway electron energy deposition in plasma facing materials and components

    International Nuclear Information System (INIS)

    Niemer, K.A.; Gilligan, J.G.

    1992-01-01

    This paper reports magnetic field effects on runaway electron energy deposition in plasma facing materials and components is investigated using the Integrated TIGER Series. The Integrated TIGER Series is a set of time-independent coupled electron/photon Monte Carlo transport codes which perform photon and electron transport, with or without macroscopic electric and magnetic fields. A three-dimensional computational model of 100 MeV electrons incident on a graphite block was used to simulate runawayelectrons striking a plasma facing component at the edge of a tokamak. Results show that more energy from runaway electrons will be deposited in a material that is in the presence of a magnetic field than in a material that is in the presence of no field. For low angle incident runaway electrons in a strong magnetic field, the majority of the increased energy deposition is near the material surface with a higher energy density. Electrons which would have been reflected with no field, orbit the magnetic field lines and are redeposited in the material surface, resulting in a substantial increase in surface energy deposition. Based on previous studies, the higher energy deposition and energy density will result in higher temperatures which are expected to cause more damage to a plasma facing component

  15. Atmospheric pulsed laser deposition of plasmonic nanoparticle films of silver with flowing gas and flowing atmospheric plasma

    Science.gov (United States)

    Khan, T. M.; Pokle, A.; Lunney, J. G.

    2018-04-01

    Two methods of atmospheric pulsed laser deposition of plasmonic nanoparticle films of silver are described. In both methods the ablation plume, produced by a 248 nm, 20 ns excimer laser in gas, is strongly confined near the target and forms a nanoparticle aerosol. For both the flowing gas, and the atmospheric plasma from a dielectric barrier discharge plasma source, the aerosol is entrained in the flow and carried to a substrate for deposition. The nanoparticle films produced by both methods were examined by electron microscopy and optical absorption spectroscopy. With plasma assistance, the deposition rate was significantly enhanced and the film morphology altered. With argon gas, isolated nanoparticles of 20 nm size were obtained, whereas in argon plasma, the nanoparticles are aggregated in clusters of 90 nm size. Helium gas also leads to the deposition of isolated nanoparticles, but with helium plasma, two populations of nanoparticles are observed: one of rounded particles with a mean size of 26 nm and the other of faceted particles with a mean size 165 nm.

  16. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  17. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  18. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  19. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  20. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  1. Corrosion properties of plasma deposited nickel and nickel-based alloys

    Czech Academy of Sciences Publication Activity Database

    Voleník, Karel; Pražák, M.; Kalabisová, E.; Kreislová, K.; Had, J.; Neufuss, Karel

    2003-01-01

    Roč. 48, č. 3 (2003), s. 215-226 ISSN 0001-7043 R&D Projects: GA ČR GA106/99/0298 Institutional research plan: CEZ:AV0Z2043910 Keywords : plasma deposits, nickel, nickel-based alloys Subject RIV: JK - Corrosion ; Surface Treatment of Materials

  2. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  3. Surface modification of biomaterials by pulsed laser ablation deposition and plasma/gamma polymerization

    Science.gov (United States)

    Rau, Kaustubh R.

    Surface modification of stainless-steel was carried out by two different methods: pulsed laser ablation deposition (PLAD) and a combined plasma/gamma process. A potential application was the surface modification of endovascular stents, to enhance biocompatibility. The pulsed laser ablation deposition process, had not been previously reported for modifying stents and represented a unique and potentially important method for surface modification of biomaterials. Polydimethylsiloxane (PDMS) elatomer was studied using the PLAD technique. Cross- linked PDMS was deemed important because of its general use for biomedical implants and devices as well as in other fields. Furthermore, PDMS deposition using PLAD had not been previously studied and any information gained on its ablation characteristics could be important scientifically and technologically. The studies reported here showed that the deposited silicone film properties had a dependence on the laser energy density incident on the target. Smooth, hydrophobic, silicone-like films were deposited at low energy densities (100-150 mJ/cm2). At high energy densities (>200 mJ/cm2), the films had an higher oxygen content than PDMS, were hydrophilic and tended to show a more particulate morphology. It was also determined that (1)the deposited films were stable and extremely adherent to the substrate, (2)silicone deposition exhibited an `incubation effect' which led to the film properties changing with laser pulse number and (3)films deposited under high vacuum were similar to films deposited at low vacuum levels. The mechanical properties of the PLAD films were determined by nanomechanical measurements which are based on the Atomic Force Microscope (AFM). From these measurements, it was possible to determine the modulus of the films and also study their scratch resistance. Such measurement techniques represent a significant advance over current state-of-the-art thin film characterization methods. An empirical model for

  4. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  5. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  6. Deposition of silicon oxynitride at room temperature by Inductively Coupled Plasma-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Zambom, Luis da Silva [MPCE-Faculdade de Tecnologia de Sao Paulo - CEETEPS, Pca Coronel Fernando Prestes, 30, Sao Paulo - CEP 01124-060 (Brazil)]. E-mail: zambom@lsi.usp.br; Verdonck, Patrick [PSI-LSI-Escola Politecnica da Universidade de Sao Paulo (Brazil)]. E-mail: patrick@lsi.usp.br

    2006-10-25

    Oxynitride thin films are used in important optical applications and as gate dielectric for MOS devices. Their traditional deposition processes have the drawbacks that high temperatures are needed, high mechanical stresses are induced and the deposition rate is low. Plasma assisted processes may alleviate these problems. In this study, oxynitride films were deposited at room temperature through the chemical reaction of silane, nitrogen and nitrous oxide (N{sub 2}O), in a conventional LPCVD furnace, which was modified into a high density Inductively Coupled Plasma (ICP) reactor. Deposition rates increased with applied coil power and were never lower than 10 nm/min, quite high for room temperature depositions. The films' refractive indexes and FTIR spectra indicate that for processes with low N{sub 2}O gas concentrations, when mixed together with N{sub 2} and SiH{sub 4}, nitrogen was incorporated in the film. This incorporation increased the resistivity, which was up to 70 G{omega} cm, increased the refractive index, from approximately 1.47 to approximately 1.50, and decreased the dielectric constant of these films, which varied in the 4-14 range. These characteristics are adequate for electric applications e.g. for TFT fabrication on glass or polymers which can not stand high temperature steps.

  7. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  8. Simulation study of depositing the carbon film on nanoparticles in the magnetized methane plasma

    Science.gov (United States)

    Mohammadzadeh, Hosein; Pourali, Nima; Ebadi, Zahra

    2018-03-01

    Plasma coating of nanoparticles in low-temperature magnetized methane plasma is studied by a simulation approach. To this end, by using the global model, the electron temperature and concentration of different species considered in this plasma are determined in the center of a capacitively coupled discharge. Then, the plasma-wall transition region in the presence of an oblique magnetic field is simulated by the multi-component fluid description. Nanoparticles with different radii are injected into the transition region and surface deposition and heating models, as well as dynamics and charging models, are employed to examine the coating process. The results of the simulation show that the non-spherical growth of nanoparticles is affected by the presence of the magnetic field, as with passing time, an oscillating increase is seen in the thickness of the film deposited on nanoparticles. Also, it is shown that the uniformity of the deposited film is dependent on the rotation velocity of nanoparticles. Generally, the obtained results imply that the sphericity of nanoparticles and uniformity of the film coated on them are controllable by the magnitude and orientation of the magnetic field.

  9. Metastable phases in yttrium oxide plasma spray deposits and their effect on coating properties

    International Nuclear Information System (INIS)

    Gourlaouen, V.; Schnedecker, G.; Boncoeur, M.; Lejus, A.M.; Collongues, R.

    1993-01-01

    Yttrium oxide coatings were obtained by plasma spray. Structural investigations on these deposits show that, due to the drastic conditions of this technique, a minor monoclinic B phase is formed in the neighborhood of the major cubic C form. The authors discuss here the influence of different plasma spray parameters on the amount of the B phase formed. They describe also the main properties of Y 2 O 3 B and C phases in these deposits such as structural characteristics, thermal stability and mechanical behavior

  10. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  11. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  12. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  13. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  14. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  15. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  16. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  17. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  18. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Wallenhorst, L.M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-01-01

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  19. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Wallenhorst, L.M., E-mail: lena.wallenhorst@hawk-hhg.de [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Loewenthal, L.; Avramidis, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Gerhard, C. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany); Militz, H. [Wood Biology and Wood Products, Burckhardt Institute, Georg-August-University Göttingen, Büsgenweg 4, 37077 Göttingen (Germany); Ohms, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Viöl, W. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany)

    2017-07-15

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  20. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  1. Optimizing the Use of Resources of Technogenic Deposits Taking into Account Uncertainties

    Directory of Open Access Journals (Sweden)

    Ivan Mikhaylovich Potravny

    2017-12-01

    Full Text Available The article discusses the problem of resource deterioration and the exhaustion of natural resources as well as the involvement in economic circulation of waste production, resources of technogenic deposits in order to maintain natural capital and support “green” economic growth. This necessitates the development of the mechanism for the environmental management optimization. This mechanism aims at using technogenic deposits in the economy to decrease of both the nature intensity of production and the cost of production. Furthermore, the environmental management optimization should reduce the negative impact of production on the environment. The authors propose to construct a model of economic relevance for the use of waste based on the theory of sustainable development and the theory of substitution of primary natural resources. Under substitutes, we consider useful products, resources from technogenic deposits, resulting from past economic activities. The article considers the problem of accumulation of municipal solid waste and industrial wastes in the regions of Russia in terms of forming and operating the ever-growing technogenic deposits. The authors propose a set of models for the optimum exploitation of technogenic deposits taking into account various factors of the external and internal environment as well as the time factor. The proposed models allow to substantiate and choose the best technologies for the processing of accumulated waste in terms of the reduction of pollution and “green” revenues from the exploitation of technogenic deposits. To account the probabilistic assessments of the geological structure of the technogenic deposits, we propose to use a combination of Monte-Carlo method and of developed optimization models. The authors describe the calculation results and the prospects for the development of a comprehensive model using regional technogenic deposits. The results of the research allow forming an optimal set

  2. Deposition and surface characterization of nanoparticles of zinc oxide using dense plasma focus device in nitrogen atmosphere

    International Nuclear Information System (INIS)

    Malhotra, Yashi; Srivastava, M P; Roy, Savita

    2010-01-01

    Nanoparticles of zinc oxide from zinc oxide pellets in the nitrogen plasma atmosphere are deposited on n and p type silicon substrates using Dense Plasma Focus device. The hot and dense nitrogen plasma formed during the focus phase ionizes the ZnO pellet, which then move upward in a fountain like shape and gets deposited on substrates which are placed above the top of the anode. Structural and surface properties of the deposited ZnO are investigated using X-ray diffraction and Atomic force microscope (AFM). X-ray spectra shows the diffraction plane (002) of ZnO nanoparticles deposited on Si with few shots in nitrogen atmosphere. AFM investigations revealed that there are nanoparticles of size between 15-80 nm on n-Si and p-Si substrates. The deposition on n-type Si is better than the p-type Si can be seen from AFM images, this may be due to different orientation of silicon.

  3. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  4. Plasma immersion ion implantation and deposition of DLC coating for modification of orthodontic magnets

    International Nuclear Information System (INIS)

    Wongsarat, W.; Sarapirom, S.; Aukkaravittayapun, S.; Jotikasthira, D.; Boonyawan, D.; Yu, L.D.

    2012-01-01

    This study was aimed to use the plasma immersion ion implantation and deposition (PIII-D) technique to form diamond-like carbon (DLC) thin films on orthodontic magnets to solve the corrosion problem. To search for the optimal material modification effect, PIII-D conditions including gases, processing time, and pulsing mode were varied. The formation of DLC films was confirmed and characterized with Raman spectra. The intensity of the remnant magnetic field of the magnets and the hardness, adhesion and thickness of the thin films were then measured. A corrosion test was carried out using clinic dental fluid. Improved benefits including a satisfying hardness, adhesion, remnant magnetic strength and corrosion resistance of the DLC coating could be achieved by using a higher interrupting time ratio and shorter processing time.

  5. Plasma immersion ion implantation and deposition of DLC coating for modification of orthodontic magnets

    Energy Technology Data Exchange (ETDEWEB)

    Wongsarat, W. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); National Metal and Materials Technology Center, 114 Thailand Science Park, Paholyothin Road, Klong 1, Klong Luang, Pathumthani, Bangkok 12120 (Thailand); Aukkaravittayapun, S. [National Metal and Materials Technology Center, 114 Thailand Science Park, Paholyothin Road, Klong 1, Klong Luang, Pathumthani, Bangkok 12120 (Thailand); Jotikasthira, D. [Department of Odontology-Oral Pathology, Faculty of Dentistry, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@fnrf.science.cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2012-02-01

    This study was aimed to use the plasma immersion ion implantation and deposition (PIII-D) technique to form diamond-like carbon (DLC) thin films on orthodontic magnets to solve the corrosion problem. To search for the optimal material modification effect, PIII-D conditions including gases, processing time, and pulsing mode were varied. The formation of DLC films was confirmed and characterized with Raman spectra. The intensity of the remnant magnetic field of the magnets and the hardness, adhesion and thickness of the thin films were then measured. A corrosion test was carried out using clinic dental fluid. Improved benefits including a satisfying hardness, adhesion, remnant magnetic strength and corrosion resistance of the DLC coating could be achieved by using a higher interrupting time ratio and shorter processing time.

  6. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  7. Ultrasonic and immersion cleaning: a comparison using aqueous and fluorocarbon solvents

    International Nuclear Information System (INIS)

    Bond, R.D.; Kearsey, A.

    1984-11-01

    Decontamination is a necessary process in reducing radiation levels in the working environment in the nuclear industry. Components from active areas which require decontamination for re-use or maintenance operations. In this report, a typical chemical cleaning process using liquid pumping, airagitation and physical movement for agitation is compared with ultrasonic cleaning, now an established cleaning process in many industries. The chosen traditional method is immersion in an agitated solution of warm SDG.3 solution; an established decontaminating reagent. The decontamination effect of this process is compared with the effect of cleaning in an ultrasonic bath containing the same reagent at the same concentration and temperature. Fluorocarbon reagents are of particular interest to the nuclear industry for they offer the ability to clean electrical components without damage, and can clean product contaminated material without the risk of criticality. Such reagents are based on 1,1,2-trichloro, 1,2,2-trifluoroethane and azeotropic mixtures. This reagent and one mixture with 6% methanol were tested under agitation and ultrasonic immersion at the same temperature. Parallel control experiments were conducted using demineralised water as the cleaning media in an agitated bath. SGG3 is a good reagent for general purpose cleaning (it can remove 99% of particulate contamination) using scrubbing, immersion or spraying techniques. There is little evidence to show that ultrasonic cleaning increases its effectiveness. For special purpose fluorocarbon solvents will give satisfactory results when used in an ultrasonic system. (author)

  8. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  9. Effect of additional sample bias in Meshed Plasma Immersion Ion Deposition (MPIID) on microstructural, surface and mechanical properties of Si-DLC films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Mingzhong [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Tian, Xiubo, E-mail: xiubotian@163.com [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Li, Muqin [School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Gong, Chunzhi [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Wei, Ronghua [Southwest Research Institute, San Antonio, TX 78238 (United States)

    2016-07-15

    Highlights: • A novel Meshed Plasma Immersion Ion Deposition is proposed. • The deposited Si-DLC films possess denser structures and high deposition rate. • It is attributed to ion bombardment of the deposited films. • The ion energy can be independently controlled by an additional bias (novel set up). - Abstract: Meshed Plasma Immersion Ion Deposition (MPIID) using cage-like hollow cathode discharge is a modified process of conventional PIID, but it allows the deposition of thick diamond-like carbon (DLC) films (up to 50 μm) at a high deposition rate (up to 6.5 μm/h). To further improve the DLC film properties, a new approach to the MPIID process is proposed, in which the energy of ions incident to the sample surface can be independently controlled by an additional voltage applied between the samples and the metal meshed cage. In this study, the meshed cage was biased with a pulsed DC power supply at −1350 V peak voltage for the plasma generation, while the samples inside the cage were biased with a DC voltage from 0 V to −500 V with respect to the cage to study its effect. Si-DLC films were synthesized with a mixture of Ar, C{sub 2}H{sub 2} and tetramethylsilane (TMS). After the depositions, scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectrons spectroscopy (XPS), Raman spectroscopy and nanoindentation were used to study the morphology, surface roughness, chemical bonding and structure, and the surface hardness as well as the modulus of elasticity of the Si-DLC films. It was observed that the intense ion bombardment significantly densified the films, reduced the surface roughness, reduced the H and Si contents, and increased the nanohardness (H) and modulus of elasticity (E), whereas the deposition rate decreased slightly. Using the H and E data, high values of H{sup 3}/E{sup 2} and H/E were obtained on the biased films, indicating the potential excellent mechanical and tribological properties of the films. In this

  10. Ultraviolet photoelectron spectroscopy investigation of interface formation in an indium-tin oxide/fluorocarbon/organic semiconductor contact

    International Nuclear Information System (INIS)

    Tong, S.W.; Lau, K.M.; Sun, H.Y.; Fung, M.K.; Lee, C.S.; Lifshitz, Y.; Lee, S.T.

    2006-01-01

    It has been demonstrated that hole-injection in organic light-emitting devices (OLEDs) can be enhanced by inserting a UV-illuminated fluorocarbon (CF x ) layer between indium-tin oxide (ITO) and organic hole-transporting layer (HTL). In this work, the process of interface formation and electronic properties of the ITO/CF x /HTL interface were investigated with ultraviolet photoelectron spectroscopy. It was found that UV-illuminated fluorocarbon layer decreases the hole-injection barrier from ITO to α-napthylphenylbiphenyl diamine (NPB). Energy level diagrams deduced from the ultraviolet photoelectron spectroscopy (UPS) spectra show that the hole-injection barrier in ITO/UV-treated CF x /NPB is the smallest (0.46 eV), compared to that in the ITO/untreated CF x /NPB (0.60 eV) and the standard ITO/NPB interface (0.68 eV). The improved current density-voltage (I-V) characteristics in the UV-treated CF x -coated ITO contact are consistent with its smallest barrier height

  11. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    Science.gov (United States)

    Huang, Yanwei; Zhang, Qun; Xi, Junhua; Ji, Zhenguo

    2012-07-01

    Transparent p-type Li0.25Ni0.75O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li0.25Ni0.75O/n-SnO2:W was fabricated by depositing n-SnO2:W on top of the p-Li0.25Ni0.75O, which exhibits typical rectifying current-voltage characteristics.

  12. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    International Nuclear Information System (INIS)

    Huang Yanwei; Zhang Qun; Xi Junhua; Ji Zhenguo

    2012-01-01

    Transparent p-type Li 0.25 Ni 0.75 O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li 0.25 Ni 0.75 O/n-SnO 2 :W was fabricated by depositing n-SnO 2 :W on top of the p-Li 0.25 Ni 0.75 O, which exhibits typical rectifying current-voltage characteristics.

  13. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  14. Solution precursor plasma deposition of nanostructured ZnO coatings

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2011-01-01

    Highlights: → The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. → It is highly capable of developing tailorable nanostructures. → This technique can be employed to spray the coatings on any kind of substrates including polymers. → The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance (∼65-80%) and reflectivity (∼65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 mΩ cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  15. Solution precursor plasma deposition of nanostructured ZnO coatings

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States)

    2011-08-15

    Highlights: {yields} The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. {yields} It is highly capable of developing tailorable nanostructures. {yields} This technique can be employed to spray the coatings on any kind of substrates including polymers. {yields} The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance ({approx}65-80%) and reflectivity ({approx}65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 m{Omega} cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  16. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  17. Kinetic and Diagnostic Studies of Molecular Plasmas Using Laser Absorption Techniques

    International Nuclear Information System (INIS)

    Welzel, S; Rousseau, A; Davies, P B; Roepcke, J

    2007-01-01

    Within the last decade mid infrared absorption spectroscopy between 3 and 20 μm, known as Infrared Laser Absorption Spectroscopy (IRLAS) and based on tuneable semiconductor lasers, namely lead salt diode lasers, often called tuneable diode lasers (TDL), and quantum cascade lasers (QCL) has progressed considerably as a powerful diagnostic technique for in situ studies of the fundamental physics and chemistry of molecular plasmas. The increasing interest in processing plasmas containing hydrocarbons, fluorocarbons, organo-silicon and boron compounds has lead to further applications of IRLAS because most of these compounds and their decomposition products are infrared active. IRLAS provides a means of determining the absolute concentrations of the ground states of stable and transient molecular species, which is of particular importance for the investigation of reaction kinetics. Information about gas temperature and population densities can also be derived from IRLAS measurements. A variety of free radicals and molecular ions have been detected, especially using TDLs. Since plasmas with molecular feed gases are used in many applications such as thin film deposition, semiconductor processing, surface activation and cleaning, and materials and waste treatment, this has stimulated the adaptation of infrared spectroscopic techniques to industrial requirements. The recent development of QCLs offers an attractive new option for the monitoring and control of industrial plasma processes as well as for highly time-resolved studies on the kinetics of plasma processes. The aim of the present article is threefold: (i) to review recent achievements in our understanding of molecular phenomena in plasmas (ii) to report on selected studies of the spectroscopic properties and kinetic behaviour of radicals, and (iii) to describe the current status of advanced instrumentation for TDLAS in the mid infrared

  18. Development of solid oxide fuel cells by applying DC and RF plasma deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Schiller, G.; Henne, R.; Lang, M.; Mueller, M. [Deutsches Zentrum fuer Luft- und Raumfahrt (DLR), Institut fuer Technische Thermodynamik, Postfach 800370, 70503 Stuttgart (Germany)

    2004-04-01

    Based on advanced plasma deposition technology with both DC and RF plasmas DLR Stuttgart has developed a concept of a planar SOFC with consecutive deposition of all layers of a thin-film cell onto a porous metallic substrate support. This concept is an alternative approach to conventionally used sintering techniques for SOFC fabrication without needing any sintering steps or other thermal post-treatment. Furthermore, is has the potential to be developed into an automated continous production process. For both stationary and mobile applications, adequate stack designs and stack technologies have been developed. Future development work will focus on light-weight stacks to be applied as an Auxillary Power Unit (APU) for on-board electricity supply in passenger cars and airplanes. This paper describes the plasma deposition technologies used for cell fabrication and the DLR spray concept including the resulting stack designs. The current status of development and recent progress with respect to materials development and electrochemical characterization of single cells and short-stacks is presented. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  19. Bulk plasma fragmentation in a C4F8 inductively coupled plasma: A hybrid modeling study

    International Nuclear Information System (INIS)

    Zhao, Shu-Xia; Zhang, Yu-Ru; Gao, Fei; Wang, You-Nian; Bogaerts, Annemie

    2015-01-01

    A hybrid model is used to investigate the fragmentation of C 4 F 8 inductive discharges. Indeed, the resulting reactive species are crucial for the optimization of the Si-based etching process, since they determine the mechanisms of fluorination, polymerization, and sputtering. In this paper, we present the dissociation degree, the density ratio of F vs. C x F y (i.e., fluorocarbon (fc) neutrals), the neutral vs. positive ion density ratio, details on the neutral and ion components, and fractions of various fc neutrals (or ions) in the total fc neutral (or ion) density in a C 4 F 8 inductively coupled plasma source, as well as the effect of pressure and power on these results. To analyze the fragmentation behavior, the electron density and temperature and electron energy probability function (EEPF) are investigated. Moreover, the main electron-impact generation sources for all considered neutrals and ions are determined from the complicated C 4 F 8 reaction set used in the model. The C 4 F 8 plasma fragmentation is explained, taking into account many factors, such as the EEPF characteristics, the dominance of primary and secondary processes, and the thresholds of dissociation and ionization. The simulation results are compared with experiments from literature, and reasonable agreement is obtained. Some discrepancies are observed, which can probably be attributed to the simplified polymer surface kinetics assumed in the model

  20. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  1. Effect of deposition strategy on the microstructure and mechanical properties of Inconel 625 superalloy fabricated by pulsed plasma arc deposition

    International Nuclear Information System (INIS)

    Xu, F.J.; Lv, Y.H.; Xu, B.S.; Liu, Y.X.; Shu, F.Y.; He, P.

    2013-01-01

    Highlights: ► PPAD Inconel 625 sample deposited with ICS strategy exhibits improved surface quality. ► ICS sample exhibits finer microstructure and improved mechanical properties. ► Higher level γ′ and γ″ phases are precipitated in the ICS sample. ► STA heat treatment reduced the concentration of Nb element. ► STA heat treatment improved the mechanical properties of PPAD Inconel 625. -- Abstract: Pulsed plasma arc deposition (PPAD), which combines pulsed plasma cladding with rapid prototyping, is a promising technology for manufacturing near net shape components due to its superiority in cost and convenience of processing. The aim of this study was to investigate the influences of interpass cooling strategy (ICS) and continuous deposition strategy (CDS) on microstructure and mechanical properties of the PPAD Inconel 625 non-ferrous alloy. The as-deposited samples in the two conditions were subjected to the post heat treatment: 980 °C solution treatment + direct aging (STA). The microstructures and mechanical properties of the samples were characterized by means of scanning electron microscopy (SEM) equipped with energy dispersive spectrometer (EDS), transmission electron microscopy (TEM), micro-hardness and tensile testers. It was found that the as-deposited microstructure exhibited homogenous cellular dendrite structure, which grew epitaxially along the deposition direction. The as-deposited microstructure of ICS sample revealed smaller dendritic arm spacing, less niobium segregation and discontinuous finer Laves phase in the interdendritic regions compared to the case of continuous deposition strategy (CDS). The ICS sample exhibited better mechanical properties than CDS sample. After STA heat treatment, a large amount of Laves particles in the interdendritic regions were dissolved, resulting in the reduction of Nb segregation and the precipitation of needle-like δ (Ni 3 Nb). The tensile and yield strength of the as-deposited samples were

  2. Modeling the liquid-liquid equilibria of water plus fluorocarbons with the cubic-plus-association equation of state

    DEFF Research Database (Denmark)

    Oliveira, Mariana B.; Freire, Mara G.; Marrucho, Isabel M.

    2007-01-01

    Fluorocarbons (FCs) are a family of chemicals that are composed primarily of carbon and fluorine. They present weak intermolecular and strong intramolecular interactions, which confers them unusual thermophysical properties. They can also solubilize large amounts of gases such as oxygen and carbon...

  3. EPDM and fluorocarbon seal materials: a comparison of performance for nuclear fuel transport flasks

    International Nuclear Information System (INIS)

    Chivers, T.C.; George, A.F.

    2004-01-01

    The lid seals on the flasks used to transport spent fuel from U.K. AGR and Magnox Power Stations are fluorocarbon elastomer 'O' rings. Currently, only this material is qualified for the purpose and it was decided to investigate the possibility of qualifying other materials. One material that is already in use in similar applications is an Ethylene Propylene Diene Monomer (EPDM). The work presented in this paper compares the performance of the existing material with three candidate types of EPDM. The areas considered were: Extrusion and blow-out resistance when subjected to various steam pressures and temperatures at a range of flange separations, Permeability to water, caesium salt solution and hydrogen (as a typical 'benchmark' gas) Radiation resistance in warm (60 C) aqueous conditions It is concluded that the performance of the EPDM materials is good in respect of mechanical properties, radiation and water resistance. However, while permeation rates for gas and water can be higher than for fluorocarbon, this might be mitigated by assessing the actual radioactive burden in the permeate. In the case of dissolved salts, the test results indicate that this will be very low

  4. From plasma immersion ion implantation to deposition: A historical perspective on principles and trends

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2001-06-14

    Plasma immersion techniques of surface modification are known under a myriad of names. The family of techniques reaches from pure plasma ion implantation, to ion implantation and deposition hybrid modes, to modes that are essentially plasma film deposition with substrate bias. In the most general sense, all plasma immersion techniques have in common that the surface of a substrate (target) is exposed to plasma and that relatively high substrate bias is applied. The bias is usually pulsed. In this review, the roots of immersion techniques are explored, some going back to the 1800s, followed by a discussion of the groundbreaking works of Adler and Conrad in the 1980s. In the 1990s, plasma immersion techniques matured in theoretical understanding, scaling, and the range of applications. First commercial facilities are now operational. Various immersion concepts are compiled and explained in this review. While gas (often nitrogen) ion implantation dominated the early years, film-forming immersion techniques and semiconductor processing gained importance. In the 1980s and 1990s we have seen exponential growth of the field but signs of slowdown are clear since 1998. Nevertheless, plasma immersion techniques have found, and will continue to have, an important place among surface modification techniques.

  5. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  6. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Science.gov (United States)

    Wallenhorst, L. M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-07-01

    In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  7. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  8. Optimal Design of Magnetic ComponentsinPlasma Cutting Power Supply

    Science.gov (United States)

    Jiang, J. F.; Zhu, B. R.; Zhao, W. N.; Yang, X. J.; Tang, H. J.

    2017-10-01

    Phase-shifted transformer and DC reactor are usually needed in chopper plasma cutting power supply. Because of high power rate, the loss of magnetic components may reach to several kilowatts, which seriously affects the conversion efficiency. Therefore, it is necessary to research and design low loss magnetic components by means of efficient magnetic materials and optimal design methods. The main task in this paper is to compare the core loss of different magnetic material, to analyze the influence of transformer structure, winding arrangement and wire structure on the characteristics of magnetic component. Then another task is to select suitable magnetic material, structure and wire in order to reduce the loss and volume of magnetic components. Based on the above outcome, the optimization design process of transformer and dc reactor are proposed in chopper plasma cutting power supply with a lot of solutions. These solutions are analyzed and compared before the determination of the optimal solution in order to reduce the volume and power loss of the two magnetic components and improve the conversion efficiency of plasma cutting power supply.

  9. Improvement of ZnO TCO film growth for photovoltaic devices by reactive plasma deposition (RPD)

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Shirakata, S.; Matsubara, K.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2005-01-01

    Reactive plasma deposition (RPD) is a technique for depositing a thin film on a substrate using a pressure-slope type plasma ion gun. This method offers the advantage of low-ion damage, low deposition temperature, large area deposition and high growth rates. Ga-doped zinc oxide (ZnO) thin film was grown on a moving glass substrate by RPD. Evaporation of very small quantity of tungsten from anode electrode by plasma collision lets the resistivity of grown ZnO transparent conductive oxide (TCO) film to increase. However, no reduction of carrier concentration was observed but only reduction of carrier mobility. It indicates that reduction of evaporation of tungsten from anode electrode induces increase of carrier mobility without any increase of carrier concentration. After installation of an anode cooling system in order to avoid the tungsten evaporation, increase of the mobility (37 cm 2 /Vsec) was observed and the lowest resistivity (2.0x10 -4 no. OMEGAno. cm) film was obtained from large size grown ZnO TCO of 200x200 mm at low growth temperature of 200 deg. C with high growth rate of 24 no. muno. m/h

  10. Deposition of Composite LSCF-SDC and SSC-SDC Cathodes by Axial-Injection Plasma Spraying

    Science.gov (United States)

    Harris, Jeffrey; Qureshi, Musab; Kesler, Olivera

    2012-06-01

    The performance of solid oxide fuel cell cathodes can be improved by increasing the number of electrochemical reaction sites, by controlling microstructures, or by using composite materials that consist of an ionic conductor and a mixed ionic and electronic conductor. LSCF (La0.6Sr0.4Co0.2Fe0.8O3-δ) and SSC (Sm0.5Sr0.5CoO3) cathodes were manufactured by axial-injection atmospheric plasma spraying, and composite cathodes were fabricated by mixing SDC (Ce0.8Sm0.2O1.9) into the feedstock powders. The plasma power was varied by changing the proportion of nitrogen in the plasma gas. The microstructures of cathodes produced with different plasma powers were characterized by scanning electron microscopy and gas permeation measurements. The deposition efficiencies of these cathodes were calculated based on the mass of the sprayed cathode. Particle surface temperatures were measured in-flight to enhance understanding of the relationship between spray parameters, microstructure, and deposition efficiency.

  11. Comparison of cryogenic (hydrogen) and TESPEL (polystyrene) pellet particle deposition in a magnetically confined plasma

    Science.gov (United States)

    McCarthy, K. J.; Tamura, N.; Combs, S. K.; Panadero, N.; Ascabíbar, E.; Estrada, T.; García, R.; Hernández Sánchez, J.; López Fraguas, A.; Navarro, M.; Pastor, I.; Soleto, A.; TJ-II Team

    2017-10-01

    A cryogenic pellet injector (PI) and tracer encapsulated solid pellet (TESPEL) injector system has been operated in combination on the stellarator TJ-II. This unique arrangement has been created by piggy-backing a TESPEL injector onto the backend of a pipe-gun-type PI. The combined injector provides a powerful new tool for comparing ablation and penetration of polystyrene TESPEL pellets and solid hydrogen pellets, as well as for contrasting subsequent pellet particle deposition and plasma perturbation under analogous plasma conditions. For instance, a significantly larger increase in plasma line-averaged electron density, and electron content, is observed after a TESPEL pellet injection compared with an equivalent cryogenic pellet injection. Moreover, for these injections from the low-magnetic-field side of the plasma cross-section, TESPEL pellets deposit electrons deeper into the plasma core than cryogenic pellets. Finally, the physics behind these observations and possible implications for pellet injection studies are discussed.

  12. Applications of quantum cascade lasers in plasma diagnostics: a review

    International Nuclear Information System (INIS)

    Röpcke, J; Lang, N; Davies, P B; Rousseau, A; Welzel, S

    2012-01-01

    Over the past few years mid-infrared absorption spectroscopy based on quantum cascade lasers operating over the region from 3 to 12 µm and called quantum cascade laser absorption spectroscopy or QCLAS has progressed considerably as a powerful diagnostic technique for in situ studies of the fundamental physics and chemistry of molecular plasmas. The increasing interest in processing plasmas containing hydrocarbons, fluorocarbons, nitrogen oxides and organo-silicon compounds has led to further applications of QCLAS because most of these compounds and their decomposition products are infrared active. QCLAS provides a means of determining the absolute concentrations of the ground states of stable and transient molecular species at time resolutions below a microsecond, which is of particular importance for the investigation of reaction kinetics and dynamics. Information about gas temperature and population densities can also be derived from QCLAS measurements. Since plasmas with molecular feed gases are used in many applications such as thin film deposition, semiconductor processing, surface activation and cleaning, and materials and waste treatment, this has stimulated the adaptation of QCLAS techniques to industrial requirements including the development of new diagnostic equipment. The recent availability of external cavity (EC) QCLs offers a further new option for multi-component detection. The aim of this paper is fourfold: (i) to briefly review spectroscopic issues arising from applying pulsed QCLs, (ii) to report on recent achievements in our understanding of molecular phenomena in plasmas and at surfaces, (iii) to describe the current status of industrial process monitoring in the mid-infrared and (iv) to discuss the potential of advanced instrumentation based on EC-QCLs for plasma diagnostics. (topical review)

  13. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  14. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2 (χo 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2 (χo 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2 (χo 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  15. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  16. Boron erosion and carbon deposition due to simultaneous bombardment with deuterium and carbon ions in plasmas

    International Nuclear Information System (INIS)

    Ohya, K.; Kawata, J.; Wienhold, P.; Karduck, P.; Rubel, M.; Seggern, J. von

    1999-01-01

    Erosion of boron out of a thin film exposed to deuterium edge plasmas and the simultaneous carbon deposition have been investigated in the tokamak TEXTOR-94 and simulated by means of a dynamic Monte Carlo code. The calculated results are compared with some observations (colorimetry, spectroscopy and AES) during and after the exposures. The implantation of carbon impurities strongly changes the effective boron sputtering yield of the film, which results into a lowering of the film erosion and a formation of thick carbon deposits. A strong decrease in the observed BII line emission around a surface location far from the plasma edge can be explained by a carbon deposition on the film. The calculated carbon depth profiles in the film, depending on the distance of the exposed surface from the plasma edge, are in reasonable agreement with measurements by AES after the exposures. Although simultaneous surface erosion and carbon deposition can be simulated, the calculated erosion rate is larger, by a factor of 2, than the observations by colorimetry at the early stage of the exposure

  17. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma.

    Science.gov (United States)

    Knoops, Harm C M; Braeken, Eline M J; de Peuter, Koen; Potts, Stephen E; Haukka, Suvi; Pore, Viljami; Kessels, Wilhelmus M M

    2015-09-09

    Atomic layer deposition (ALD) of silicon nitride (SiNx) is deemed essential for a variety of applications in nanoelectronics, such as gate spacer layers in transistors. In this work an ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied. The process exhibited a wide temperature window starting from room temperature up to 500 °C. The material properties and wet-etch rates were investigated as a function of plasma exposure time, plasma pressure, and substrate table temperature. Table temperatures of 300-500 °C yielded a high material quality and a composition close to Si3N4 was obtained at 500 °C (N/Si=1.4±0.1, mass density=2.9±0.1 g/cm3, refractive index=1.96±0.03). Low wet-etch rates of ∼1 nm/min were obtained for films deposited at table temperatures of 400 °C and higher, similar to that achieved in the literature using low-pressure chemical vapor deposition of SiNx at >700 °C. For novel applications requiring significantly lower temperatures, the temperature window from room temperature to 200 °C can be a solution, where relatively high material quality was obtained when operating at low plasma pressures or long plasma exposure times.

  18. On the energy deposition into the plasma for an inverted fireball geometry

    Science.gov (United States)

    Levko, Dmitry; Gruenwald, Johannes

    2017-10-01

    Energy deposition into a plasma for an inverted fireball geometry is studied using a self-consistent two-dimensional Particle-in-Cell Monte Carlo collision model. In this model, the cathode is a pin which injects the fixed electron current and the anode is a hollow metal tube covered with the metal grid. We obtain an almost constant ratio between the densities of plasmas generated in the cathode-grid gap and inside the hollow anode. The results of the simulations show that there is no energy exchange between the beam and plasma electrons at low emission currents. For increasing current, however, we observe the increasing coupling between the electron beam and the thermal plasma electrons. This leads to the heating of plasma electrons and the generation of the so-called supra-thermal electrons.

  19. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  20. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  1. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  2. Synthesis of multicomponent metallic layers during impulse plasma deposition

    Directory of Open Access Journals (Sweden)

    Nowakowska-Langier Katarzyna

    2015-12-01

    Full Text Available Pulsed plasma in the impulse plasma deposition (IPD synthesis is generated in a coaxial accelerator by strong periodic electrical pulses, and it is distributed in a form of energetic plasma packets. A nearly complete ionization of gas, in these conditions of plasma generation, favors the nucleation of new phase of ions and synthesis of metastable materials in a form of coatings which are characterized by amorphous and/or nanocrystalline structure. In this work, the Fe–Cu alloy, which is immiscible in the state of equilibrium, was selected as a model system to study the possibility of formation of a non-equilibrium phase during the IPD synthesis. Structural characterization of the layers was done by means of X-ray diffraction and conversion-electron Mössbauer spectroscopy. It was found that supersaturated solid solutions were created as a result of mixing and/or alloying effects between the layer components delivered to the substrate independently and separately in time. Therefore, the solubility in the Fe–Cu system was largely extended in relation to the equilibrium conditions, as described by the equilibrium phase diagram in the solid state.

  3. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  4. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  5. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  6. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  7. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  8. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  9. Investigations on the pyrolysis of hydrocarbons in the inductive coupled RF-plasma and the deposited pyrocarbon

    International Nuclear Information System (INIS)

    Eisgruber, H.; Mazurkiewicz, M.; Nickel, H.

    1979-08-01

    The pyrocarbon coatings of the nuclear fuel particles for the High-Temperature Reactor (HTR) are produced by pyrolysis of hydrocarbons under high temperatures. The investigations of the inductive coupled argon or argon/hydrocarbon-plasma performed in the frame of this work deliver a contribution for the clarification of pyrolysis processes and the production of pyrolytic carbons in the plasma of an electric discharge. The argon-plasma, as high-temperature source, is diagnosed theoretically and emission-spectroscopically. To the pure argon-plasma the various hydrocarbons are added. Due to the thermal decomposition the carbon is separated in solid form. The structure of the deposited pyrocarbon is composed of different components. The depositions are characterised with the principles in use at the IRW and are assigned to the fluidized bed pyrocarbons as fas as possible. (orig.) [de

  10. One-step synthesis, wettability and foaming properties of high-performance non-ionic hydro-fluorocarbon hybrid surfactants

    Science.gov (United States)

    Peng, Ying-ying; Lu, Feng; Tong, Qing-Xiao

    2018-03-01

    In this work, a series of non-ionic hydro-fluorocarbon hybrid surfactants (C9F19CONH(CH2)3N(CmH2m+1)2, abbreviated as C9F19AM (m = 1), C9F19AE (m = 2) and C9F19AB (m = 4) were easily synthesized by one-step reaction and characterized by 1HNMR, 19FNMR and MS spectroscopy. Unlike conventional non-ionic surfactants (most hydrophilic units consisted of hydroxy or ether groups), their hydrophilic groups were composed of amide group, an eco-friendly unit. The surface activity, wettability, thermal stability and foaming performance were investigated. The results showed that the C9F19AE (C9F19CONH(CH2)3N[CH2CH3]2) had superior surface and interface activities, which could reduce the surface tension of water down to 15.37 mN/m and the interfacial tension (cyclohexane/water/surfactants) to 5.8 mN/m with a low cmc (critical micelle concentration) of 0.12 mmol/L. Through the calculation of Amin (the minimum area occupied per-surfactant molecule), we speculated this higher surface activity was related to the compatibility between hydrocarbon and fluorocarbon chains. When used as wetting and foaming agents, the C9F19AE also outperformed great advantages over conventional non-ionic fluorocarbon and hydrocarbon surfactants, which could decrease the contact angle of water on PTFE plate from 107.7° to 3.6°, and increase the foam integrated value F to 536 500 ± 3066.5 mL s. Moreover, the decomposition temperature (Td) of C9F19AE could reach up to 173 °C. This work demonstrates a valuable strategy to develop a kind of high-efficiency foaming agent via facile synthesis.

  11. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  12. Optimizing growth conditions for electroless deposition of Au films ...

    Indian Academy of Sciences (India)

    Unknown

    Optimizing growth conditions for electroless deposition of Au films on. Si(111) substrates. BHUVANA and G U KULKARNI*. Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for. Advanced Scientific Research, Jakkur PO, Bangalore 560 064, India. MS received 24 March 2006.

  13. An Optimal Investment Strategy and Multiperiod Deposit Insurance Pricing Model for Commercial Banks

    Directory of Open Access Journals (Sweden)

    Grant E. Muller

    2018-01-01

    Full Text Available We employ the method of stochastic optimal control to derive the optimal investment strategy for maximizing an expected exponential utility of a commercial bank’s capital at some future date T>0. In addition, we derive a multiperiod deposit insurance (DI pricing model that incorporates the explicit solution of the optimal control problem and an asset value reset rule comparable to the typical practice of insolvency resolution by insuring agencies. By way of numerical simulations, we study the effects of changes in the DI coverage horizon, the risk associated with the asset portfolio of the bank, and the bank’s initial leverage level (deposit-to-asset ratio on the DI premium while the optimal investment strategy is followed.

  14. Optimization of steam-vortex plasma-torch start-up

    Science.gov (United States)

    Mikhailov, B. I.

    2011-12-01

    We propose a new optimal method of steam-vortex plasma-torches start-up; this method completely prevents the danger of water steam condensation in the arc chamber and all undesirable consequences of it.

  15. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  16. Temperature effect on hydrocarbon deposition on molybdenum mirrors under ITER-relevant long-term plasma operation

    NARCIS (Netherlands)

    Rapp, J.; van Rooij, G. J.; Litnovsky, A.; Marot, L.; De Temmerman, G.; Westerhout, J.; Zoethout, E.

    2009-01-01

    Optical diagnostics in ITER will rely on mirrors near the plasma and the deterioration of the reflectivity is a concern. The effect of temperature on the deposition efficiency of hydrocarbons under long-term operation conditions similar to ITER was investigated in the linear plasma generator

  17. Optical study of plasma sprayed hydroxyapatite coatings deposited at different spray distance

    Science.gov (United States)

    Belka, R.; Kowalski, S.; Żórawski, W.

    2017-08-01

    Series of hydroxyapatite (HA) coatings deposited on titanium substrate at different spray (plasma gun to workpiece) distance were investigated. The optical methods as dark field confocal microscopy, Raman/PL and UV-VIS spectroscopy were used for study the influence of deposition process on structural degradation of HA precursor. The hydroxyl group concentration was investigated by study the OH mode intensity in the Raman spectra. Optical absorption coefficients at near UV region were analyzed by Diffuse Reflectance Spectroscopy. PL intensity observed during Raman measurement was also considered as relation to defects concentration and degradation level. It was confirmed the different gunsubstrate distance has a great impact on structure of deposited HA ceramics.

  18. Plasma-Induced, Self-Masking, One-Step Approach to an Ultrabroadband Antireflective and Superhydrophilic Subwavelength Nanostructured Fused Silica Surface.

    Science.gov (United States)

    Ye, Xin; Shao, Ting; Sun, Laixi; Wu, Jingjun; Wang, Fengrui; He, Junhui; Jiang, Xiaodong; Wu, Wei-Dong; Zheng, Wanguo

    2018-04-25

    In this work, antireflective and superhydrophilic subwavelength nanostructured fused silica surfaces have been created by one-step, self-masking reactive ion etching (RIE). Bare fused silica substrates with no mask were placed in a RIE vacuum chamber, and then nanoscale fluorocarbon masks and subwavelength nanostructures (SWSs) automatically formed on these substrate after the appropriate RIE plasma process. The mechanism of plasma-induced self-masking SWS has been proposed in this paper. Plasma parameter effects on the morphology of SWS have been investigated to achieve perfect nanocone-like SWS for excellent antireflection, including process time, reactive gas, and pressure of the chamber. Optical properties, i.e., antireflection and optical scattering, were simulated by the finite difference time domain (FDTD) method. Calculated data agree well with the experiment results. The optimized SWS show ultrabroadband antireflective property (up to 99% from 500 to 1360 nm). An excellent improvement of transmission was achieved for the deep-ultraviolet (DUV) range. The proposed low-cost, highly efficient, and maskless method was applied to achieve ultrabroadband antireflective and superhydrophilic SWSs on a 100 mm optical window, which promises great potential for applications in the automotive industry, goggles, and optical devices.

  19. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  20. Application of mid-infrared tuneable diode laser absorption spectroscopy to plasma diagnostics: a review

    International Nuclear Information System (INIS)

    Roepcke, J; Lombardi, G; Rousseau, A; Davies, P B

    2006-01-01

    Within the last decade mid-infrared absorption spectroscopy over a region from 3 to 17μm and based on tuneable lead salt diode lasers, often called tuneable diode laser absorption spectroscopy or TDLAS, has progressed considerably as a powerful diagnostic technique for in situ studies of the fundamental physics and chemistry in molecular plasmas. The increasing interest in processing plasmas containing hydrocarbons, fluorocarbons, organo-silicon and boron compounds has led to further applications of TDLAS because most of these compounds and their decomposition products are infrared active. TDLAS provides a means of determining the absolute concentrations of the ground states of stable and transient molecular species, which is of particular importance for the investigation of reaction kinetic phenomena. Information about gas temperature and population densities can also be derived from TDLAS measurements. A variety of free radicals and molecular ions have been detected by TDLAS. Since plasmas with molecular feed gases are used in many applications such as thin film deposition, semiconductor processing, surface activation and cleaning, and materials and waste treatment, this has stimulated the adaptation of infrared spectroscopic techniques to industrial requirements. The recent development of quantum cascade lasers (QCLs) offers an attractive new option for the monitoring and control of industrial plasma processes. The aim of the present paper is threefold: (i) to review recent achievements in our understanding of molecular phenomena in plasmas (ii) to report on selected studies of the spectroscopic properties and kinetic behaviour of radicals and (iii) to describe the current status of advanced instrumentation for TDLAS in the mid-infrared

  1. Polymorphous silicon thin films produced in dusty plasmas: application to solar cells

    International Nuclear Information System (INIS)

    Roca i Cabarrocas, Pere; Chaabane, N; Kharchenko, A V; Tchakarov, S

    2004-01-01

    We summarize our current understanding of the optimization of PIN solar cells produced by plasma enhanced chemical vapour deposition from silane-hydrogen mixtures. To increase the deposition rate, the discharge is operated under plasma conditions close to powder formation, where silicon nanocrystals contribute to the deposition of so-called polymorphous silicon thin films. We show that the increase in deposition rate can be achieved via an accurate control of the plasma parameters. However, this also results in a highly defective interface in the solar cells due to the bombardment of the P-layer by positively charged nanocrystals during the deposition of the I-layer. We show that decreasing the ion energy by increasing the total pressure or by using silane-helium mixtures allows us to increase both the deposition rate and the solar cells efficiency, as required for cost effective thin film photovoltaics

  2. Amorphous carbon nitrogenated films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Durrant, Steven F.; Rangel, Rita C.C.; Kayama, Milton E.; Landers, Richard; Cruz, Nilson C. da

    2006-01-01

    In this work, an investigation was conducted on amorphous hydrogenated-nitrogenated carbon films prepared by plasma immersion ion implantation and deposition. Glow discharge was excited by radiofrequency power (13.56 MHz, 40 W) whereas the substrate-holder was biased with 25 kV negative pulses. The films were deposited from benzene, nitrogen and argon mixtures. The proportion of nitrogen in the chamber feed (R N ) was varied against that of argon, while keeping the total pressure constant (1.3 Pa). From infrared reflectance-absorbance spectroscopy it was observed that the molecular structure of the benzene is not preserved in the film. Nitrogen was incorporated from the plasma while oxygen arose as a contaminant. X-ray photoelectron spectroscopy revealed that N/C and O/C atomic ratios change slightly with R N . Water wettability decreased as the proportion of N in the gas phase increased while surface roughness underwent just small changes. Nanoindentation measurements showed that film deposition by means of ion bombardment was beneficial to the mechanical properties of the film-substrate interface. The intensity of the modifications correlates well with the degree of ion bombardment

  3. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  4. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  5. Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, H.; Detavenier, C.; Straten, O. van der; Rossnagel, S.M.; Kellock, A.J.; Park, D.-G.

    2005-01-01

    TaN x diffusion barriers with good barrier properties at subnanometer thickness were deposited by plasma-enhanced atomic layer deposition (PE-ALD) from pentakis(dimethylamino)Ta. Hydrogen and/or nitrogen plasma was used as reactants to produce TaN x thin films with a different nitrogen content. The film properties including the carbon and oxygen impurity content were affected by the nitrogen flow during the process. The deposited film has nanocrystalline grains with hydrogen-only plasma, while the amorphous structure was obtained for nitrogen plasma. The diffusion barrier properties of deposited TaN films for Cu interconnects have been studied by thermal stress test based on synchrotron x-ray diffraction. The results indicate that the PE-ALD TaN films are good diffusion barriers even at a small thickness as 0.6 nm. Better diffusion barrier properties were obtained for higher nitrogen content. Based on a diffusion kinetics analysis, the nanocrystalline microstructure of the films was responsible for the better diffusion barrier properties compared to polycrystalline PE-ALD TaN films deposited from TaCl 5

  6. Simultaneous Power Deposition Detection of Two EC Beams with the BIS Analysis in Moving TCV Plasmas

    Science.gov (United States)

    Curchod, L.; Pochelon, A.; Decker, J.; Felici, F.; Goodman, T. P.; Moret, J.-M.; Paley, J. I.

    2009-11-01

    Modulation of power amplitude is a widespread to determine the radial absorption profile of externally launched power in fusion plasmas. There are many techniques to analyze the plasma response to such a modulation. The break-in-slope (BIS) analysis can draw an estimated power deposition profile for each power step up. In this paper, the BIS analysis is used to monitor the power deposition location of one or two EC power beams simultaneously in a non-stationary plasma being displaced vertically in the TCV tokamak vessel. Except from radial discrepancies, the results have high time resolution and compare well with simulations from the R2D2-C3PO-LUKE ray-tracing and Fokker-Planck code suite.

  7. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  8. Methods of optimization of reactive sputtering conditions of Al target during AlN films deposition

    Directory of Open Access Journals (Sweden)

    Chodun Rafal

    2015-12-01

    Full Text Available Encouraged by recent studies and considering the well-documented problems occurring during AlN synthesis, we have chosen two diagnostic methods which would enable us to fully control the process of synthesis and characterize the synthesized aluminum nitride films. In our experiment we have compared the results coming from OES measurements of plasma and circulating power characteristics of the power supply with basic features of the deposited layers. The dual magnetron system operating in AC mode was used in our studies. Processes of aluminum target sputtering were carried out in an atmosphere of a mixture of argon and nitrogen. The plasma emission spectra were measured with the use of a monochromator device. Analyses were made by comparing the positions and intensities of spectral lines of the plasma components. The results obtained allowed us to characterize the sputtering process under various conditions of gas mixture compositions as well as power distribution more precisely, which is reported in this work. The measured spectra were related to the deposition rate, the structure morphology of the films and chemical composition. Our work proved that the use of plasma OES and circulating power measurements make possible to control the process of sputtering and synthesis of deposited films in situ.

  9. Model of enhanced energy deposition in a Z-pinch plasma

    International Nuclear Information System (INIS)

    Velikovich, A. L.; Davis, J.; Thornhill, J. W.; Giuliani, J. L. Jr.; Rudakov, L. I.; Deeney, C.

    2000-01-01

    In numerous experiments, magnetic energy coupled to strongly radiating Z-pinch plasmas exceeds the thermalized kinetic energy, sometimes by a factor of 2-3. An analytical model describing this additional energy deposition based on the concept of macroscopic magnetohydrodynamic (MHD) turbulent pinch heating proposed by Rudakov and Sudan [Phys. Reports 283, 253 (1997)] is presented. The pinch plasma is modeled as a foam-like medium saturated with toroidal ''magnetic bubbles'' produced by the development of surface m=0 Rayleigh-Taylor and MHD instabilities. As the bubbles converge to the pinch axis, their magnetic energy is converted to thermal energy of the plasma through pdV work. Explicit formulas for the average dissipation rate of this process and the corresponding contribution to the resistance of the load, which compare favorably to the experimental data and simulation results, are presented. The possibility of using this enhanced (relative to Ohmic heating) dissipation mechanism to power novel plasma radiation sources and produce high K-shell yields using long current rise time machines is discussed. (c) 2000 American Institute of Physics

  10. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  11. Solid oxide fuel cell electrolytes produced via very low pressure suspension plasma spray and electrophoretic deposition

    Science.gov (United States)

    Fleetwood, James D.

    Solid oxide fuel cells (SOFCs) are a promising element of comprehensive energy policies due to their direct mechanism for converting the oxidization of fuel, such as hydrogen, into electrical energy. Both very low pressure plasma spray and electrophoretic deposition allow working with high melting temperature SOFC suspension based feedstock on complex surfaces, such as in non-planar SOFC designs. Dense, thin electrolytes of ideal composition for SOFCs can be fabricated with each of these processes, while compositional control is achieved with dissolved dopant compounds that are incorporated into the coating during deposition. In the work reported, sub-micron 8 mole % Y2O3-ZrO2 (YSZ) and gadolinia-doped ceria (GDC), powders, including those in suspension with scandium-nitrate dopants, were deposited on NiO-YSZ anodes, via very low pressure suspension plasma spray (VLPSPS) at Sandia National Laboratories' Thermal Spray Research Laboratory and electrophoretic deposition (EPD) at Purdue University. Plasma spray was carried out in a chamber held at 320 - 1300 Pa, with the plasma composed of argon, hydrogen, and helium. EPD was characterized utilizing constant current deposition at 10 mm electrode separation, with deposits sintered from 1300 -- 1500 °C for 2 hours. The role of suspension constituents in EPD was analyzed based on a parametric study of powder loading, powder specific surface area, polyvinyl butyral (PVB) content, polyethyleneimine (PEI) content, and acetic acid content. Increasing PVB content and reduction of particle specific surface area were found to eliminate the formation of cracks when drying. PEI and acetic acid content were used to control suspension stability and the adhesion of deposits. Additionally, EPD was used to fabricate YSZ/GDC bilayer electrolyte systems. The resultant YSZ electrolytes were 2-27 microns thick and up to 97% dense. Electrolyte performance as part of a SOFC system with screen printed LSCF cathodes was evaluated with peak

  12. In situ plasma diagnostics study of a commercial high-power hollow cathode magnetron deposition tool

    International Nuclear Information System (INIS)

    Meng Liang; Raju, Ramasamy; Flauta, Randolph; Shin, Hyungjoo; Ruzic, David N.; Hayden, Douglas B.

    2010-01-01

    Using a newly designed and built plasma diagnostic system, the plasma parameters were investigated on a commercial 200 mm high-power hollow cathode magnetron (HCM) physical vapor deposition tool using Ta target under argon plasma. A three dimensional (3D) scanning radio frequency (rf)-compensated Langmuir probe was constructed to measure the spatial distribution of the electron temperature (T e ) and electron density (n e ) in the substrate region of the HCM tool at various input powers (2-15 kW) and pressures (10-70 mTorr). The T e was in the range of 1-3 eV, scaling with decreasing power and decreasing pressure. Meanwhile, n e was in the range of 4x10 10 -1x10 12 cm -3 scaling with increasing power and decreasing pressure. As metal deposits on the probe during the probe measurements, a self-cleaning plasma cup was designed and installed in the chamber to clean the tungsten probe tip. However, its effectiveness in recovering the measured plasma parameters was hindered by the metal layer deposited on the insulating probe tube which was accounted for the variation in the plasma measurements. Using a quartz crystal microbalance combined with electrostatic filters, the ionization fraction of the metal flux was measured at various input power of 2-16 kW and pressure of 5-40 mTorr. The metal ionization fraction reduced significantly with the increasing input power and decreasing gas pressure which were attributed to the corresponding variation in the ionization cross section and the residence time of the sputtered atoms in the plasma, respectively. Both the metal neutral and ion flux increased at higher power and lower pressure. The 3D measurements further showed that the ionization fraction decreased when moving up from the substrate to the cathode.

  13. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  14. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  15. Deposition probe measurements of impurities injected into a tokamak plasma

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Grote, H.; Herrmann, A.

    1985-01-01

    Impurity confinement behaviour has been studied by using a deposition probe in conjunction with pellet injection. Generally, an exponential decay of the impurity efflux and nearly symmetric ion/electron side toroidal flows have been observed. During phases of strong plasma disturbances, asymmetric flow is seen, indicative of edge transport and prompt recycling from local sources. The application of ECRH may cause such disturbances. (author)

  16. Superconducting and structural properties of plasma sprayed YBaCuO layers deposited on metallic substrates

    NARCIS (Netherlands)

    Hemmes, Herman K.; Jäger, D; Smithers, M.A.; Smithers, M.; van der Veer, J.; van der Veer, J.M.; Stover, D.; Rogalla, Horst

    1993-01-01

    The properties of plasma sprayed Y-Ba-Cu-O coatings deposited on metallic substrates are studied. Stainless steel, nickel steels and pure nickel are used as substrate. Y-Ba-Cu-O deposited on stainless steel and nickel steel reacts with the substrate. This interaction can be suppressed by using an

  17. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde; Etudes des plasmas organoscilicies dilues en oxygene utilises pour la deposition d'oxyde de silicium

    Energy Technology Data Exchange (ETDEWEB)

    Magni, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO{sub 2} film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO{sub 2} were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH{sub x} (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH{sub 4}) and acetylene (C{sub 2}H{sub 2}), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH{sub x}, such as formaldehyde (CH{sub 2}O), formic acid (CH{sub 2}O{sub 2}), carbon monoxide (CO), carbon dioxide (CO{sub 2}) and water. Moreover it is shown that the CO{sub 2} results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO{sub 2} were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous

  18. Hybrid plasma system for magnetron deposition of coatings with ion assistance

    International Nuclear Information System (INIS)

    Vavilin, K V; Kralkina, E A; Nekludova, P A; Petrov, A. K; Nikonov, A M; Pavlov, V B; Airapetov, A A; Odinokov, V V; Pavlov, G Ya; Sologub, V A

    2016-01-01

    The results of the study of the plasma hybrid system based on the combined magnetron discharge and high-frequency inductive discharge located in the external magnetic field is presented. Magnetron discharge provides the generation of atoms and ions of the target materials while the flow of accelerated ions used for the ion assistance is provided by the RF inductive discharge. An external magnetic field is used to optimize the power input to the discharge, to increase the ion current density in the realm of substrate and to enhance the area of uniform plasma. The joint operation of magnetron and RF inductive discharge leads to a substantial increase (not equal to the sum of the parameters obtained under separate operation of two hybrid system channels) of the ion current density and intensity of sputtered material spectral lines radiation. Optimal mode of the hybrid plasma system operation provides uniform ion current density on the diameter of at least 150mm at 0.7PA argon pressure. The optimal values of the magnetic fields in the region of the substrate location lie in the range 2-8 mTl, while in the region of the RF input power unit lie in the range 0.5-25 mTl. (paper)

  19. Optimal control theory applied to fusion plasma thermal stabilization

    International Nuclear Information System (INIS)

    Sager, G.; Miley, G.; Maya, I.

    1985-01-01

    Many authors have investigated stability characteristics and performance of various burn control schemes. The work presented here represents the first application of optimal control theory to the problem of fusion plasma thermal stabilization. The objectives of this initial investigation were to develop analysis methods, demonstrate tractability, and present some preliminary results of optimal control theory in burn control research

  20. Optimizing Pretreatment of Medicinal Raw Materials by RFC Plasma before Extraction

    Directory of Open Access Journals (Sweden)

    O.Yu. Kuznetsova

    2016-06-01

    Full Text Available Optimization of the RF-plasma treatment modes of chaga raw materials using the Statistica 6.0 software package has been performed. Mathematical design has been carried out to calculate the optimum parameters of RF-plasma treatment using three plasma-forming gases – argon, air, and nitrogen. Plasma treatment of chaga raw materials has been undertaken at the constant parameters: pressure P = 30.0 Pa, anodic current J = 0.7 A, gas consumption G = 0.04 g/s; the variable parameters were power U = 5.0÷7.0 kV and treatment duration at the high-frequency capacitor category of the lowered pressure t = 30÷60 min. Optimization of four key parameters for extraction of chaga raw materials (solid residue, melanin yield, antioxidant activity of both extract and chaga melanin depending on the chosen plasma-forming gas (argon, air, or nitrogen has been achieved. The optimum modes of RF-plasma treatment allowing to obtain the extracts and melanin of chaga mushroom with the improved physicochemical and antioxidant characteristics have been calculated.

  1. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  2. Modification of low temperature deposited LiMn2O4 thin film cathodes by oxygen plasma irradiation

    International Nuclear Information System (INIS)

    Chen, Chen Chung; Chiu, Kuo-Feng; Lin, Kun Ming; Lin, Hsin Chih

    2009-01-01

    Lithium manganese oxides have been deposited by radio frequency magnetron sputter deposition with relatively lower annealing temperatures and then post-treated with a radio frequency (rf) driven oxygen plasma. Following oxygen plasma irradiation, the film properties were modified, and the performance of the thin film cathode has been enhanced. The electrochemical properties of the treated thin-film cathodes were characterized and compared. The results showed that the samples with moderate plasma treatment also maintained good cyclic properties as cycled at a wide range potential window of 2.0 V-4.5 V. Its electrochemical properties were significantly improved by this process, even though the films were prepared under low annealing temperature.

  3. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  4. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  5. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  6. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  7. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  8. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  9. Voltage uniformity study in large-area reactors for RF plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sansonnens, L.; Pletzer, A.; Magni, D.; Howling, A.A.; Hollenstein, C. [Ecole Polytechnique Federale, Lausanne (Switzerland). Centre de Recherche en Physique des Plasma (CRPP); Schmitt, J.P.M. [Balzers Process Systems, Palaiseau (France)

    1996-09-01

    Non-uniform voltage distribution across the electrode area results in inhomogeneous thin-film RF plasma deposition in large area reactors. In this work, a two-dimensional analytic model for the calculation of the voltage distribution across the electrode area is presented. The results of this model are in good agreement with measurements performed without plasma at 13.56 MHz and 70 MHz in a large area reactor. The principal voltage inhomogeneities are caused by logarithmic singularities in the vicinity of RF connections and not by standing waves. These singularities are only described by a two-dimensional model and cannot be intuitively predicted by analogy to a one-dimensional case. Plasma light emission measurements and thickness homogeneity studies of a-Si:H films show that the plasma reproduces these voltage inhomogeneities. Improvement of the voltage uniformity is investigated by changing the number and position of the RF connections. (author) 13 figs., 20 refs.

  10. Plasma interactions determine the composition in pulsed laser deposited thin films

    Science.gov (United States)

    Chen, Jikun; Döbeli, Max; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas

    2014-09-01

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La0.6Sr0.4MnO3, we demonstrate for as grown La0.6Sr0.4MnO3-δ films that a congruent transfer of metallic species is achieved in two pressure windows: ˜10-3 mbar and ˜2 × 10-1 mbar. In the intermediate pressure range, La0.6Sr0.4MnO3-δ becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  11. Plasma Deposition and Characterization of Copper-doped Cobalt Oxide Nanocatalysts

    Directory of Open Access Journals (Sweden)

    Jacek TYCZKOWSKI

    2013-09-01

    Full Text Available A series of pure and copper-doped cobalt oxide films was prepared by plasma-enhanced metalorganic chemical vapor deposition (PEMOCVD. The effect of Cu-doping on the chemical structure and morphology of the deposited films was investigated. Raman and FTIR spectroscopies were used to characterize the chemical structure and morphology of the produced films. The bulk composition and homogeneity of the samples were investigated by energy dispersive X-ray microanalysis (EDX, and X-ray photoelectron spectroscopy (XPS was employed to assess the surface chemical composition of pure and doped materials. The obtained results permit to affirm that the PEMOCVD technique is a simple, versatile and efficient method for providing homogeneous layers of cobalt oxides with a different content of copper. It has been found that pure cobalt oxide films mainly contain Co3O4 in the form of nanoclusters whereas the films doped with Cu are much more complex, and CoOx (also Co3O4, mixed Co-Cu oxides and CuOx nanoclusters are detected in them. Preliminary catalytical tests show that Cu-doped cobalt oxide films allow to initiate catalytic combustion of n-hexane at a lower temperature compared to the pure cobalt oxide (Co3O4 films. From what has been stated above, the plasma-deposited thin films of Cu-doped cobalt oxides pave the way towards a new class of nanomaterials with interesting catalytic properties. DOI: http://dx.doi.org/10.5755/j01.ms.19.3.2320

  12. Energy deposition of heavy ions in the regime of strong beam-plasma correlations.

    Science.gov (United States)

    Gericke, D O; Schlanges, M

    2003-03-01

    The energy loss of highly charged ions in dense plasmas is investigated. The applied model includes strong beam-plasma correlation via a quantum T-matrix treatment of the cross sections. Dynamic screening effects are modeled by using a Debye-like potential with a velocity dependent screening length that guarantees the known low and high beam velocity limits. It is shown that this phenomenological model is in good agreement with simulation data up to very high beam-plasma coupling. An analysis of the stopping process shows considerably longer ranges and a less localized energy deposition if strong coupling is treated properly.

  13. The role of plasma induced substrate heating during high rate deposition of microcrystalline solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Schmitz, R.; Appenzeller, W.; Rech, B.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2006-01-01

    A 13.56 MHz parallel plate hydrogen-dild. silane plasma, operated at high pressure and high power, was used to deposit microcryst. silicon solar cells with efficiencies of 6-9% at high deposition rates of 0.4-1.2 nm/s. In this regime new challenges arise regarding temp. control, since the high

  14. Optimization of laser energy deposition for single-shot high aspect-ratio microstructuring of thick BK7 glass

    Energy Technology Data Exchange (ETDEWEB)

    Garzillo, Valerio; Grigutis, Robertas [Dipartimento di Scienza e Alta Tecnologia, University of Insubria, Via Valleggio 11, I-22100 Como (Italy); Jukna, Vytautas [Centre de Physique Theorique, CNRS, Ecole Polytechnique, Université Paris-Saclay, F-91128 Palaiseau (France); LOA, ENSTA-ParisTech, CNRS, Ecole Polytechnique, Université Paris Saclay, F-91762 Palaiseau (France); Couairon, Arnaud [Centre de Physique Theorique, CNRS, Ecole Polytechnique, Université Paris-Saclay, F-91128 Palaiseau (France); Di Trapani, Paolo [Dipartimento di Scienza e Alta Tecnologia, University of Insubria and CNISM UdR Como, Via Valleggio 11, I-22100 Como (Italy); Jedrkiewicz, Ottavia, E-mail: ottavia.jedrkiewicz@ifn.cnr.it [Istituto di Fotonica e Nanotecnologie, CNR and CNISM UdR Como, Via Valleggio 11, I-22100 Como (Italy)

    2016-07-07

    We investigate the generation of high aspect ratio microstructures across 0.7 mm thick glass by means of single shot Bessel beam laser direct writing. We study the effect on the photoinscription of the cone angle, as well as of the energy and duration of the ultrashort laser pulse. The aim of the study is to optimize the parameters for the writing of a regular microstructure due to index modification along the whole sample thickness. By using a spectrally resolved single pulse transmission diagnostics at the output surface of the glass, we correlate the single shot material modification with observations of the absorption in different portions of the retrieved spectra, and with the absence or presence of spectral modulation. Numerical simulations of the evolution of the Bessel pulse intensity and of the energy deposition inside the sample help us interpret the experimental results that suggest to use picosecond pulses for an efficient and more regular energy deposition. Picosecond pulses take advantage of nonlinear plasma absorption and avoid temporal dynamics effects which can compromise the stationarity of the Bessel beam propagation.

  15. Deposition of LDH on plasma treated polylactic acid to reduce water permeability

    KAUST Repository

    Bugatti, Valeria

    2013-04-01

    A simple and scalable deposition process was developed to prepare polylactic acid (PLA) coatings with enhanced water barrier properties for food packaging applications. This method based on electrostatic interactions between the positively charged layers of layered double hydroxides (LDHs) modified with ionic liquids (ILs) and the negatively charged plasma treated polylactic acid leads to homogeneous, stable, and highly durable coatings. Deposition of the LDH coatings increases the surface hydrophobicity of the neat PLA, which results to a decrease in water permeability by about 35%. © 2013 Elsevier Inc.

  16. Deposition of a-SiC:H using organosilanes in an argon/hydrogen plasma

    International Nuclear Information System (INIS)

    Maya, L.

    1993-01-01

    Selected organosilanes were examined as precursors for the deposition of amorphous hydrogenated silicon carbide in an argon/hydrogen plasma. Effect of process variables on the quality of the films was established by means of FTIR, Auger spectroscopy, XPS, XRD, chemical analysis, and weight losses upon pyrolysis. For a given power level there is a limiting feeding rate of the precursor under which operation of the system is dominated by thermodynamics and leads to high quality silicon carbide films that are nearly stoichiometric and low in hydrogen. Beyond that limit, carbosilane polymer formation and excessive hydrogen incorporation takes place. The hydrogen content of the plasma affects the deposition rate and the hydrogen content of the film. In the thermodynamically dominated regime the nature of the precursor has no effect on the quality of the film, it affects only the relative utilization efficiency

  17. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  18. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  19. Plasma-deposited aluminum-doped zinc oxide : controlling nucleation, growth and electrical properties

    NARCIS (Netherlands)

    Ponomarev, M.

    2012-01-01

    In this work, the Expanding Thermal Plasma (ETP) deposition technique was employed to study the growth development of ZnO:Al thin film as a transparent conductive oxide layer for sustainable applications. Characteristic for ETP-grown ZnO:Al is a gradually reducing resistivity of the growing layer

  20. Deposition of Al N and Ti N thin films on substrates of stainless steel SS304 using plasma focus device

    International Nuclear Information System (INIS)

    Al-Hawat, Sh.; Soukieh, M.; Abou Kharoub, M.; Al-Sadat, W.

    2006-06-01

    A 2.8 kJ plasma focus device was used to deposit thin films of aluminium nitride Al N and titanium nitride Ti N on a stainless steel 394 substrate, in order to improve its surface properties. The deposition process was carried out using various number of nitrogen plasma shots at pressures 0.5-0.75 mbar and at different sample's distances from the anode. The plasma diagnostics was achieved using the voltage and current signals recorded by a voltage divider and Rogovskii coil to determine the temperature and plasma density. The surface hardness of SS-304 was increased by about 50% after plasma coating and the thickness of the coated layers was about 1-2μm. This study shows that the hardness is increased with increasing the number of shots and decreased with the distance from the anode. The coated layers were characterized and a qualitative understanding of the deposition process was given. (author)

  1. Optimizing best management practices to control anthropogenic sources of atmospheric phosphorus deposition to inland lakes.

    Science.gov (United States)

    Weiss, Lee; Thé, Jesse; Winter, Jennifer; Gharabaghi, Bahram

    2018-04-18

    Excessive phosphorus loading to inland freshwater lakes around the globe has resulted in nuisance plant growth along the waterfronts, degraded habitat for cold water fisheries, and impaired beaches, marinas and waterfront property. The direct atmospheric deposition of phosphorus can be a significant contributing source to inland lakes. The atmospheric deposition monitoring program for Lake Simcoe, Ontario indicates roughly 20% of the annual total phosphorus load (2010-2014 period) is due to direct atmospheric deposition (both wet and dry deposition) on the lake. This novel study presents a first-time application of the Genetic Algorithm (GA) methodology to optimize the application of best management practices (BMPs) related to agriculture and mobile sources to achieve atmospheric phosphorus reduction targets and restore the ecological health of the lake. The novel methodology takes into account the spatial distribution of the emission sources in the airshed, the complex atmospheric long-range transport and deposition processes, cost and efficiency of the popular management practices and social constraints related to the adoption of BMPs. The optimization scenarios suggest that the optimal overall capital investment of approximately $2M, $4M, and $10M annually can achieve roughly 3, 4 and 5 tonnes reduction in atmospheric P load to the lake, respectively. The exponential trend indicates diminishing returns for the investment beyond roughly $3M per year and that focussing much of this investment in the upwind, nearshore area will significantly impact deposition to the lake. The optimization is based on a combination of the lowest-cost, most-beneficial and socially-acceptable management practices that develops a science-informed promotion of implementation/BMP adoption strategy. The geospatial aspect to the optimization (i.e. proximity and location with respect to the lake) will help land managers to encourage the use of these targeted best practices in areas that

  2. Cerium oxide-deposited mesoporous silica nanoparticles for the determination of carcinoembryonic antigen in serum using inductively coupled plasma-mass spectrometry

    International Nuclear Information System (INIS)

    Choi, H.W.; Lee, K.H.; Hur, N.H.; Lim, H.B.

    2014-01-01

    Highlights: • Sandwich-type immunoassay using ICP-MS and nanoparticles to determine biomarkers. • CeO 2 -deposited mesoporous silica nanoparticles were synthesized as a probe. • Ratiometric measurement significantly improved the calibration linearity. • Excellent detection limit was achieved by signal amplification. - Abstract: CeO 2 -deposited mesoporous silica nanoparticles were synthesized as a probe to determine carcinoembryonic antigen (CEA) in serum by inductively coupled plasma-mass spectrometry (ICP-MS). The prepared mesoporous nanoparticles were modified and tagged to the target for sandwich-type immunoassay. Fe 3 O 4 magnetic nanoparticles (MNPs) were also synthesized and immobilized with antibody to extract the target biomarker. The calibration curve of the synthesized CeO 2 -deposited silica nanoparticles, which was plotted by the signal ratio of 140 Ce/ 57 Fe measured by ICP-MS vs. the concentration of CEA, showed excellent linearity and sensitivity owing to the signal amplification and low spectral interference. Under optimal conditions, the sandwich-type analytical method was applied to determine CEA in serum spiked in the range of 0.001–5 ng mL −1 and showed a limit of detection of 0.36 ng mL −1 . Since the deposited CeO 2 in the mesoporous silica layer can be substituted by other metal compounds, various kinds of metal-deposited nanoparticles can be prepared as probe materials for multiplex detection in bioanalysis

  3. Calcium titanate (CaTiO{sub 3}) dielectrics prepared by plasma spray and post-deposition thermal treatment

    Energy Technology Data Exchange (ETDEWEB)

    Ctibor, Pavel [Materials Engineering Department, Institute of Plasma Physics ASCR, v.v.i., Za Slovankou 3, Prague 8 (Czech Republic); Kotlan, Jiri, E-mail: kotlan@ipp.cas.cz [Materials Engineering Department, Institute of Plasma Physics ASCR, v.v.i., Za Slovankou 3, Prague 8 (Czech Republic); Department of Electrotechnology, Faculty of Electrical Engineering, Czech Technical University in Prague, Technicka 2, Prague 6 (Czech Republic); Pala, Zdenek [Materials Engineering Department, Institute of Plasma Physics ASCR, v.v.i., Za Slovankou 3, Prague 8 (Czech Republic); Sedlacek, Josef [Department of Electrotechnology, Faculty of Electrical Engineering, Czech Technical University in Prague, Technicka 2, Prague 6 (Czech Republic); Hajkova, Zuzana; Grygar, Tomas Matys [Institute of Inorganic Chemistry ASCR, v.v.i., Husinec-Rez 1001, Rez (Czech Republic)

    2015-12-15

    Highlights: • Calcium titanate was sprayed by two different plasma spray systems. • Significant improvement of dielectric properties after annealing was observed. • Calcium titanate self-supporting parts can be fabricated by plasma spraying. - Abstract: This paper studies calcium titanate (CaTiO{sub 3}) dielectrics prepared by plasma spray technology. A water stabilized plasma gun (WSP) as well as a widely used gas stabilized plasma gun (GSP) were employed in this study to deposit three sample sets at different spray conditions. Prepared specimens were annealed in air at atmospheric pressure for 2 h at various temperatures from 530 to 1170 °C. X-ray diffraction (XRD), Raman spectroscopy and porosity measurements were used for sample characterization. Dielectric spectroscopy was applied to obtain relative permittivity, conductivity and loss factor frequency dependence. Band gap energy was estimated from reflectance measurements. The work is focused on the explanation of changes in microstructure and properties of a plasma sprayed deposit after thermal annealing. Obtained results show significant improvement of dielectric properties after thermal annealing.

  4. Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Tinck, S; Bogaerts, A

    2011-01-01

    In this paper, an O 2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al 2 O 3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O 2 , while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.

  5. Deposition of thin layers of boron nitrides and hydrogenated microcrystalline silicon assisted by high current direct current arc plasma; Deposition assistee par un plasma a arc a haut courant continu de couches minces de Nitrure de Bore et de Silicium microcristallin hydrogene

    Energy Technology Data Exchange (ETDEWEB)

    Franz, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    1999-09-01

    In the frame of this thesis, a high current direct current arc (HCDCA) used for the industrial deposition of diamond, has been adapted to study the deposition of two types of coatings: a) boron nitride, whose cubic phase is similar to diamond, for tribological applications, b) hydrogenated microcrystalline silicon, for applications in the semiconductor fields (flat panel displays, solar cells,...). For the deposition of these coatings, the substrates were placed in the diffusion region of the arc. The substrate heating is mainly due to atomic species recombining on its surface. The deposition temperature, varying from 300 to 900 {sup o}C according to the films deposited, is determined by the substrate position, the arc power and the injected gas fluxes, without the use of any external heating or cooling system. Measurements performed on the arc plasma show that the electronic temperature is around 2 eV (23'000 K) while the gas temperature is lower than 5500 K. Typical electronic densities are in the range of 10{sup 12}-10{sup 1'}3 cm{sup -3}. For the deposition of boron nitride films, different boron precursors were used and a wide parameter range was investigated. The extreme difficulty of synthesising cubic boron nitride films by chemical vapour deposition (CVD) did not allow to stabilize the cubic phase of boron nitride in HCDCA. Coatings resulted in hexagonal or amorphous boron nitride with a chemical composition close to stoichiometric. The presence of hydrogen leads to the deposition of rough and porous films. Negative biasing of the samples, for positive ion bombardment, is commonly used to stabilize the cubic phase. In HCDCA and in our biasing range, only a densification of the films could be observed. A boron nitride deposition plasma study by infrared absorption spectroscopy in a capacitive radio frequency reactor has demonstrated the usefulness of this diagnostic for the understanding of the various chemical reactions which occur in this kind

  6. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  7. Design of an RF Antenna for a Large-Bore, High Power, Steady State Plasma Processing Chamber for Material Separation

    International Nuclear Information System (INIS)

    Rasmussen, D.A.; Freeman, R.L.

    2001-01-01

    The purpose of this Cooperative Research and Development Agreement (CRADA) between UT-Battelle, LLC, (Contractor), and Archimedes Technology Group, (Participant) is to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure. The project objectives are to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure

  8. Tungsten oxide coatings deposited by plasma spray using powder and solution precursor for detection of nitrogen dioxide gas

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chao, E-mail: zhangc@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); Wang, Jie [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); Geng, Xin [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); College of Chemistry and Chemical Engineering, Yangzhou University, Yangzhou 225002 (China)

    2016-05-25

    Increasing attention has been paid on preparation methods for resistive-type gas sensors based on semiconductor metal oxides. In this work, tungsten oxide (WO{sub 3}) coatings were prepared on alumina substrates and used as gas sensitive layers. The coatings were deposited by atmospheric plasma spray using powder, solution precursor, or a combination of both. Tungsten oxide powder through a powder port and ammonium tungstate aqueous solution through a liquid port were injected into plasma stream respectively or together to deposit WO{sub 3} coatings. Phase structures in the coatings were characterized by X-ray diffraction analyzer. The field-emission scanning electron microscopy images confirmed that the coatings were in microstructure, nanostructure or micro-nanostructure. The sensing properties of the sensors based on the coatings exposed to 1 ppm nitrogen dioxide gas were characterized in a home-made instrument. Sensing properties of the coatings were compared and discussed. The influences of gas humidity and working temperature on the sensor responses were further studied. - Highlights: • Porous gas sensitive coatings were deposited by plasma spray using powder and solution precursor. • Crystallized WO{sub 3} were obtained through hybrid plasma spray plus a pre-conditioned step. • Plasma power had an important influence on coating microstructure. • The particle size of atmospheric plasma-sprayed microstructured coating was stable. • Solution precursor plasma-sprayed WO{sub 3} coatings had nanostructure and showed good responses to 1 ppm NO{sub 2}.

  9. Negative ion mass spectra and particulate formation in rf silane plasma deposition experiments

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.

    1992-09-01

    Negative ions have been clearly identified in silane rf plasmas used for the deposition of amorphous silicon. Mass spectra were measured for monosilicon up to pentasilicon negative ion radical groups in power-modulated plasmas by means of a mass spectrometer mounted just outside the glow region. Negative ions were only observed over a limited range of power modulation frequency which corresponds to particle-free conditions. The importance of negative ions regarding particulate formation is demonstrated and commented upon. (author) 3 figs., 19 refs

  10. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  11. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  12. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    International Nuclear Information System (INIS)

    Moeller, Soeren

    2014-01-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO 2 and H 2 O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  13. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, Soeren

    2014-11-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO{sub 2} and H{sub 2}O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  14. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde

    International Nuclear Information System (INIS)

    Magni, D.

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO 2 film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO 2 were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH x (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH 4 ) and acetylene (C 2 H 2 ), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH x , such as formaldehyde (CH 2 O), formic acid (CH 2 O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ) and water. Moreover it is shown that the CO 2 results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO 2 were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous phase. Particle formation in oxygen-diluted HMDSO

  15. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    Science.gov (United States)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  16. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  17. Plasma interactions determine the composition in pulsed laser deposited thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Laboratory of Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2014-09-15

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La{sub 0.6}Sr{sub 0.4}MnO{sub 3}, we demonstrate for as grown La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} films that a congruent transfer of metallic species is achieved in two pressure windows: ∼10{sup −3} mbar and ∼2 × 10{sup −1} mbar. In the intermediate pressure range, La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  18. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  19. Electromagnetic shielding effectiveness of a thin silver layer deposited onto PET film via atmospheric pressure plasma reduction

    Science.gov (United States)

    Oh, Hyo-Jun; Dao, Van-Duong; Choi, Ho-Suk

    2018-03-01

    This study presents the first use of a plasma reduction reaction under atmospheric pressure to fabricate a thin silver layer on polyethylene terephthalate (PET) film without the use of toxic chemicals, high voltages, or an expensive vacuum apparatus. The developed film is applied to electromagnetic interference (EMI) shielding. After repeatedly depositing a silver layer through a plasma reduction reaction on PET, we can successfully fabricate a uniformly deposited thin silver layer. It was found that both the particle size and film thickness of thin silver layers fabricated at different AgNO3 concentrations increase with an increase in the concentration of AgNO3. However, the roughness of the thin silver layer decreases when increasing the concentration of AgNO3 from 100 to 500 mM, and the roughness increases with a further increase in the concentration of AgNO3. The EMI shielding effectiveness (SE) of the film is measured in the frequency range of 0.045 to 1 GHz. As a result of optimizing the electrical conductivity by measuring sheet resistance of the thin silver layer, the film fabricated from 500 mM AgNO3 exhibits the highest EMI SE among all fabricated films. The maximum values of the EMI SE are 60.490 dB at 0.1 GHz and 54.721 dB at 1.0 GHz with minimum sheet resistance of 0.244 Ω/□. Given that the proposed strategy is simple and effective, it is promising for fabricating various low-cost metal films with high EMI SE.

  20. Power deposition to the pump limiters in Tore-Supra with ohmic plasmas

    International Nuclear Information System (INIS)

    Guilhem, D.; Chatelier, M.; Chappuis Fleury, I.; Klepper, C.

    1990-01-01

    The modification of power scrape-off-length, λq, and power deposition are studied both with the horizontal limiter alone and with the full set of 7 pump limiters for 1MW ohmic plasmas in TORE-SUPRA. By making spatially resolved infrared surface temperature measurements during the plasma discharge, the magnitude and distribution of the energy flux can be derived. For comparison, the surface temperature of the horizontal pump limiter is calculated with a finite element code using a 3D description of the field lines, an exponential scrape-off-layer, and the pump limiter geometry. From comparison of the infrared images of the limiter we derived that the λq for power deposition was slightly less than 9 mm (±1mm) which is in agreement with the predicted design value of 10 mm. For an 8 seconds discharge, the maximum surface temperature on the horizontal limiter is 450 0 C. Inserting the 7 limiters does not modify λq (which becomes 10 mm). The power is shared by all the limiters and the maximum surface temperature on the horizontal limiter decreased to 320 0 C. These λq values have been independently measured by the integrated energy deposition on the horizontal limiter and other internal structures 5 cm into the scrape-off layer. These values agree with the infrared measurements in the two cases

  1. Wettability of modified silica layers deposited on glass support activated by plasma

    Energy Technology Data Exchange (ETDEWEB)

    Terpiłowski, Konrad, E-mail: terpil@umcs.pl [Department of Physical Chemistry – Interfacial Phenomena, Faculty of Chemistry, Maria Curie-Sklodowska University, Lublin (Poland); Rymuszka, Diana [Department of Physical Chemistry – Interfacial Phenomena, Faculty of Chemistry, Maria Curie-Sklodowska University, Lublin (Poland); Goncharuk, Olena V.; Sulym, Iryna Ya.; Gun’ko, Vladimir M. [Chuiko Institute of Surface Chemistry, National Academy of Science of Ukraine, Kiev (Ukraine)

    2015-10-30

    Highlights: • New modified silica materials synthesis. • Support surface plasma activation. • Apparent surface free energy determination. • Equilibrium contact angle calculation. - Abstract: Fumed silica modified by hexamethyldisilazane [HDMS] and polydimethylsiloxane [PDMS] was dispersed in a polystyrene/chloroform solution. To increase adhesion between deposited silica layers and a glass surface, the latter was pretreated with air plasma for 30 s. The silica/polystyrene dispersion was deposited on the glass support using a spin coater. After deposition, the plates were dried in a desiccator for 24 h. Water advancing and receding contact angles were measured using the tilted plate method. The apparent surface free energy (γ{sub S}) was evaluated using the contact angle hysteresis approach. The surface topography was determined using the optical profilometry method. Contact angles changed from 59.7° ± 4.4 (at surface coverage with trimethylsilyl groups Θ = 0.14) to 155° ± 3.1 at Θ = 1. The value of γ{sub S} decreased from 51.3 ± 2.8 mJ/m{sup 2} (for the sample at the lowest value of Θ) to 1.0 ± 0.4 mJ/m{sup 2} for the most hydrophobic sample. Thus, some systems with a high degree of modification by HDMS showed superhydrophobicity, and the sliding angle amounted to about 16° ± 2.1.

  2. High powered pulsed plasma enhanced deposition of thin film semiconductor and optical materials

    International Nuclear Information System (INIS)

    Llewellyn, I.P.; Sheach, K.J.A.; Heinecke, R.A.

    1993-01-01

    A glow discharge deposition technique is described which allows the deposition of a large range of high quality materials without the requirement for substrate heating. The method is differentiated from conventional plasma deposition techniques in that a much higher degree of dissociation is achieved in the gases prior to deposition, such that thermally activated surface reactions are no longer required in order to produce a dense film. The necessary discharge intensity (>300Wcm -3 ) is achieved using a high power radio frequency generator which is pulsed at a low duty cycle (1%) to keep the average energy of the discharge low (100W), in order to avoid the discharge heating the substrate. In addition, by varying the gas composition between discharge pulses, layered structures of materials can be produced, with a disordered interface about 8 A thick. Various uses of the technique in semiconductor and optical filter production are described, and the properties of films deposited using these technique are presented. (orig.)

  3. Effect of argon and hydrogen on deposition of silicon from tetrochlrosilane in cold plasmas

    Science.gov (United States)

    Manory, R. R.; d.

    1985-01-01

    The roles of Ar and H2 on the decomposition of SiCl4 in cold plasma were investigated by Langmuir probes and mass spectrometry. Decomposition of the reactant by Ar only has been found to be very slow. In presence of H2 in the plasma SiCl4 is decomposed by fast radical-molecule reactions which are further enhanced by Ar due to additional ion-molecule reactions in which more H radicals are produced. A model for the plasma-surface interactions during deposition of mu-Si in the Ar + H2 + SiCl4 system is presented.

  4. Preparation of erosion and deposition investigations on plasma facing components in Wendelstein 7-X

    Science.gov (United States)

    Dhard, C. P.; Balden, M.; Braeuer, T.; Brezinsek, S.; Coenen, J. W.; Dudek, A.; Ehrke, G.; Hathiramani, D.; Klose, S.; König, R.; Laux, M.; Linsmeier, Ch; Manhard, A.; Masuzaki, S.; Mayer, M.; Motojima, G.; Naujoks, D.; Neu, R.; Neubauer, O.; Rack, M.; Ruset, C.; Schwarz-Selinger, T.; Pedersen, T. Sunn; Tokitani, M.; Unterberg, B.; Yajima, M.; W7-X Team1, The

    2017-12-01

    In the Wendelstein 7-X stellarator with its twisted magnetic geometry the investigation of plasma wall interaction processes in 3D plasma configurations is an important research subject. For the upcoming operation phase i.e. OP1.2, three different types of material probes have been installed within the plasma vessel for the erosion/deposition investigations in selected areas with largely different expected heat load levels, namely, ≤10 MW m-2 at the test divertor units (TDU), ≤500 kW m-2 at the baffles, heat shields and toroidal closures and ≤100 kW m-2 at the stainless steel wall panels. These include 18 exchangeable target elements at TDU, about 30 000 screw heads at graphite tiles and 44 wafer probes on wall panels, coated with marker layers. The layer thicknesses, surface morphologies and the impurity contents were pre-characterized by different techniques and subjected to various qualification tests. The positions of these probes were fixed based on the strike line locations on the divertor predicted by field line diffusion and EMC3/EIRENE modeling calculations for the OP1.2 plasma configurations and availability of locations on panels in direct view of the plasma. After the first half of the operation phase i.e. OP1.2a the probes will be removed to determine the erosion/deposition pattern by post-mortem analysis and replaced by a new set for the second half of the operation phase, OP1.2b.

  5. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  6. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  7. Anti-stiction coating of PDMS moulds for rapid microchannel fabrication by double replica moulding

    DEFF Research Database (Denmark)

    Zhuang, Guisheng; Kutter, Jörg Peter

    2011-01-01

    ), which resulted in an anti-stiction layer for the improved release after PDMS casting. The deposition of FDTS on an O2 plasma-activated surface of PDMS produced a reproducible and well-performing anti-stiction monolayer of fluorocarbon, and we used the FDTS-coated moulds as micro-masters for rapid......In this paper, we report a simple and precise method to rapidly replicate master structures for fast microchannel fabrication by double replica moulding of polydimethylsiloxane (PDMS). A PDMS mould was surface-treated by vapour phase deposition of 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS...

  8. Effect of sterilization procedures on properties of plasma polymers relevant to biomedical applications

    International Nuclear Information System (INIS)

    Artemenko, A.; Kylián, O.; Choukourov, A.; Gordeev, I.; Petr, M.; Vandrovcová, M.; Polonskyi, O.; Bačáková, L.; Slavinska, D.; Biederman, H.

    2012-01-01

    This study is focused on the evaluation of resistance of plasma polymers toward common sterilization techniques, i.e. property important for possible use of such materials in biomedical applications. Three kinds of plasma polymers having different bioadhesive natures were studied: plasma polymerized poly(ethylene oxide), fluorocarbon plasma polymers, and nitrogen-rich plasma polymers. These plasma polymers were subjected to dry heat, autoclave and UV radiation treatment. Their physical, chemical and bioresponsive properties were determined by means of different techniques (ellipsometry, atomic force microscopy, wettability measurements, X-ray photoelectron spectroscopy and biological tests with osteoblast-like cells MG63). The results clearly show that properties of thin films of plasma polymers may be significantly altered by a sterilization process. Moreover, observed changes induced by selected sterilization methods were found to depend strongly on the sterilized plasma polymer. - Highlights: ► Effect of common sterilization methods on three kinds of plasma polymers is studied. ► Physical, chemical and bioresponsive properties of plasma polymers are analyzed. ► Changes induced by sterilization depend strongly on type of the plasma polymer.

  9. Magnetic fields and uniformity of radio frequency power deposition in low-frequency inductively coupled plasmas with crossed internal oscillating currents

    DEFF Research Database (Denmark)

    Tsakadze, Erekle; Ostrikov, K.N.; Tsakadze, Z.L.

    2004-01-01

    ) discharge modes using two miniature magnetic probes. It is shown that the radial uniformity and depth of the rf power deposition can be improved as compared with conventional sources of inductively coupled plasmas with external flat spiral ("pancake") antennas. Relatively deeper rf power deposition...... in the plasma source results in more uniform profiles of the optical emission intensity, which indicates on the improvement of the plasma uniformity over large chamber volumes. The results of the numerical modeling of the radial magnetic field profiles are found in a reasonable agreement with the experimental...

  10. Improving Erosion Resistance of Plasma-Sprayed Ceramic Coatings by Elevating the Deposition Temperature Based on the Critical Bonding Temperature

    Science.gov (United States)

    Yao, Shu-Wei; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Interlamellar bonding within plasma-sprayed coatings is one of the most important factors dominating the properties and performance of coatings. The interface bonding between lamellae significantly influences the erosion behavior of plasma-sprayed ceramic coatings. In this study, TiO2 and Al2O3 coatings with different microstructures were deposited at different deposition temperatures based on the critical bonding temperature concept. The erosion behavior of ceramic coatings was investigated. It was revealed that the coatings prepared at room temperature exhibit a typical lamellar structure with numerous unbonded interfaces, whereas the coatings deposited at the temperature above the critical bonding temperature present a dense structure with well-bonded interfaces. The erosion rate decreases sharply with the improvement of interlamellar bonding when the deposition temperature increases to the critical bonding temperature. In addition, the erosion mechanisms of ceramic coatings were examined. The unbonded interfaces in the conventional coatings act as pre-cracks accelerating the erosion of coatings. Thus, controlling interlamellar bonding formation based on the critical bonding temperature is an effective approach to improve the erosion resistance of plasma-sprayed ceramic coatings.

  11. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  12. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  13. CANDU fuel deposits and chemistry optimizations. Recent regulatory experience in Canadian Nuclear Power Plants

    International Nuclear Information System (INIS)

    Kameswaran, Ram

    2014-01-01

    Water chemistry of the Primary Heat Transport System (PHT) of CANDU – Pressurised Heavy Water Reactors profoundly influences the transport of corrosion products around the Heat Transport System (HTS), where they can be deposited as crud on steam generators, feeder pipes and on the fuel. Fuel cladding can be covered with deposits which have precipitated from the coolant as a result of temperature changes or non-optimal coolant pH. Precipitation of deposits in-core must be avoided as far as possible, as it leads to fouling of the fuel, loss of heat transfer efficiency, and increased radiation fields. In the recent years a Canadian NPP experienced increased instances of black deposits being observed on fuel bundles discharged from one of the units. The black deposits were initially observed in 2008 during in-bay fuel inspections. Since then it has been determined that all the discharged fuel bundles have black deposits on them and that observed deposits have been increasing in size (thickness and surface area). This negative trend has persisted through to 2012, when one of fuel bundles was observed with significantly larger deposit than previously seen. Initial analysis of the deposit indicated it to be iron oxide (magnetite). Flow Accelerated Corrosion (FAC) of carbon steel feeder pipes is the primary source of iron, which deposits as magnetite on HTS surfaces. The black deposits have predominantly been located immediately downstream of the bearing pads of the fuel bundle. Deposits have also tended to form on the bottom-downstream quadrant of the fuel bundles. The deposits were most prevalent in low power channels, but some deposits have been observed on high power channels. It was reported by the utility that the PHT system chemistry has been maintained in specification for most of the time during normal operation but the chemistry control during outages was inadequate. Due to design constraints, purification circuit was not available during outages and ion

  14. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  15. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  16. Cerium oxide-deposited mesoporous silica nanoparticles for the determination of carcinoembryonic antigen in serum using inductively coupled plasma-mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Choi, H.W. [Department of Chemistry, NSBI, Dankook University, 126 Jukjeon-dong, Suji-gu, Yongin-si, Gyeonggi-do 448-701 (Korea, Republic of); Lee, K.H.; Hur, N.H. [Department of Chemistry, Sogang University, Shinsu-dong, Mapo-gu, Seoul (Korea, Republic of); Lim, H.B., E-mail: plasma@dankook.ac.kr [Department of Chemistry, NSBI, Dankook University, 126 Jukjeon-dong, Suji-gu, Yongin-si, Gyeonggi-do 448-701 (Korea, Republic of)

    2014-10-17

    Highlights: • Sandwich-type immunoassay using ICP-MS and nanoparticles to determine biomarkers. • CeO{sub 2}-deposited mesoporous silica nanoparticles were synthesized as a probe. • Ratiometric measurement significantly improved the calibration linearity. • Excellent detection limit was achieved by signal amplification. - Abstract: CeO{sub 2}-deposited mesoporous silica nanoparticles were synthesized as a probe to determine carcinoembryonic antigen (CEA) in serum by inductively coupled plasma-mass spectrometry (ICP-MS). The prepared mesoporous nanoparticles were modified and tagged to the target for sandwich-type immunoassay. Fe{sub 3}O{sub 4} magnetic nanoparticles (MNPs) were also synthesized and immobilized with antibody to extract the target biomarker. The calibration curve of the synthesized CeO{sub 2}-deposited silica nanoparticles, which was plotted by the signal ratio of {sup 140}Ce/{sup 57}Fe measured by ICP-MS vs. the concentration of CEA, showed excellent linearity and sensitivity owing to the signal amplification and low spectral interference. Under optimal conditions, the sandwich-type analytical method was applied to determine CEA in serum spiked in the range of 0.001–5 ng mL{sup −1} and showed a limit of detection of 0.36 ng mL{sup −1}. Since the deposited CeO{sub 2} in the mesoporous silica layer can be substituted by other metal compounds, various kinds of metal-deposited nanoparticles can be prepared as probe materials for multiplex detection in bioanalysis.

  17. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  18. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  19. An optimized nanoparticle separator enabled by electron beam induced deposition

    International Nuclear Information System (INIS)

    Fowlkes, J D; Rack, P D; Doktycz, M J

    2010-01-01

    Size-based separations technologies will inevitably benefit from advances in nanotechnology. Direct-write nanofabrication provides a useful mechanism for depositing/etching nanoscale elements in environments otherwise inaccessible to conventional nanofabrication techniques. Here, electron beam induced deposition was used to deposit an array of nanoscale features in a 3D environment with minimal material proximity effects outside the beam-interaction region. Specifically, the membrane component of a nanoparticle separator was fabricated by depositing a linear array of sharply tipped nanopillars, with a singular pitch, designed for sub-50 nm nanoparticle permeability. The nanopillar membrane was used in a dual capacity to control the flow of nanoparticles in the transaxial direction of the array while facilitating the sealing of the cellular-sized compartment in the paraxial direction. An optimized growth recipe resulted which (1) maximized the growth efficiency of the membrane (which minimizes proximity effects) and (2) preserved the fidelity of the spacing between nanopillars (which maximizes the size-based gating quality of the membrane) while (3) maintaining sharp nanopillar apexes for impaling an optically transparent polymeric lid critical for device sealing.

  20. An optimized nanoparticle separator enabled by electron beam induced deposition

    Science.gov (United States)

    Fowlkes, J. D.; Doktycz, M. J.; Rack, P. D.

    2010-04-01

    Size-based separations technologies will inevitably benefit from advances in nanotechnology. Direct-write nanofabrication provides a useful mechanism for depositing/etching nanoscale elements in environments otherwise inaccessible to conventional nanofabrication techniques. Here, electron beam induced deposition was used to deposit an array of nanoscale features in a 3D environment with minimal material proximity effects outside the beam-interaction region. Specifically, the membrane component of a nanoparticle separator was fabricated by depositing a linear array of sharply tipped nanopillars, with a singular pitch, designed for sub-50 nm nanoparticle permeability. The nanopillar membrane was used in a dual capacity to control the flow of nanoparticles in the transaxial direction of the array while facilitating the sealing of the cellular-sized compartment in the paraxial direction. An optimized growth recipe resulted which (1) maximized the growth efficiency of the membrane (which minimizes proximity effects) and (2) preserved the fidelity of the spacing between nanopillars (which maximizes the size-based gating quality of the membrane) while (3) maintaining sharp nanopillar apexes for impaling an optically transparent polymeric lid critical for device sealing.

  1. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  2. Genetic Algorithm-Based Optimization to Match Asteroid Energy Deposition Curves

    Science.gov (United States)

    Tarano, Ana; Mathias, Donovan; Wheeler, Lorien; Close, Sigrid

    2018-01-01

    An asteroid entering Earth's atmosphere deposits energy along its path due to thermal ablation and dissipative forces that can be measured by ground-based and spaceborne instruments. Inference of pre-entry asteroid properties and characterization of the atmospheric breakup is facilitated by using an analytic fragment-cloud model (FCM) in conjunction with a Genetic Algorithm (GA). This optimization technique is used to inversely solve for the asteroid's entry properties, such as diameter, density, strength, velocity, entry angle, and strength scaling, from simulations using FCM. The previous parameters' fitness evaluation involves minimizing error to ascertain the best match between the physics-based calculated energy deposition and the observed meteors. This steady-state GA provided sets of solutions agreeing with literature, such as the meteor from Chelyabinsk, Russia in 2013 and Tagish Lake, Canada in 2000, which were used as case studies in order to validate the optimization routine. The assisted exploration and exploitation of this multi-dimensional search space enables inference and uncertainty analysis that can inform studies of near-Earth asteroids and consequently improve risk assessment.

  3. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  4. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  5. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  6. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  7. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  8. Tailored adhesion behavior of polyelectrolyte thin films deposited on plasma-treated poly(dimethylsiloxane) for functionalized membranes

    Energy Technology Data Exchange (ETDEWEB)

    Bassil, Joelle, E-mail: joelle.bassil@univ-lorraine.fr [Institut Jean Lamour (IJL), UMR CNRS 7198, Université de Lorraine, Parc de Saurupt CS50840, 54011 Nancy (France); Alem, Halima, E-mail: halima.alem@univ-lorraine.fr [Institut Jean Lamour (IJL), UMR CNRS 7198, Université de Lorraine, Parc de Saurupt CS50840, 54011 Nancy (France); Henrion, Gérard, E-mail: gerard.henrion@univ-lorraine.fr [Institut Jean Lamour (IJL), UMR CNRS 7198, Université de Lorraine, Parc de Saurupt CS50840, 54011 Nancy (France); Roizard, Denis, E-mail: denis.roizard@univ-lorraine.fr [Laboratoire Réactions et Génie des Procédés (LRGP), UMR CNRS 7274, ENSIC, Université de Lorraine, 1 rue Grandville, 54011 Nancy (France)

    2016-04-30

    Graphical abstract: - Highlights: • The surface of PDMS membrane was first modified by Ar/O{sub 2} plasma to increase its surface energy. • Subsequently, a homogeneous multilayer of the well-known couple of polyelectrolyte PDADMAC/PSS was deposited on the plasma treated PDMS. • The relation between the parameters of the modification processes and the morphology, wettability, structure and adhesion of the polyelectrolytes layers based PDMS membranes is investigated and enlightened. - Abstract: Completely homogenous films formed via the layer-by-layer assembly of poly(diallyldimethylammonium chloride) (PDADMAC) and the poly(styrene sulfonate) were successfully obtained on plasma-treated poly(dimethylsiloxane) (PDMS) substrates. To modify the hydrophobicity of the PDMS surface, a cold plasma treatment was previously applied to the membrane, which led to the creation of hydrophilic groups on the surface of the membrane. PDMS wettability and surface morphology were successfully correlated with the plasma parameters. A combination of contact angle measurements, scanning electron microscopy (SEM) and atomic force microscopy (AFM) analysis was used to demonstrate that homogeneous and hydrophilic surfaces could be achieved on PDMS cold-plasma-treated membranes. The stability of the assembled PEL layer on the PDMS was evaluated using a combination of pull-off testing and X-ray photoelectron spectroscopy (XPS), which confirmed the relevance of a plasma pre-treatment as the adhesion of the polyelectrolyte multilayers was greatly enhanced when the deposition was completed on an activated PDMS surface at 80 W for 5 min.

  9. Optimization of tokamak plasma equilibrium shape using parallel genetic algorithms

    International Nuclear Information System (INIS)

    Zhulin An; Bin Wu; Lijian Qiu

    2006-01-01

    In the device of non-circular cross sectional tokamaks, the plasma equilibrium shape has a strong influence on the confinement and MHD stability. The plasma equilibrium shape is determined by the configuration of the poloidal field (PF) system. Usually there are many PF systems that could support the specified plasma equilibrium, the differences are the number of coils used, their positions, sizes and currents. It is necessary to find the optimal choice that meets the engineering constrains, which is often done by a constrained optimization. The Genetic Algorithms (GAs) based method has been used to solve the problem of the optimization, but the time complexity limits the algorithms to become widely used. Due to the large search space that the optimization has, it takes several hours to get a nice result. The inherent parallelism in GAs can be exploited to enhance their search efficiency. In this paper, we introduce a parallel genetic algorithms (PGAs) based approach which can reduce the computational time. The algorithm has a master-slave structure, the slave explore the search space separately and return the results to the master. A program is also developed, and it can be running on any computers which support massage passing interface. Both the algorithm and the program are detailed discussed in the paper. We also include an application that uses the program to determine the positions and currents of PF coils in EAST. The program reach the target value within half an hour and yield a speedup rate of 5.21 on 8 CPUs. (author)

  10. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  11. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  12. Neutralization of methyl cation via chemical reactions in low-energy ion-surface collisions with fluorocarbon and hydrocarbon self-assembled monolayer films.

    Science.gov (United States)

    Somogyi, Arpád; Smith, Darrin L; Wysocki, Vicki H; Colorado, Ramon; Lee, T Randall

    2002-10-01

    Low-energy ion-surface collisions of methyl cation at hydrocarbon and fluorocarbon self-assembled monolayer (SAM) surfaces produce extensive neutralization of CH3+. These experimental observations are reported together with the results obtained for ion-surface collisions with the molecular ions of benzene, styrene, 3-fluorobenzonitrile, 1,3,5-triazine, and ammonia on the same surfaces. For comparison, low-energy gas-phase collisions of CD3+ and 3-fluorobenzonitrile molecular ions with neutral n-butane reagent gas were conducted in a triple quadrupole (QQQ) instrument. Relevant MP2 6-31G*//MP2 6-31G* ab initio and thermochemical calculations provide further insight in the neutralization mechanisms of methyl cation. The data suggest that neutralization of methyl cation with hydrocarbon and fluorocarbon SAMs occurs by concerted chemical reactions, i.e., that neutralization of the projectile occurs not only by a direct electron transfer from the surface but also by formation of a neutral molecule. The calculations indicate that the following products can be formed by exothermic processes and without appreciable activation energy: CH4 (formal hydride ion addition) and C2H6 (formal methyl anion addition) from a hydrocarbon surface and CH3F (formal fluoride addition) from a fluorocarbon surface. The results also demonstrate that, in some cases, simple thermochemical calculations cannot be used to predict the energy profiles because relatively large activation energies can be associated with exothermic reactions, as was found for the formation of CH3CF3 (formal addition of trifluoromethyl anion).

  13. Biomimetic Cationic Nanoparticles Based on Silica: Optimizing Bilayer Deposition from Lipid Films

    Directory of Open Access Journals (Sweden)

    Rodrigo T. Ribeiro

    2017-10-01

    Full Text Available The optimization of bilayer coverage on particles is important for a variety of biomedical applications, such as drug, vaccine, and genetic material delivery. This work aims at optimizing the deposition of cationic bilayers on silica over a range of experimental conditions for the intervening medium and two different assemblies for the cationic lipid, namely, lipid films or pre-formed lipid bilayer fragments. The lipid adsorption on silica in situ over a range of added lipid concentrations was determined from elemental analysis of carbon, hydrogen, and nitrogen and related to the colloidal stability, sizing, zeta potential, and polydispersity of the silica/lipid nanoparticles. Superior bilayer deposition took place from lipid films, whereas adsorption from pre-formed bilayer fragments yielded limiting adsorption below the levels expected for bilayer adsorption.

  14. Optimization of Non-Thermal Plasma Treatment in an In Vivo Model Organism.

    Directory of Open Access Journals (Sweden)

    Amanda Lee

    Full Text Available Non-thermal plasma is increasingly being recognized for a wide range of medical and biological applications. However, the effect of non-thermal plasma on physiological functions is not well characterized in in vivo model systems. Here we use a genetically amenable, widely used model system, Drosophila melanogaster, to develop an in vivo system, and investigate the role of non-thermal plasma in blood cell differentiation. Although the blood system in Drosophila is primitive, it is an efficient system with three types of hemocytes, functioning during different developmental stages and environmental stimuli. Blood cell differentiation in Drosophila plays an essential role in tissue modeling during embryogenesis, morphogenesis and also in innate immunity. In this study, we optimized distance and frequency for a direct non-thermal plasma application, and standardized doses to treat larvae and adult flies so that there is no effect on the viability, fertility or locomotion of the organism. We discovered that at optimal distance, time and frequency, application of plasma induced blood cell differentiation in the Drosophila larval lymph gland. We articulate that the augmented differentiation could be due to an increase in the levels of reactive oxygen species (ROS upon non-thermal plasma application. Our studies open avenues to use Drosophila as a model system in plasma medicine to study various genetic disorders and biological processes where non-thermal plasma has a possible therapeutic application.

  15. Plasma Deposited Thin Iron Oxide Films as Electrocatalyst for Oxygen Reduction Reaction in Proton Exchange Membrane Fuel Cells

    Directory of Open Access Journals (Sweden)

    Lukasz JOZWIAK

    2017-02-01

    Full Text Available The possibility of using plasma deposited thin films of iron oxides as electrocatalyst for oxygen reduction reaction (ORR in proton exchange membrane fuel cells (PEMFC was examined. Results of energy-dispersive X-ray spectroscopy (EDX and X-ray photoelectron spectroscopy (XPS analysis indicated that the plasma deposit consisted mainly of FeOX structures with the X parameter close to 1.5. For as deposited material iron atoms are almost exclusively in the Fe3+ oxidation state without annealing in oxygen containing atmosphere. However, the annealing procedure can be used to remove the remains of carbon deposit from surface. The single cell test (SCT was performed to determine the suitability of the produced material for ORR. Preliminary results showed that power density of 0.23 mW/cm2 could be reached in the tested cell.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.14406

  16. Surface hardening of optic materials by deposition of diamond like carbon coatings from separated plasma of arc discharge

    Science.gov (United States)

    Osipkov, A. S.; Bashkov, V. M.; Belyaeva, A. O.; Stepanov, R.; Mironov, Y. M.; Galinovsky, A. L.

    2015-02-01

    This article considers the issue of strengthening of optic materials used in the IR spectrum by deposition of diamond like carbon coatings from separated plasma arc discharge. The report shows results of tests of bare and strengthened optical materials such as BaF2, MgF2, Si, Ge, including the testing of their strength and spectral characteristics. Results for the determination of optical constants for the DLC coatings deposited on substrates of Ge and Si, by using separated plasma, are also presented. Investigations showed that surface hardening of optical materials operable in the IR range, by the deposition of diamond like carbon coating onto their surface, according to this technology, considerably improves operational properties and preserves or improves their optic properties.

  17. Fundamental processes of fuel removal by cyclotron frequency range plasmas and integral scenario for fusion application studied with carbon co-deposits

    Energy Technology Data Exchange (ETDEWEB)

    Möller, S., E-mail: s.moeller@fz-juelich.de [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, Partner of the Trilateral Euregio Cluster (TEC), 52425 Jülich (Germany); Wauters, T. [Laboratory for Plasma Physics, ERM/KMS, TEC Partner, 1000 Brussels (Belgium); Kreter, A. [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, Partner of the Trilateral Euregio Cluster (TEC), 52425 Jülich (Germany); Petersson, P.; Carrasco, A.G. [Fusion Plasma Physics, KTH Royal Institute of Technology, Teknikringen 31, 10044 Stockholm (Sweden)

    2015-08-15

    Plasma impact removal using radio frequency heated plasmas is a candidate method to control the co-deposit related tritium inventory in fusion devices. Plasma parameters evolve according to the balance of input power to losses (transport, radiation, collisions). Material is sputtered by the ion fluxes with impact energies defined by the plasma sheath. H{sub 2}, D{sub 2} and {sup 18}O{sub 2} plasmas are produced in the carbon limiter tokamak TEXTOR. Pre-characterised a-C:D layers are exposed to study local removal rates. The D{sub 2} plasma exhibits the highest surface release rate of 5.7 ± 0.9 ∗ 10{sup 19} D/m{sup 2}s. Compared to this the rate of the O{sub 2} plasma is 3-fold smaller due to its 11-fold lower ion flux density. Re-deposition of removed carbon is observed, indicating that pumping and ionisation are limiting the removal in TEXTOR. Presented models can explain the observations and allow tailoring removal discharges. An integral application scenario using ICWC and thermo-chemical removal is presented, allowing to remove 700 g T from a-C:DT co-deposits in 20 h with fusion compatible wall conditions using technical specifications similar to ITER.

  18. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  19. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  20. Enhancement of the light-scattering ability of Ga-doped ZnO thin films using SiO{sub x} nano-films prepared by atmospheric pressure plasma deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Kow-Ming [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ho, Po-Ching, E-mail: raymondsam.ee98g@nctu.edu.tw [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ariyarit, Atthaporn [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Yang, Kuo-Hui; Hsu, Jui-Mei; Wu, Chin-Jyi; Chang, Chia-Chiang [Industrial Technology Research Institute, Mechanical and Systems Research Laboratories, Hsinchu 31040, Taiwan, ROC (China)

    2013-12-02

    To enhance the light-trapping qualities of silicon thin-film solar cells, the use of transparent conductive oxide with high haze and high conductivity is essential. This study investigated an eco-friendly technique that used bilayer Ga-doped zinc oxide/SiO{sub x} films prepared with an atmospheric pressure plasma jet to achieve high haze and low resistivity. A minimum resistivity of 6.00 × 10{sup −4} Ω·cm was achieved at 8 at.% gallium doping. Examination of X-ray diffraction spectra showed that increased film thickness led to increased carrier concentration in GZO bilayers. The optimal bilayer GZO film achieved considerably higher haze values in the visible and NIR regions, compared with Asahi U-type fluorine doped tin oxide. - Highlights: • Ga-doped ZnO (GZO) and SiO{sub x} deposited by atmospheric pressure plasma jet (APPJ) • Deposition uses a water-based precursor and low substrate temperature (< 150 °C). • SiO{sub x} buffer layers deposited by APPJ can control haze value of Ga-doped ZnO films. • GZO/SiO{sub x} achieved the resistivity of 6.00 × 10{sup −4} Ω·cm and haze of 21.5% at 550 nm.

  1. Deposition of titanium nitride on Si(1 0 0) wafers using plasma focus

    International Nuclear Information System (INIS)

    Hussain, Tousif; Ahmad, R.; Khan, I.A.; Siddiqui, Jamil; Khalid, Nida; Bhatti, Arshad Saleem; Naseem, Shahzad

    2009-01-01

    Titanium nitride thin films were deposited on Si(1 0 0) substrates by using a low energy (2.3 KJ) Mather-type plasma focus device. The composition of the deposited films was characterized by X-ray diffraction (XRD). The crystallite size has strong dependence on the numbers of focus shots. The crystallinity of TiN thin films is found to increase with increasing the number of focus shots. The effect of different number of focus shots on micro structural changes of thin films was characterized by Scanning Electron Microscope (SEM) and Atomic Force Microscope (AFM). SEM results showed net-like structure for film deposited for 15 numbers of shots, which are elongated grains of Si 3 N 4 in amorphous form embedded into TiN crystals. The average surface roughness was calculated from AFM images of the thin films. These results indicated that the average surface roughness increased for films deposited with increased number of focus shots. The least crystallite size and roughness are observed for film deposited with 25 focus shots.

  2. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  3. Mechanical stability of titanium and plasma polymer nanoclusters in nanocomposite coatings

    Energy Technology Data Exchange (ETDEWEB)

    Palesch, E. [Institute of Materials Chemistry, Brno University of Technology, Brno (Czech Republic); Marek, A. [HVM Plasma, spol. s r.o., Prague (Czech Republic); Solar, P.; Kylian, O. [Faculty of Mathematics and Physics, Charles University, Prague (Czech Republic); Vyskocil, J. [HVM Plasma, spol. s r.o., Prague (Czech Republic); Biederman, H. [Faculty of Mathematics and Physics, Charles University, Prague (Czech Republic); Cech, V., E-mail: cech@fch.vutbr.cz [Institute of Materials Chemistry, Brno University of Technology, Brno (Czech Republic)

    2013-10-01

    The mechanical stability of nanoclusters embedded in nanocomposite coatings was investigated by scratch and wear tests supported by atomic force microscopy using surface topography mode. Titanium and plasma polymer nanoclusters were deposited on planar substrates (glass, titanium) using a magnetron-based gas aggregation cluster source. The deposited clusters were overcoated with a thin titanium film of different thicknesses to stabilize the position of the clusters in the nanocomposite coating. Nanotribological measurements were carried out to optimize the thickness of the overcoating film for sufficient interfacial adhesion of the cluster/film system. - Highlights: ► Titanium and plasma polymer nanoclusters were overcoated with thin titanium film. ► The mechanical stability of nanoclusters was characterized by nanotribological tests. ► The film thickness was optimized to stabilize the position of the clusters in coating.

  4. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  5. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  6. Interface charge trapping induced flatband voltage shift during plasma-enhanced atomic layer deposition in through silicon via

    Science.gov (United States)

    Li, Yunlong; Suhard, Samuel; Van Huylenbroeck, Stefaan; Meersschaut, Johan; Van Besien, Els; Stucchi, Michele; Croes, Kristof; Beyer, Gerald; Beyne, Eric

    2017-12-01

    A Through Silicon Via (TSV) is a key component for 3D integrated circuit stacking technology, and the diameter of a TSV keeps scaling down to reduce the footprint in silicon. The TSV aspect ratio, defined as the TSV depth/diameter, tends to increase consequently. Starting from the aspect ratio of 10, to improve the TSV sidewall coverage and reduce the process thermal budget, the TSV dielectric liner deposition process has evolved from sub-atmospheric chemical vapour deposition to plasma-enhanced atomic layer deposition (PE-ALD). However, with this change, a strong negative shift in the flatband voltage is observed in the capacitance-voltage characteristic of the vertical metal-oxide-semiconductor (MOS) parasitic capacitor formed between the TSV copper metal and the p-Si substrate. And, no shift is present in planar MOS capacitors manufactured with the same PE-ALD oxide. By comparing the integration process of these two MOS capacitor structures, and by using Elastic Recoil Detection to study the elemental composition of our films, it is found that the origin of the negative flatband voltage shift is the positive charge trapping at the Si/SiO2 interface, due to the positive PE-ALD reactants confined to the narrow cavity of high aspect ratio TSVs. This interface charge trapping effect can be effectively mitigated by high temperature annealing. However, this is limited in the real process due to the high thermal budget. Further investigation on liner oxide process optimization is needed.

  7. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    Science.gov (United States)

    Dimitrakellis, P.; Kalampounias, A. G.; Spiliopoulos, N.; Amanatides, E.; Mataras, D.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-07-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  8. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    International Nuclear Information System (INIS)

    Dimitrakellis, P.; Amanatides, E.; Mataras, D.; Kalampounias, A. G.; Spiliopoulos, N.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-01-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  9. Optimizing the fabrication of carbon nanotube electrode for effective capacitive deionization via electrophoretic deposition strategy

    Directory of Open Access Journals (Sweden)

    Simeng Zhang

    2018-04-01

    Full Text Available In order to obtain superior electrode performances in capacitive deionization (CDI, the electrophoretic deposition (EPD was introduced as a novel strategy for the fabrication of carbon nanotube (CNT electrode. Preparation parameters, including the concentration of slurry components, deposition time and electric field intensity, were mainly investigated and optimized in terms of electrochemical characteristic and desalination performance of the deposited CNT electrode. The SEM image shows that the CNT material was deposited homogeneously on the current collector and a non-crack surface of the electrode was obtained. An optimal preparation condition of the deposited CNT electrode was obtained and specified as the Al (NO33 M concentration of 1.3 × 10−2 mol/L, the deposition time of 30 min and the electric field intensity of 15 V/cm. The obtained electrode performs an increasing specific mass capacitance of 33.36 F/g and specific adsorption capacity of 23.93 mg/g, which are 1.62 and 1.85 times those of the coated electrode respectively. The good performance of the deposited CNT electrode indicates the promising application of the EPD methodology in subsequent research and fabrication of the CDI electrodes for CDI process. Keywords: Carbon nanotube, Water treatment, Desalination, Capacitive deionization, Electrode fabrication, Electrophoretic deposition

  10. Vapor phase reactions in polymerization plasma for divinylsiloxane-bis-benzocyclobutene film deposition

    International Nuclear Information System (INIS)

    Kinoshita, Keizo; Nakano, Akinori; Kawahara, Jun; Kunimi, Nobutaka; Hayashi, Yoshihiro; Kiso, Osamu; Saito, Naoaki; Nakamura, Keiji; Kikkawa, Takamaro

    2006-01-01

    Vapor phase reactions in plasma polymerization of divinylsiloxane-bis-benzocyclobutene (DVS-BCB) low-k film depositions on 300 mm wafers were studied using mass spectrometry, in situ Fourier transform infrared, and a surface wave probe. Polymerization via Diels-Alder cycloaddition reaction was identified by the detection of the benzocyclohexene group. Hydrogen addition and methyl group desorption were also detected in DVS-BCB monomer and related large molecules. The dielectric constant k of plasma polymerized DVS-BCB with a plasma source power range up to 250 W was close to ∼2.7 of thermally polymerized DVS-BCB, and increased gradually over 250 W. The electron density at 250 W was about 1.5x10 10 cm -3 . The increase of the k value at higher power was explained by the decrease of both large molecular species via multistep dissociation and incorporation of silica components into the polymer. It was found that the reduction of electron density as well as precursor residence time is important for the plasma polymerization process to prevent the excess dissociation of the precursor

  11. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  12. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  13. Ion plasma deposition of oxide films with graded-stoichiometry composition: Experiment and simulation

    Science.gov (United States)

    Volpyas, V. A.; Tumarkin, A. V.; Mikhailov, A. K.; Kozyrev, A. B.; Platonov, R. A.

    2016-07-01

    A method of ion plasma deposition is proposed for obtaining thin multicomponent films with continuously graded composition in depth of the film. The desired composition-depth profile is obtained by varying the working gas pressure during deposition in the presence of an additional adsorbing screen in the drift space between a sputtered target and substrate. Efficiency of the proposed method is confirmed by Monte Carlo simulation of the deposition of thin films of Ba x Sr1- x TiO3 (BSTO) solid solution. It is demonstrated that, during sputtering of a Ba0.3Sr0.7TiO3 target, the parameter of composition stoichiometry in the growing BSTO film varies in the interval of x = 0.3-0.65 when the gas pressure is changed within 2-60 Pa.

  14. Optoelectronic properties of expanding thermal plasma deposited textured zinc oxide : effect of aluminum doping

    NARCIS (Netherlands)

    Groenen, R.; Kieft, E.R.; Linden, J.L.; Sanden, van de M.C.M.

    2006-01-01

    Aluminum-doped zinc oxide films exhibiting a rough surface morphol. are deposited on glass substrates utilizing expanding thermal plasma. Spectroscopic ellipsometry is used to evaluate optical and electronic film properties. The presence of aluminum donors in doped films is confirmed by a shift in

  15. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  16. Self-optimized metal coatings for fiber plasmonics by electroless deposition.

    Science.gov (United States)

    Bialiayeu, A; Caucheteur, C; Ahamad, N; Ianoul, A; Albert, J

    2011-09-26

    We present a novel method to prepare optimized metal coatings for infrared Surface Plasmon Resonance (SPR) sensors by electroless plating. We show that Tilted Fiber Bragg grating sensors can be used to monitor in real-time the growth of gold nano-films up to 70 nm in thickness and to stop the deposition of the gold at a thickness that maximizes the SPR (near 55 nm for sensors operating in the near infrared at wavelengths around 1550 nm). The deposited films are highly uniform around the fiber circumference and in spite of some nanoscale roughness (RMS surface roughness of 5.17 nm) the underlying gratings show high quality SPR responses in water. © 2011 Optical Society of America

  17. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  18. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  19. Properties of solid polymer electrolyte fluorocarbon film. [used in hydrogen/oxygen fuel cells

    Science.gov (United States)

    Alston, W. B.

    1973-01-01

    The ionic fluorocarbon film used as the solid polymer electrolyte in hydrogen/oxygen fuel cells was found to exhibit delamination failures. Polarized light microscopy of as-received film showed a lined region at the center of the film thickness. It is shown that these lines were not caused by incomplete saponification but probably resulted from the film extrusion process. The film lines could be removed by an annealing process. Chemical, physical, and tensile tests showed that annealing improved or sustained the water contents, spectral properties, thermo-oxidative stability, and tensile properties of the film. The resistivity of the film was significantly decreased by the annealing process.

  20. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  1. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  2. Deposition of nanocomposite Cu-TiO2 using heterogeneous colliding plasmas

    Science.gov (United States)

    Pandey, Pramod K.; Thareja, Raj K.; Singh, Ravi Pratap; Costello, John T.

    2018-03-01

    The formation of CuTiO2 nanocomposites has been observed in an experiment in which laser plasma plumes of Cu and Ti collide and stagnate in an oxygen atmosphere. The inherent advantage of this technique lies in its simplicity and flexibility where laser, target composition and geometry along with ambient atmosphere are all controllable parameters through which the stoichiometry of the deposited nanocomposites may be selected. The experiment has been performed at three oxygen ambient pressures 10-4, 10-2, 100 mbar and we observe its effect on stoichiometry, and morphology of the deposited nanocomposites. Here, we show how the stoichiometry of deposited nanocomposites can be readily controlled by changing just one parameter, namely the ambient oxygen pressure. The different peaks of photoluminescence spectra λ =390{ nm}( {E=3.18{ eV}} ) corresponding to the anatase phase of TiO2, along with the peaks at λ = 483 nm ( E = 2.56 eV) and 582 nm ( E = 2.13 eV) of deposited nanocomposites, shows the doping/blending effect on the band gaps which may potentially be of value in solar cell technology. The technique can, in principle, be extended to include nanocomposites of other materials making it potentially more widely applicable.

  3. Synthesis of aluminum nitride films by plasma immersion ion implantation-deposition using hybrid gas-metal cathodic arc gun

    International Nuclear Information System (INIS)

    Shen Liru; Fu, Ricky K.Y.; Chu, Paul K.

    2004-01-01

    Aluminum nitride (AlN) is of interest in the industry because of its excellent electronic, optical, acoustic, thermal, and mechanical properties. In this work, aluminum nitride films are deposited on silicon wafers (100) by metal plasma immersion ion implantation and deposition (PIIID) using a modified hybrid gas-metal cathodic arc plasma source and with no intentional heating to the substrate. The mixed metal and gaseous plasma is generated by feeding the gas into the arc discharge region. The deposition rate is found to mainly depend on the Al ion flux from the cathodic arc source and is only slightly affected by the N 2 flow rate. The AlN films fabricated by this method exhibit a cubic crystalline microstructure with stable and low internal stress. The surface of the AlN films is quite smooth with the surface roughness on the order of 1/2 nm as determined by atomic force microscopy, homogeneous, and continuous, and the dense granular microstructures give rise to good adhesion with the substrate. The N to Al ratio increases with the bias voltage applied to the substrates. A fairly large amount of O originating from the residual vacuum is found in the samples with low N:Al ratios, but a high bias reduces the oxygen concentration. The compositions, microstructures and crystal states of the deposited films are quite stable and remain unchanged after annealing at 800 deg. C for 1 h. Our hybrid gas-metal source cathodic arc source delivers better AlN thin films than conventional PIIID employing dual plasmas

  4. Plasma Membranes Modified by Plasma Treatment or Deposition as Solid Electrolytes for Potential Application in Solid Alkaline Fuel Cells

    Science.gov (United States)

    Reinholdt, Marc; Ilie, Alina; Roualdès, Stéphanie; Frugier, Jérémy; Schieda, Mauricio; Coutanceau, Christophe; Martemianov, Serguei; Flaud, Valérie; Beche, Eric; Durand, Jean

    2012-01-01

    In the highly competitive market of fuel cells, solid alkaline fuel cells using liquid fuel (such as cheap, non-toxic and non-valorized glycerol) and not requiring noble metal as catalyst seem quite promising. One of the main hurdles for emergence of such a technology is the development of a hydroxide-conducting membrane characterized by both high conductivity and low fuel permeability. Plasma treatments can enable to positively tune the main fuel cell membrane requirements. In this work, commercial ADP-Morgane® fluorinated polymer membranes and a new brand of cross-linked poly(aryl-ether) polymer membranes, named AMELI-32®, both containing quaternary ammonium functionalities, have been modified by argon plasma treatment or triallylamine-based plasma deposit. Under the concomitant etching/cross-linking/oxidation effects inherent to the plasma modification, transport properties (ionic exchange capacity, water uptake, ionic conductivity and fuel retention) of membranes have been improved. Consequently, using plasma modified ADP-Morgane® membrane as electrolyte in a solid alkaline fuel cell operating with glycerol as fuel has allowed increasing the maximum power density by a factor 3 when compared to the untreated membrane. PMID:24958295

  5. Plasma membranes modified by plasma treatment or deposition as solid electrolytes for potential application in solid alkaline fuel cells.

    Science.gov (United States)

    Reinholdt, Marc; Ilie, Alina; Roualdès, Stéphanie; Frugier, Jérémy; Schieda, Mauricio; Coutanceau, Christophe; Martemianov, Serguei; Flaud, Valérie; Beche, Eric; Durand, Jean

    2012-07-30

    In the highly competitive market of fuel cells, solid alkaline fuel cells using liquid fuel (such as cheap, non-toxic and non-valorized glycerol) and not requiring noble metal as catalyst seem quite promising. One of the main hurdles for emergence of such a technology is the development of a hydroxide-conducting membrane characterized by both high conductivity and low fuel permeability. Plasma treatments can enable to positively tune the main fuel cell membrane requirements. In this work, commercial ADP-Morgane® fluorinated polymer membranes and a new brand of cross-linked poly(aryl-ether) polymer membranes, named AMELI-32®, both containing quaternary ammonium functionalities, have been modified by argon plasma treatment or triallylamine-based plasma deposit. Under the concomitant etching/cross-linking/oxidation effects inherent to the plasma modification, transport properties (ionic exchange capacity, water uptake, ionic conductivity and fuel retention) of membranes have been improved. Consequently, using plasma modified ADP-Morgane® membrane as electrolyte in a solid alkaline fuel cell operating with glycerol as fuel has allowed increasing the maximum power density by a factor 3 when compared to the untreated membrane.

  6. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  7. Plasma membrane factor XIIIA transglutaminase activity regulates osteoblast matrix secretion and deposition by affecting microtubule dynamics.

    Directory of Open Access Journals (Sweden)

    Hadil F Al-Jallad

    2011-01-01

    Full Text Available Transglutaminase activity, arising potentially from transglutaminase 2 (TG2 and Factor XIIIA (FXIIIA, has been linked to osteoblast differentiation where it is required for type I collagen and fibronectin matrix deposition. In this study we have used an irreversible TG-inhibitor to 'block -and-track' enzyme(s targeted during osteoblast differentiation. We show that the irreversible TG-inhibitor is highly potent in inhibiting osteoblast differentiation and mineralization and reduces secretion of both fibronectin and type I collagen and their release from the cell surface. Tracking of the dansyl probe by Western blotting and immunofluorescence microscopy demonstrated that the inhibitor targets plasma membrane-associated FXIIIA. TG2 appears not to contribute to crosslinking activity on the osteoblast surface. Inhibition of FXIIIA with NC9 resulted in defective secretory vesicle delivery to the plasma membrane which was attributable to a disorganized microtubule network and decreased microtubule association with the plasma membrane. NC9 inhibition of FXIIIA resulted in destabilization of microtubules as assessed by cellular Glu-tubulin levels. Furthermore, NC9 blocked modification of Glu-tubulin into 150 kDa high-molecular weight Glu-tubulin form which was specifically localized to the plasma membrane. FXIIIA enzyme and its crosslinking activity were colocalized with plasma membrane-associated tubulin, and thus, it appears that FXIIIA crosslinking activity is directed towards stabilizing the interaction of microtubules with the plasma membrane. Our work provides the first mechanistic cues as to how transglutaminase activity could affect protein secretion and matrix deposition in osteoblasts and suggests a novel function for plasma membrane FXIIIA in microtubule dynamics.

  8. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  9. Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy

    NARCIS (Netherlands)

    Langereis, E.; Keijmel, J.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    The surface groups created during plasma-assisted atomic layer deposition (ALD) of Al2O3 were studied by infrared spectroscopy. For temperatures in the range of 25–150 °C, –CH3 and –OH were unveiled as dominant surface groups after the Al(CH3)3precursor and O2 plasma half-cycles, respectively. At

  10. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  11. Phase behavior of fluorocarbon and hydrocarbon double-chain hydroxylated and galactosylated amphiphiles and bolaamphiphiles. Long-term shelf-stability of their liposomes.

    Science.gov (United States)

    Clary, L; Gadras, C; Greiner, J; Rolland, J P; Santaella, C; Vierling, P; Gulik, A

    1999-06-01

    This paper describes the morphological characterization, by freeze-fracture electron microscopy, and the thermotropic phase behavior, by differential scanning calorimetry and/or X-ray scattering, of aqueous dispersions of various hydroxylated and galactosylated double-chain amphiphiles and bolaamphiphiles, several of them containing one or two hydrophobic fluorocarbon chains. Colloidal systems are observed in water with the hydroxylated hydrocarbon or fluorocarbon bolaamphiphiles only when they are dispersed with a co-amphiphile such as rac-1,2-dimyristoylphosphatidylcholine (DMPC) or rac-1,2-distearoylphosphatidylcholine (DSPC). Liposomes are formed providing the relative content of bolaamphiphiles does not exceed 20% mol. Most of these liposomes can be thermally sterilized and stored at room temperature for several months without any significant modification of their size and size distribution. The hydrocarbon galactosylated bolaamphiphile HO[C24][C12]Gal forms in water a lamellar phase (the gel to liquid-crystal phase transition is complete at 45 degrees C) and a Im3m cubic phase above 47 degrees C. The fluorocarbon HO[C24][F6C5]Gal analog displays a more complex and metastable phase behavior. The fluorinated non-bolaform galactosylated [F8C7][C16]AEGal and SerGal amphiphiles form lamellar phases in water. Low amounts (10% molar ratio) of the HO[C24][F6C5]Gal or HO[C24][C12]Gal bolaamphiphiles or of the single-headed [F8C7][C16]AEGal improve substantially the shelf-stability of reference phospholipon/cholesterol 2/1 liposomes. These liposomes when co-formulated with a single-headed amphiphile from the SerGal series are by far less stable.

  12. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  13. Optimization of plasma parameters for the production of silicon nano-crystals

    CERN Document Server

    Chaabane, N; Vach, H; Cabarrocas, P R I

    2003-01-01

    We use silane-hydrogen plasmas to synthesize silicon nano-crystals in the gas phase and thermophoresis to collect them onto a cooled substrate. To distinguish between nano-crystals formed in the plasma and those grown on the substrate, as a result of surface and subsurface reactions, we have simultaneously deposited films on a conventional substrate heated at 250 deg. C and on a second substrate cooled down to 90 deg. C. A series of samples deposited at various discharge pressures, in the range of 400 mTorr to 1.2 Torr, have been characterized by Raman spectroscopy and ellipsometry. At low pressure (400-500 mTorr), the films are amorphous on the cold substrate and micro-crystalline on the hot one. As pressure increases, gas phase reactions lead to the formation of nano-crystalline particles which are attracted by the cold substrate due to thermophoresis. Consequently, we obtain nano-crystalline silicon thin films on the cold substrate and amorphous thin films on the heated one in the pressure range of 600-900...

  14. Effect of sterilization procedures on properties of plasma polymers relevant to biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Artemenko, A. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Kylian, O., E-mail: ondrej.kylian@gmail.com [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Choukourov, A.; Gordeev, I.; Petr, M. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Vandrovcova, M. [Institute of Physiology, Academy of Sciences of the Czech Republic, Department of Growth and Differentiation of Cell Populations, Videnska 1083, 142 20, Prague 4 (Czech Republic); Polonskyi, O. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic); Bacakova, L. [Institute of Physiology, Academy of Sciences of the Czech Republic, Department of Growth and Differentiation of Cell Populations, Videnska 1083, 142 20, Prague 4 (Czech Republic); Slavinska, D.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holesovickach 2, 180 00 Prague (Czech Republic)

    2012-10-01

    This study is focused on the evaluation of resistance of plasma polymers toward common sterilization techniques, i.e. property important for possible use of such materials in biomedical applications. Three kinds of plasma polymers having different bioadhesive natures were studied: plasma polymerized poly(ethylene oxide), fluorocarbon plasma polymers, and nitrogen-rich plasma polymers. These plasma polymers were subjected to dry heat, autoclave and UV radiation treatment. Their physical, chemical and bioresponsive properties were determined by means of different techniques (ellipsometry, atomic force microscopy, wettability measurements, X-ray photoelectron spectroscopy and biological tests with osteoblast-like cells MG63). The results clearly show that properties of thin films of plasma polymers may be significantly altered by a sterilization process. Moreover, observed changes induced by selected sterilization methods were found to depend strongly on the sterilized plasma polymer. - Highlights: Black-Right-Pointing-Pointer Effect of common sterilization methods on three kinds of plasma polymers is studied. Black-Right-Pointing-Pointer Physical, chemical and bioresponsive properties of plasma polymers are analyzed. Black-Right-Pointing-Pointer Changes induced by sterilization depend strongly on type of the plasma polymer.

  15. Time-resolved diagnostics of excimer laser-generated ablation plasmas used for pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geohegan, D.B.

    1994-09-01

    Characteristics of laser plasmas used for pulsed laser deposition (PLD) of thin films are examined with four in situ diagnostic techniques: Optical emission spectroscopy, optical absorption spectroscopy, ion probe studies, and gated ICCD (intensified charge-coupled-device array) fast photography. These four techniques are complementary and permit simultaneous views of the transport of ions, excited states, ground state neutrals and ions, and hot particulates following KrF laser ablation of YBCO, BN, graphite and Si in vacuum and background gases. The implementation and advantages of the four techniques are first described in order to introduce the key features of laser plasmas for pulsed laser deposition. Aspects of the interaction of the ablation plume with background gases (i.e., thermalization, attenuation, shock formation) and the collision of the plasma plume with the substrate heater are then summarized. The techniques of fast ICCD photography and gated photon counting are then applied to investigate the temperature, velocity, and spatial distribution of hot particles generated during KrF ablation of YBCO, BN, Si and graphite. Finally, key features of fast imaging of the laser ablation of graphite into high pressure rare gases are presented in order to elucidate internal reflected shocks within the plume, redeposition of material on a surface, and formation of hot nanoparticles within the plume.

  16. Time-resolved diagnostics of excimer laser-generated ablation plasmas used for pulsed laser deposition

    International Nuclear Information System (INIS)

    Geohegan, D.B.

    1994-01-01

    Characteristics of laser plasmas used for pulsed laser deposition (PLD) of thin films are examined with four in situ diagnostic techniques: Optical emission spectroscopy, optical absorption spectroscopy, ion probe studies, and gated ICCD (intensified charge-coupled-device array) fast photography. These four techniques are complementary and permit simultaneous views of the transport of ions, excited states, ground state neutrals and ions, and hot particulates following KrF laser ablation of YBCO, BN, graphite and Si in vacuum and background gases. The implementation and advantages of the four techniques are first described in order to introduce the key features of laser plasmas for pulsed laser deposition. Aspects of the interaction of the ablation plume with background gases (i.e., thermalization, attenuation, shock formation) and the collision of the plasma plume with the substrate heater are then summarized. The techniques of fast ICCD photography and gated photon counting are then applied to investigate the temperature, velocity, and spatial distribution of hot particles generated during KrF ablation of YBCO, BN, Si and graphite. Finally, key features of fast imaging of the laser ablation of graphite into high pressure rare gases are presented in order to elucidate internal reflected shocks within the plume, redeposition of material on a surface, and formation of hot nanoparticles within the plume

  17. High throughput deposition of hydrogenated amorphous carbon coatings on rubber with expanding thermal plasma

    NARCIS (Netherlands)

    Pei, Y.T.; Eivani, A.R.; Zaharia, T.; Kazantis, A.V.; Sanden, van de M.C.M.; De Hosson, J.T.M.

    2014-01-01

    Flexible hydrogenated amorphous carbon (a-C:H) thin film coated on rubbers has shown outstanding protection of rubber seals from friction and wear. This work concentrates on the potential advances of expanding thermal plasma (ETP) process for a high throughput deposition of a-C:H thin films in

  18. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  20. Thin polymer films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Silva, Paulo A.F.; Mota, Rogerio P.; Schreiner, Wido H.; Cruz, Nilson C.

    2005-01-01

    This work describes an investigation of the properties of polymer films prepared by plasma immersion ion implantation and deposition. Films were synthesized from low pressure benzene glow discharges, biasing the samples with 25 kV negative pulses. The total energy deposited in the growing layer was varied tailoring simultaneously pulse frequency and duty cycle. The effect of the pulse characteristics on the chemical composition and mechanical properties of the films was studied by X-ray photoelectron spectroscopy (XPS) and nanoindentation, respectively. Analysis of the deconvoluted C 1s XPS peaks demonstrated that oxygen was incorporated in all the samples. The chemical modifications induced structural reorganization, characterized by chain cross-linking and unsaturation, affecting material properties. Hardness and plastic resistance parameter increased under certain bombardment conditions. An interpretation is proposed in terms of the total energy delivered to the growing layer

  1. Deposition of stable amine coating onto polycaprolactone nanofibers by low pressure cyclopropylamine plasma polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Manakhov, Anton [Plasma Technologies, CEITEC — Central European Institute of Technology, Masaryk University, Kotlářská 2, Brno 61137 (Czech Republic); Nečas, David [Plasma Technologies, CEITEC — Central European Institute of Technology, Masaryk University, Kotlářská 2, Brno 61137 (Czech Republic); Department of Physical Electronics, Faculty of Science, Masaryk University, Kotlářská 2, Brno 61137 (Czech Republic); Čechal, Jan [CEITEC — Central European Institute of Technology, Brno University of Technology, Technická 3058/10, 616 00 Brno (Czech Republic); Pavliňák, David [Department of Physical Electronics, Faculty of Science, Masaryk University, Kotlářská 2, Brno 61137 (Czech Republic); Eliáš, Marek [Plasma Technologies, CEITEC — Central European Institute of Technology, Masaryk University, Kotlářská 2, Brno 61137 (Czech Republic); Department of Physical Electronics, Faculty of Science, Masaryk University, Kotlářská 2, Brno 61137 (Czech Republic); and others

    2015-04-30

    Amine-rich films are of high interest for the bio-applications including drug delivery and tissue engineering thanks to their high reactivity allowing the formation of the covalent linkages between biomolecules and a surface. However, the bio-applications of amine-rich films require their good stability in water which is often achieved at large expenses of the amine concentration. Recently, non-toxic cyclopropylamine (CPA) has been applied for the plasma polymerization of films bearing high NH{sub x} environment combined with the moderate thickness loss (20%) after water immersion for 48 h. In this work, the amine-rich film with the NH{sub x} concentration over 7 at.% was deposited on Si substrates and polycaprolactone nanofiber meshes by using CPA plasma polymerization (pulsed mode) in a vertically oriented stainless steel reactor. The substrates were placed at the radio frequency electrode and the ion bombardment caused by direct-current self-bias was suppressed by using high pressure of 50 Pa. Analysis of samples by scanning electron microscopy did not reveal any cracks in the deposited layer formed during a sample immersion in water. Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) confirmed a slight oxidation of amine groups in water but the film still contained 5 at.% of NH{sub x} (according to the N1s XPS fitting) after the immersion. The rapid oxidation of amine groups was observed during the aging experiment carried out in air at room temperature because FTIR revealed an increase of amide peaks that increased progressively with aging time. However, this oxidation was significantly reduced if the plasma polymer was stored at − 20 °C. Since the films exhibit high amine concentration and very good water stability they have great potential for applications as biocompatible functional coatings. - Highlights: • Cyclopropylamine plasma polymers deposited on polycaprolactone nanofibers • Amine-rich films with high

  2. Deposition of stable amine coating onto polycaprolactone nanofibers by low pressure cyclopropylamine plasma polymerization

    International Nuclear Information System (INIS)

    Manakhov, Anton; Nečas, David; Čechal, Jan; Pavliňák, David; Eliáš, Marek

    2015-01-01

    Amine-rich films are of high interest for the bio-applications including drug delivery and tissue engineering thanks to their high reactivity allowing the formation of the covalent linkages between biomolecules and a surface. However, the bio-applications of amine-rich films require their good stability in water which is often achieved at large expenses of the amine concentration. Recently, non-toxic cyclopropylamine (CPA) has been applied for the plasma polymerization of films bearing high NH x environment combined with the moderate thickness loss (20%) after water immersion for 48 h. In this work, the amine-rich film with the NH x concentration over 7 at.% was deposited on Si substrates and polycaprolactone nanofiber meshes by using CPA plasma polymerization (pulsed mode) in a vertically oriented stainless steel reactor. The substrates were placed at the radio frequency electrode and the ion bombardment caused by direct-current self-bias was suppressed by using high pressure of 50 Pa. Analysis of samples by scanning electron microscopy did not reveal any cracks in the deposited layer formed during a sample immersion in water. Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) confirmed a slight oxidation of amine groups in water but the film still contained 5 at.% of NH x (according to the N1s XPS fitting) after the immersion. The rapid oxidation of amine groups was observed during the aging experiment carried out in air at room temperature because FTIR revealed an increase of amide peaks that increased progressively with aging time. However, this oxidation was significantly reduced if the plasma polymer was stored at − 20 °C. Since the films exhibit high amine concentration and very good water stability they have great potential for applications as biocompatible functional coatings. - Highlights: • Cyclopropylamine plasma polymers deposited on polycaprolactone nanofibers • Amine-rich films with high water stability

  3. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  4. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  5. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication

    International Nuclear Information System (INIS)

    Wang Langping; Huang Lei; Xie Zhiwen; Wang Xiaofeng; Tang Baoyin

    2008-01-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder

  6. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication.

    Science.gov (United States)

    Wang, Langping; Huang, Lei; Xie, Zhiwen; Wang, Xiaofeng; Tang, Baoyin

    2008-02-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder.

  7. Development of GEM detector for plasma diagnostics application: simulations addressing optimization of its performance

    Science.gov (United States)

    Chernyshova, M.; Malinowski, K.; Kowalska-Strzęciwilk, E.; Czarski, T.; Linczuk, P.; Wojeński, A.; Krawczyk, R. D.

    2017-12-01

    The advanced Soft X-ray (SXR) diagnostics setup devoted to studies of the SXR plasma emissivity is at the moment a highly relevant and important for ITER/DEMO application. Especially focusing on the energy range of tungsten emission lines, as plasma contamination by W and its transport in the plasma must be understood and monitored for W plasma-facing material. The Gas Electron Multiplier, with a spatial and energy-resolved photon detecting chamber, based SXR radiation detection system under development by our group may become such a diagnostic setup considering and solving many physical, technical and technological aspects. This work presents the results of simulations aimed to optimize a design of the detector's internal chamber and its performance. The study of the effect of electrodes alignment allowed choosing the gap distances which maximizes electron transmission and choosing the optimal magnitudes of the applied electric fields. Finally, the optimal readout structure design was identified suitable to collect a total formed charge effectively, basing on the range of the simulated electron cloud at the readout plane which was in the order of ~ 2 mm.

  8. High density gold nanoparticles immobilized on surface via plasma deposited APTES film for decomposing organic compounds in microchannels

    Science.gov (United States)

    Rao, Xi; Guyon, Cédric; Ognier, Stephanie; Da Silva, Bradley; Chu, Chenglin; Tatoulian, Michaël; Hassan, Ali Abou

    2018-05-01

    Immobilization of colloidal particles (e.g. gold nanoparticles (AuNps)) on the inner surface of micro-/nano- channels has received a great interest for catalysis. A novel catalytic ozonation setup using a gold-immobilized microchannel reactor was developed in this work. To anchor AuNps, (3-aminopropyl) triethoxysilane (APTES) with functional amine groups was deposited using plasma enhanced chemical vapor deposition (PECVD) process. The results clearly evidenced that PECVD processing exhibited relatively high efficiency for grafting amine groups and further immobilizing AuNPs. The catalytic activity of gold immobilized microchannel was evaluated by pyruvic acid ozonation. The decomposition rate calculated from High Performance Liquid Chromatography (HPLC) indicated a much better catalytic performance of gold in microchannel than that in batch. The results confirmed immobilizing gold nanoparticles on plasma deposited APTES for preparing catalytic microreactors is promising for the wastewater treatment in the future.

  9. Modeling high-density-plasma deposition of SiO{sub 2} in SiH{sub 4}/O{sub 2}/Ar

    Energy Technology Data Exchange (ETDEWEB)

    Meeks, E.; Larson, R.S. [Sandia National Labs., Livermore, CA (United States); Ho, P.; Apblett, C. [Sandia National Labs., Albuquerque, NM (United States); Han, S.M.; Edelberg, E.; Aydil, E. [Univ. of California, Santa Barbara, CA (United States)

    1997-03-01

    The authors have compiled sets of gas-phase and surface reactions for use in modeling plasma-enhanced chemical vapor deposition of silicon dioxide from silane, oxygen and argon gas mixtures in high-density-plasma reactors. They have applied the reaction mechanisms to modeling three different kinds of high-density plasma deposition chambers, and tested them by comparing model predictions to a variety of experimental measurements. The model simulates a well mixed reactor by solving global conservation equations averaged across the reactor volume. The gas-phase reaction mechanism builds from fundamental electron-impact cross section data available in the literature, and also includes neutral-molecule, ion-ion, and ion-molecule reaction paths. The surface reaction mechanism is based on insight from attenuated total-reflection Fourier-transform infrared spectroscopy experiments. This mechanism describes the adsorption of radical species on an oxide surface, ion-enhanced reactions leading to species desorption from the surface layer, radical abstractions competing for surface sites, and direct energy-dependent ion sputtering of the oxide material. Experimental measurements of total ion densities, relative radical densities as functions of plasma operating conditions, and net deposition-rate have been compared to model predictions to test and modify the chemical kinetics mechanisms. Results show good quantitative agreement between model predictions and experimental measurements.

  10. A comparative study on the direct deposition of μc-Si:H and plasma-induced recrystallization of a-Si:H: Insight into Si crystallization in a high-density plasma

    Science.gov (United States)

    Zhou, H. P.; Xu, M.; Xu, S.; Feng, Y. Y.; Xu, L. X.; Wei, D. Y.; Xiao, S. Q.

    2018-03-01

    Deep insight into the crystallization mechanism of amorphous silicon is of theoretical and technological significance for the preparation of high-quality microcrystalline/polycrystalline silicon. In this work, we intensively compare the present two plasma-involved routes, i.e., the direct deposition and recrystallization of precursor amorphous silicon (a-Si) films, to fabricate microcrystalline silicon. Both the directly deposited and recrystallized samples show multi-layered structures as revealed by electronic microscopy. High-density hydrogen plasma involved recrystallization process, which is mediated by the hydrogen diffusion into the deep region of the precursor a-Si film, displays significantly different nucleation configuration, interface properties, and crystallite shape. The underlying mechanisms are analyzed in combination with the interplay of high-density plasma and growing or treated surface.

  11. High-pressure condition of SiH{sub 4}+Ar+H{sub 2} plasma for deposition of hydrogenated nanocrystalline silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A.; Kumar, Sushil; Dixit, P.N.; Gope, Jhuma; Rauthan, C.M.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2008-10-15

    The characteristics of 13.56-MHz discharged SiH{sub 4}+Ar+H{sub 2} plasma at high pressure (2-8 Torr), used for the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films in a capacitively coupled symmetric PECVD system, has been investigated. Plasma parameters such as average electron density, sheath field and bulk field are extracted from equivalent circuit model of the plasma using outputs (current, voltage and phase) of RF V-I probe under different pressure conditions. The conditions of growth in terms of plasma parameters are correlated with properties of the hydrogenated nanocrystalline silicon films characterized by Raman, AFM and dc conductivity. The film deposited at 4 Torr of pressure, where relatively low sheath/bulk field ratio is observed, exhibits high crystallinity and conductivity. The crystalline volume fraction of the films estimated from the Raman spectra is found to vary from 23% to 79%, and the trend of variation is similar to the RF real plasma impedance data. (author)

  12. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  13. Cobalt oxide-based catalysts deposited by cold plasma for proton exchange membrane fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Kazimierski, P.; Jozwiak, L.; Sielski, J.; Tyczkowski, J., E-mail: jacek.tyczkowski@p.lodz.pl

    2015-11-02

    In proton exchange membrane fuel cells (PEMFC), both the anodic hydrogen oxidation reaction and the cathodic oxygen reduction reaction (ORR) require appropriate catalysts. So far, platinum-based catalysts are still the best option for this purpose. However, because these catalysts are too expensive for making commercially viable fuel cells, extensive research over the past decade has focused on developing noble metal-free alternative catalysts. In this paper, an approach based on cobalt oxide films fabricated by plasma-enhanced metal-organic chemical vapor deposition is presented. Such a material can be used to prepare catalysts for ORR in PEMFC. The films containing CoO{sub X} were deposited on a carbon paper thereby forming the electrode. Morphology and atomic composition of the films were investigated by scanning electron microscopy and energy-dispersive X-ray spectroscopy, respectively. The possibility of their application as the electro-catalyst for ORR in PEMFC was investigated and the electro-catalytic activities were evaluated by the electrochemical measurements and single cell tests. It was found that the fuel cell with Pt as the anode catalyst and CoO{sub X} deposit as the cathode catalyst was characterized by the open circuit voltage of 635 mV, Tafel slope of approx. 130 mV/dec and the maximum power density of 5.3 W/m{sup 2}. - Highlights: • Cobalt oxide catalyst for proton exchange membrane fuel cells was plasma deposited. • The catalyst exhibits activity for the oxygen reduction reaction. • Morphology and atomic composition of the catalyst were determined.

  14. Fluorocarbon-bonded magnetic mesoporous microspheres for the analysis of perfluorinated compounds in human serum by high-performance liquid chromatography coupled to tandem mass spectrometry

    International Nuclear Information System (INIS)

    Liu, Xiaodan; Yu, Yingjia; Li, Yan; Zhang, Haiying; Ling, Jin; Sun, Xueni; Feng, Jianan; Duan, Gengli

    2014-01-01

    Highlights: • New SPE method was developed for analysis of PFCs in human serum. • Fluorocarbon-bonded magnetic mesoporous microspheres were used as SPE absorbents. • PFCs in serum were directly extracted without any other pretreatment procedure. • The PFCs-adsorbed microspheres were simply and rapidly isolated by using a magnet. - Abstract: We report herein an extraction method for the analysis of perfluorinated compounds in human serum based on magnetic core–mesoporous shell microspheres with decyl-perfluorinated interior pore-walls (Fe 3 O 4 @mSiO 2 -F 17 ). Thanks to the unique properties of the Fe 3 O 4 @mSiO 2 -F 17 microspheres, macromolecules like proteins could be easily excluded from the mesoporous channels due to size exclusion effect, and perfluorinated compounds (PFCs) in protein-rich biosamples such as serum could thus be directly extracted with the fluorocarbon modified on the channel wall without any other pretreatment procedure. The PFCs adsorbed Fe 3 O 4 @mSiO 2 -F 17 microspheres could then be simply and rapidly isolated by using a magnet, followed by being identified and quantified by LC–MS/MS (high-performance liquid chromatography coupled to tandem mass spectrometry). Five perfluorinatedcarboxylic acids (C6, C8–C11) and perfluorooctane sulfonate (PFOS) were selected as model analytes. In order to achieve the best extraction efficiency, some important factors including the amount of Fe 3 O 4 @mSiO 2 -F 17 microspheres added, adsorption time, type of elution solvent, eluting solvent volume and elution time were investigated. The ranges of the LOD were 0.02–0.05 ng mL −1 for the six PFCs. The recovery of the optimized method varies from 83.13% to 92.42% for human serum samples

  15. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    Science.gov (United States)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  16. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  17. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  18. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  19. Optimization of synthesis conditions of PbS thin films grown by chemical bath deposition using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin; Beleli, Buse [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2015-09-05

    Highlights: • For the first time, RSM and CCD used for optimization of PbS thin film. • Tri-sodium citrate, deposition time and temperature were independent variables. • PbS thin film band gap value was 2.20 eV under the optimum conditions. • Quality of the film was improved after chemometrics optimization. - Abstract: In this study, PbS thin films were synthesized by chemical bath deposition (CBD) under different deposition parameters. Response surface methodology (RSM) was used to optimize synthesis parameters including amount of tri-sodium citrate (0.2–0.8 mL), deposition time (14–34 h) and deposition temperature (26.6–43.4 °C) for deposition of the films. 5-level-3-factor central composite design (CCD) was employed to evaluate effects of the deposition parameters on the response (optical band gap of the films). The significant level of both the main effects and the interaction are investigated by analysis of variance (ANOVA). The film structures were characterized by X-ray diffractometer (XRD). Morphological properties of the films were studied with a scanning electron microscopy (SEM). The optical properties of the films were investigated using a UV–visible spectrophotometer. The optimum amount of tri-sodium citrate, deposition time and deposition temperature were found to be 0.7 mL, 18.07 h and 30 °C respectively. Under these conditions, the experimental band gap of PbS was 2.20 eV, which is quite good correlation with value (1.98 eV) predicted by the model.

  20. Optimization of synthesis conditions of PbS thin films grown by chemical bath deposition using response surface methodology

    International Nuclear Information System (INIS)

    Yücel, Ersin; Yücel, Yasin; Beleli, Buse

    2015-01-01

    Highlights: • For the first time, RSM and CCD used for optimization of PbS thin film. • Tri-sodium citrate, deposition time and temperature were independent variables. • PbS thin film band gap value was 2.20 eV under the optimum conditions. • Quality of the film was improved after chemometrics optimization. - Abstract: In this study, PbS thin films were synthesized by chemical bath deposition (CBD) under different deposition parameters. Response surface methodology (RSM) was used to optimize synthesis parameters including amount of tri-sodium citrate (0.2–0.8 mL), deposition time (14–34 h) and deposition temperature (26.6–43.4 °C) for deposition of the films. 5-level-3-factor central composite design (CCD) was employed to evaluate effects of the deposition parameters on the response (optical band gap of the films). The significant level of both the main effects and the interaction are investigated by analysis of variance (ANOVA). The film structures were characterized by X-ray diffractometer (XRD). Morphological properties of the films were studied with a scanning electron microscopy (SEM). The optical properties of the films were investigated using a UV–visible spectrophotometer. The optimum amount of tri-sodium citrate, deposition time and deposition temperature were found to be 0.7 mL, 18.07 h and 30 °C respectively. Under these conditions, the experimental band gap of PbS was 2.20 eV, which is quite good correlation with value (1.98 eV) predicted by the model