WorldWideScience

Sample records for optical maskless lithography

  1. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  2. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  3. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    Science.gov (United States)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  4. Diffractive optical variable image devices generated by maskless interferometric lithography for optical security

    Science.gov (United States)

    Cabral, Alexandre; Rebordão, José M.

    2011-05-01

    In optical security (protection against forgery and counterfeit of products and documents) the problem is not exact reproduction but the production of something sufficiently similar to the original. Currently, Diffractive Optically Variable Image Devices (DOVID), that create dynamic chromatic effects which may be easily recognized but are difficult to reproduce, are often used to protect important products and documents. Well known examples of DOVID for security are 3D or 2D/3D holograms in identity documents and credit cards. Others are composed of shapes with different types of microstructures yielding by diffraction to chromatic dynamic effects. A maskless interferometric lithography technique to generate DOVIDs for optical security is presented and compared to traditional techniques. The approach can be considered as a self-masking focused holography on planes tilted with respect to the reference optical axes of the system, and is based on the Scheimpflug and Hinge rules. No physical masks are needed to ensure optimum exposure of the photosensitive film. The system built to demonstrate the technique relies on the digital mirrors device MOEMS technology from Texas Instruments' Digital Light Processing. The technique is linear on the number of specified colors and does not depend either on the area of the device or the number of pixels, factors that drive the complexity of dot-matrix based systems. The results confirmed the technique innovation and capabilities in the creation of diffractive optical elements for security against counterfeiting and forgery.

  5. Resolution Improvement and Pattern Generator Development for the Maskless Micro-Ion-Beam Reduction Lithography System

    International Nuclear Information System (INIS)

    Jiang, Ximan

    2006-01-01

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In order to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies

  6. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  7. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    Science.gov (United States)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  8. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  9. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  10. Demonstration of electronic pattern switching and 10x pattern demagnification in a maskless micro-ion beam reduction lithography system

    International Nuclear Information System (INIS)

    Ngo, V.V.; Akker, B.; Leung, K.N.; Noh, I.; Scott, K.L.; Wilde, S.

    2002-01-01

    A proof-of-principle ion projection lithography (IPL) system called Maskless Micro-ion beam Reduction Lithography (MMRL) has been developed and tested at the Lawrence Berkeley National Laboratory (LBNL) for future integrated circuits (ICs) manufacturing and thin film media patterning [1]. This MMRL system is aimed at completely eliminating the first stage of the conventional IPL system [2] that contains the complicated beam optics design in front of the stencil mask and the mask itself. It consists of a multicusp RF plasma generator, a multi-beamlet pattern generator, and an all-electrostatic ion optical column. Results from ion beam exposures on PMMA and Shipley UVII-HS resists using 75 keV H+ are presented in this paper. Proof-of-principle electronic pattern switching together with 10x reduction ion optics (using a pattern generator made of nine 50-(micro)m switchable apertures) has been performed and is reported in this paper. In addition, the fabrication of a micro-fabricated pattern generator [3] on an SOI membrane is also presented

  11. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  12. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  13. And There Was Light: Prospects for the Creation of Micro- and Nanostructures through Maskless Photolithography.

    Science.gov (United States)

    Rühe, J

    2017-09-26

    In photolithographic processes, the light inducing the photochemical reactions is confined to a small volume, which enables direct writing of micro- and nanoscale features onto solid surfaces without the need of a predefined photomask. The direct writing process can be used to generate topographic patterns through photopolymerization or photo-cross-linking or can be employed to use light to generate chemical patterns on the surface with high spatial control, which would make such processes attractive for bioapplications. The prospects of maskless photolithography technologies with a focus on two-photon lithography and scanning-probe-based photochemical processes based on scanning near-field optical microscopy or beam pen lithography are discussed.

  14. High-Resolution Graphene Films for Electrochemical Sensing via Inkjet Maskless Lithography.

    Science.gov (United States)

    Hondred, John A; Stromberg, Loreen R; Mosher, Curtis L; Claussen, Jonathan C

    2017-10-24

    Solution-phase printing of nanomaterial-based graphene inks are rapidly gaining interest for fabrication of flexible electronics. However, scalable manufacturing techniques for high-resolution printed graphene circuits are still lacking. Here, we report a patterning technique [i.e., inkjet maskless lithography (IML)] to form high-resolution, flexible, graphene films (line widths down to 20 μm) that significantly exceed the current inkjet printing resolution of graphene (line widths ∼60 μm). IML uses an inkjet printed polymer lacquer as a sacrificial pattern, viscous spin-coated graphene, and a subsequent graphene lift-off to pattern films without the need for prefabricated stencils, templates, or cleanroom technology (e.g., photolithography). Laser annealing is employed to increase conductivity on thermally sensitive, flexible substrates [polyethylene terephthalate (PET)]. Laser annealing and subsequent platinum nanoparticle deposition substantially increases the electroactive nature of graphene as illustrated by electrochemical hydrogen peroxide (H 2 O 2 ) sensing [rapid response (5 s), broad linear sensing range (0.1-550 μm), high sensitivity (0.21 μM/μA), and low detection limit (0.21 μM)]. Moreover, high-resolution, complex graphene circuits [i.e., interdigitated electrodes (IDE) with varying finger width and spacing] were created with IML and characterized via potassium chloride (KCl) electrochemical impedance spectroscopy (EIS). Results indicated that sensitivity directly correlates to electrode feature size as the IDE with the smallest finger width and spacing (50 and 50 μm) displayed the largest response to changes in KCl concentration (∼21 kΩ). These results indicate that the developed IML patterning technique is well-suited for rapid, solution-phase graphene film prototyping on flexible substrates for numerous applications including electrochemical sensing.

  15. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  16. Rapid biochemical functionalization of technical surfaces by means of a photobleaching-based maskless projection lithography process

    Science.gov (United States)

    Waldbaur, Ansgar; Waterkotte, Björn; Leuthold, Juerg; Schmitz, Katja; Rapp, Bastian E.

    2013-03-01

    MEMS/MOEMS based systems are increasingly applied in the biological and biomedical context, e.g. in form of biosensors or substrates for monitoring biological responses such as cell migration. For such applications, technical surfaces have to be provided with suitable biochemical functionalization. Typical functionalization procedures include wet-chemical techniques based on self-assembled monolayers of thiols on gold or silanes on glass. These processes create binary patterns and are often of limited use if spatially constrained non-binary patterns like surface bound biochemical gradients have to be provided. In order to create gradients or patterns, methods such as direct spotting or dip pen nanolithography can be used. Here, gradients can be emulated by varying the spot density or the concentration of the solutions employed. However, these methods are serial in nature and are thus of limited use if large surface areas have to be patterned. We present a technique to generate gradients of biochemical function by a photobleaching-based process allowing fast large-scale patterning. The process is based on photobleaching resulting in light-induced coupling of a fluorescently tagged biomolecule to a technical surface by concerted bleaching of the fluorophore. We custom designed a maskless projection lithography system based on a digital mirror device that allows the rapid creation of 8-bit grayscale protein patterns on any technical surface from digital data (e.g. bitmap files). We demonstrate how this process can be used to obtain patterns of several cm2 lateral size at micrometer resolution within minutes.

  17. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  18. Performance enhancement of electronic sensor through mask-less lithography

    KAUST Repository

    Nag, Anindya

    2016-03-30

    The escalating applications of miniaturized sensors have led the microelectronics industry to stay abreast with the precise micro-fabrication technologies. The following article describes a new technique for the fabrication of miniaturized interdigitated capacitive sensors that own highly sensitive and real-time detections capabilities. In standard lithographic procedure, the sensors are fabricated applying different photoresist materials that give rise to the variable characteristic profile of the fabricated product. Single crystal p-doped Silicon wafer was used as a substrate material due to its advantageous properties over Germanium. Heidelberg system was used for the maskless lithographic patterning of the new interdigital sensors on a silicon substrate. The process was carried out in a clean room in the absence of ultraviolet light at a fixed temperature. The fabricated sensors were used for inflammable gas sensing application. Electrochemical Impedance Spectroscopy was applied to read the resistive and capacitive impedance measured by the sensor. The results proclaimed that the fabricated sensors own better performance in LPG detection as compared to its commercial counterparts.

  19. Dynamic Properties of Individual Carbon Nanotube Emitters for Maskless Lithography

    National Research Council Canada - National Science Library

    Ribaya, Bryan P; Niemann, Darrell L; Makarewicz, Joseph; Gunther, Norman G; Nguyen, Cattien V; Rahman, Mahmud

    2008-01-01

    .... The individual CNT's low electron beam energy spread and high brightness values make it particularly desirable for advanced applications such as electron microscopy and electron beam lithography...

  20. A versatile diffractive maskless lithography for single-shot and serial microfabrication.

    Science.gov (United States)

    Jenness, Nathan J; Hill, Ryan T; Hucknall, Angus; Chilkoti, Ashutosh; Clark, Robert L

    2010-05-24

    We demonstrate a diffractive maskless lithographic system that is capable of rapidly performing both serial and single-shot micropatterning. Utilizing the diffractive properties of phase holograms displayed on a spatial light modulator, arbitrary intensity distributions were produced to form two and three dimensional micropatterns/structures in a variety of substrates. A straightforward graphical user interface was implemented to allow users to load templates and change patterning modes within the span of a few minutes. A minimum resolution of approximately 700 nm is demonstrated for both patterning modes, which compares favorably to the 232 nm resolution limit predicted by the Rayleigh criterion. The presented method is rapid and adaptable, allowing for the parallel fabrication of microstructures in photoresist as well as the fabrication of protein microstructures that retain functional activity.

  1. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  2. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  3. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  4. On-Demand Isolation and Manipulation of C. elegans by In Vitro Maskless Photopatterning.

    Directory of Open Access Journals (Sweden)

    C Ryan Oliver

    Full Text Available Caenorhabditis elegans (C. elegans is a model organism for understanding aging and studying animal behavior. Microfluidic assay techniques have brought widespread advances in C. elegans research; however, traditional microfluidic assays such as those based on soft lithography require time-consuming design and fabrication cycles and offer limited flexibility in changing the geometric environment during experimentation. We present a technique for maskless photopatterning of a biocompatible hydrogel on an NGM (Agar substrate, enabling dynamic manipulation of the C. elegans culture environment in vitro. Maskless photopatterning is performed using a projector-based microscope system largely built from off-the-shelf components. We demonstrate the capabilities of this technique by building micropillar arrays during C. elegans observation, by fabricating free-floating mechanisms that can be actuated by C. elegans motion, by using freehand drawing to isolate individual C. elegans in real time, and by patterning arrays of mazes for isolation and fitness testing of C. elegans populations. In vitro photopatterning enables rapid and flexible design of experiment geometry as well as real-time interaction between the researcher and the assay such as by sequential isolation of individual organisms. Future adoption of image analysis and machine learning techniques could be used to acquire large datasets and automatically adapt the assay geometry.

  5. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    Science.gov (United States)

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  6. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  7. Magnetic anisotropy in a permalloy microgrid fabricated by near-field optical lithography

    International Nuclear Information System (INIS)

    Li, S. P.; Lebib, A.; Peyrade, D.; Natali, M.; Chen, Y.; Lew, W. S.; Bland, J. A. C.

    2001-01-01

    We report the fabrication and magnetic properties of permalloy microgrids prepared by near-field optical lithography and characterized using high-sensitivity magneto-optical Kerr effect techniques. A fourfold magnetic anisotropy induced by the grid architecture is identified. [copyright] 2001 American Institute of Physics

  8. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  9. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  10. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  11. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  12. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  13. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  14. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  15. Fabrication of micro-optical components using femtosecond oscillator pulses

    Science.gov (United States)

    Rodrigues, Vanessa R. M.; Ramachandran, Hema; Chidangil, Santhosh; Mathur, Deepak

    2017-06-01

    With a penchant for integrated photonics and miniaturization, the fabrication of micron sized optical elements using precision laser pulse management is drawing attention due to the possibility of minimizing tolerances for collateral material damage. The work presented here deals with the design, fabrication and characterization of a range of diffractive optics - gratings, grids and Fresnel zone plates - on transparent and metallic samples. Their low volume, light weight, transmission bandwidth, high damage threshold and flexible design make them suited for replacing conventional refractive optical elements. Our one-step, mask-less, 3-D laser direct writing process is a green fabrication technique which is in stark contrast to currently popular Photo-lithography based micro-structuring. Our method provides scope for modifications on the surface as well as within the bulk of the material. The mechanism involved in the fabrication of these optics on transparent and thin metallic substrates differ from each other. Our studies show that both amplitude and phase versions of micro-structures were achieved successfully with performances bearing 98% accuracy vis-a-vis theoretical expectations.

  16. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  17. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  18. Subwavelength optical lithography via classical light: A possible implementation

    Science.gov (United States)

    You, Jieyu; Liao, Zeyang; Hemmer, P. R.; Zubairy, M. Suhail

    2018-04-01

    The resolution of an interferometric optical lithography system is about the half wavelength of the illumination light. We proposed a method based on Doppleron resonance to achieve a resolution beyond half wavelength [Phys. Rev. Lett. 96, 163603 (2006), 10.1103/PhysRevLett.96.163603]. Here, we analyze a possible experimental demonstration of this method in the negatively charged silicon-vacancy (SiV-) system by considering realistic experimental parameters. Our results show that quarter wavelength resolution and beyond can be achieved in this system even in room temperature without using perturbation theory.

  19. Method for the protection of extreme ultraviolet lithography optics

    Science.gov (United States)

    Grunow, Philip A.; Clift, Wayne M.; Klebanoff, Leonard E.

    2010-06-22

    A coating for the protection of optical surfaces exposed to a high energy erosive plasma. A gas that can be decomposed by the high energy plasma, such as the xenon plasma used for extreme ultraviolet lithography (EUVL), is injected into the EUVL machine. The decomposition products coat the optical surfaces with a protective coating maintained at less than about 100 .ANG. thick by periodic injections of the gas. Gases that can be used include hydrocarbon gases, particularly methane, PH.sub.3 and H.sub.2S. The use of PH.sub.3 and H.sub.2S is particularly advantageous since films of the plasma-induced decomposition products S and P cannot grow to greater than 10 .ANG. thick in a vacuum atmosphere such as found in an EUVL machine.

  20. Fabrication of quartz microcylinders by laser interference lithography for angular optical tweezers

    Science.gov (United States)

    Santybayeva, Zhanna; Meghit, Afaf; Desgarceaux, Rudy; Teissier, Roland; Pichot, Frederic; de Marin, Charles; Charlot, Benoit; Pedaci, Francesco

    2016-07-01

    The use of optical tweezers (OTs) and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level. There is a growing interest in developing simple, fast, and inexpensive protocols to produce a large number of submicron scale cylinders of quartz, a positive uniaxial birefringent crystal, to be employed for such angular measurements in OTs. Here, we show that laser interference lithography, a method well known for its simplicity, fulfills these requirements and produces quartz cylindrical particles that we successfully use to apply and measure optical torque in the piconewton nm range in an optical torque wrench.

  1. Properites of ultrathin films appropriate for optics capping layers in extreme ultraviolet lithography (EUVL)

    Energy Technology Data Exchange (ETDEWEB)

    Bajt, S; Edwards, N V; Madey, T E

    2007-06-25

    The contamination of optical surfaces by irradiation shortens optics lifetime and is one of the main concerns for optics used in conjunction with intense light sources, such as high power lasers, 3rd and 4th generation synchrotron sources or plasma sources used in extreme ultraviolet lithography (EUVL) tools. This paper focuses on properties and surface chemistry of different materials, which as thin layers, could be used as capping layers to protect and extend EUVL optics lifetime. The most promising candidates include single element materials such as ruthenium and rhodium, and oxides such as TiO{sub 2} and ZrO{sub 2}.

  2. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    Science.gov (United States)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  3. One-step Maskless Fabrication and Optical Characterization of Silicon Surfaces with Antireflective Properties and a White Color Appearance

    DEFF Research Database (Denmark)

    Sun, Ling; Feidenhans'l, Nikolaj Agentoft; Telecka, Agnieszka

    2016-01-01

    We report a simple one-step maskless fabrication of inverted pyramids on silicon wafers by reactive ion etching. The fabricated surface structures exhibit excellent anti-reflective properties: The total reflectance of the nano inverted pyramids fabricated by our method can be as low as 12% withou...... milky white color....

  4. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  5. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography

    Directory of Open Access Journals (Sweden)

    Linas Jonušauskas

    2017-01-01

    Full Text Available We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL. This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8 and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  6. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  7. Deep lithography with protons Modelling and predicting the performances of a novel fabrication technology for micro-optical components

    CERN Document Server

    Volckaerts, B; Veretennicoff, I; Thienpont, H

    2002-01-01

    We developed a simulation package that predicts 3D-dose distributions in proton irradiated poly(methylmetacrylate) samples considering primary energy transfer and scattering phenomena. In this paper, we apply this code to predict the surface flatness and maximum thickness of micro-optical and mechanical structures fabricated with deep lithography with protons (DLP). We compare these simulation results with experimental data and highlight the fundamental differences between DLP and deep X-ray lithography.

  8. Maskless patterning by pulsed-power plasma printing

    NARCIS (Netherlands)

    Huiskamp, T.; Brok, W.J.M.; Stevens, A.A.E.; Heesch, van E.J.M.; Pemen, A.J.M.

    2012-01-01

    In this paper, pulsed-power technology was applied to plasma printing, which is a maskless plasma patterning solution that is being developed for the fabrication process of printed electronics. A high-voltage pulse source was developed and applied to a high-speed plasma printer to improve the speed

  9. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  10. Black silicon maskless templates for carbon nanotube forests

    DEFF Research Database (Denmark)

    Wierzbicki, Rafal; Schmidt, Michael Stenbæk; Boisen, Anja

    2013-01-01

    allows maskless definition of carbon nanotube forests with control of their density, nanotube diameter and height. Four nanograss reactive ion etching recipes are investigated and their wafer-to-wafer repeatability, wafer uniformity, and density control is discussed. Evaluation of carbon nanotube forests...

  11. Sub-micron silicon nitride waveguide fabrication using conventional optical lithography.

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Kamyab, Lobna; Rostami, Ali; Capolino, Filippo; Boyraz, Ozdal

    2015-03-09

    We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

  12. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  13. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  14. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  15. Combined dose and geometry correction (DMG) for low energy multi electron beam lithography (5kV): application to the 16nm node

    Science.gov (United States)

    Martin, Luc; Manakli, Serdar; Bayle, Sebastien; Belledent, Jérôme; Soulan, Sebastien; Wiedemann, Pablo; Farah, Abdi; Schiavone, Patrick

    2012-03-01

    Lithography faces today many challenges to meet the ITRS road-map. 193nm is still today the only existing industrial option to address high volume production for the 22nm node. Nevertheless to achieve such a resolution, double exposure is mandatory for critical level patterning. EUV lithography is still challenged by the availability of high power source and mask defectivity and suffers from a high cost of ownership perspective. Its introduction is now not foreseen before 2015. Parallel to these mask-based technologies, maskless lithography regularly makes significant progress in terms of potential and maturity. The massively parallel e-beam solution appears as a real candidate for high volume manufacturing. Several industrial projects are under development, one in the US, with the KLA REBL project and two in Europe driven by IMS Nanofabrication (Austria; MAPPER (The Netherlands). Among the developments to be performed to secure the takeoff of the multi-beam technology, the availability of a rapid and robust data treatment solution will be one of the major challenges. Within this data preparation flow, advanced proximity effect corrections must be implemented to address the 16nm node and below. This paper will detail this process and compare correction strategies in terms of robustness and accuracy. It will be based on results obtained using a MAPPER tool within the IMAGINE program driven by CEA-LETI, in Grenoble, France. All proximity effects corrections and the dithering step were performed using the software platform Inscale® from Aselta Nanographics. One important advantage of Inscale® is the ability to combine both model based dose and geometry adjustment to accurately pattern critical features. The paper will focus on the advantage of combining those two corrections at the 16nm node instead of using only geometry corrections. Thanks to the simulation capability of Inscale®, pattern fidelity and correction robustness will be evaluated and compared between

  16. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  17. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  18. Fabrication of large area homogeneous metallic nanostructures for optical sensing using colloidal lithography

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    2010-01-01

    We propose a simple and reproducible method for fabricating large area metal films with inter-connected nanostructures using a combination of colloidal lithography, metal deposition and a template stripping technique. The method is generic in the sense that it is possible to produce a variety...... to fabricate metal films with inter-connected nanostructures consisting of either partial spherical shells or the inverted structures: spherical cavities. The substrates are characterized by optical reflectance and transmittance spectroscopy. We demonstrate, in the case of partial spherical shells...

  19. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  20. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  1. The origin of fine structure in near-field scanning optical lithography of an electroactive polymer

    International Nuclear Information System (INIS)

    Cotton, Daniel V; Belcher, Warwick J; Dastoor, Paul C; Fell, Christopher J

    2008-01-01

    Near-field scanning optical lithography (NSOL) has been used to produce arbitrary structures of the electroactive polymer polyphenylenevinylene at sizes comparable to optical wavelengths, which are of interest for integrated optical devices. The structures are characterized using AFM and SEM and exhibit interesting fine structure. The characteristic size and shape of the lithographic features and their associated fine structure have been examined in the context of the electric field distribution at the near-field scanning optical microscope tip. In particular, the Bethe-Bouwkamp model for electric field distribution at an aperture has been used in combination with a recently developed model for precursor solubility dependence on UV energy dose to predict the characteristics of lithographic features produced by NSOL. The fine structure in the lithographic features is also investigated and explained. Suggestions for the further improvement of the technique are made.

  2. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  3. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  4. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  5. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  6. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  7. Design and fabrication of multimode interference couplers based on digital micro-mirror system

    Science.gov (United States)

    Wu, Sumei; He, Xingdao; Shen, Chenbo

    2008-03-01

    Multimode interference (MMI) couplers, based on the self-imaging effect (SIE), are accepted popularly in integrated optics. According to the importance of MMI devices, in this paper, we present a novel method to design and fabricate MMI couplers. A technology of maskless lithography to make MMI couplers based on a smart digital micro-mirror device (DMD) system is proposed. A 1×4 MMI device is designed as an example, which shows the present method is efficient and cost-effective.

  8. Electrochemically induced maskless metal deposition on micropore wall.

    Science.gov (United States)

    Liu, Jie; Hébert, Clément; Pham, Pascale; Sauter-Starace, Fabien; Haguet, Vincent; Livache, Thierry; Mailley, Pascal

    2012-05-07

    By applying an external electric field across a micropore via an electrolyte, metal ions in the electrolyte can be reduced locally onto the inner wall of the micropore, which was fabricated in a silica-covered silicon membrane. This maskless metal deposition on the silica surface is a result of the pore membrane polarization in the electric field. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Controllable liquid colour-changing lenses with microfluidic channels for vision protection, camouflage and optical filtering based on soft lithography fabrication.

    Science.gov (United States)

    Zhang, Min; Li, Songjing

    2016-01-01

    In this work, liquid colour-changing lenses for vision protection, camouflage and optical filtering are developed by circulating colour liquids through microfluidic channels on the lenses manually. Soft lithography technology is applied to fabricate the silicone liquid colour-changing layers with microfluidic channels on the lenses instead of mechanical machining. To increase the hardness and abrasion resistance of the silicone colour-changing layers on the lenses, proper fabrication parameters such as 6:1 (mass ration) mixing proportion and 100 °C curing temperature for 2 h are approved for better soft lithography process of the lenses. Meanwhile, a new surface treatment for the irreversible bonding of silicone colour-changing layer with optical resin (CR39) substrate lens by using 5 % (volume ratio) 3-Aminopropyltriethoxysilane solution is proposed. Vision protection, camouflage and optical filtering functions of the lenses are investigated with different designs of the channels and multi-layer structures. Each application can not only well achieve their functional demands, but also shows the advantages of functional flexibility, rapid prototyping and good controllability compared with traditional ways. Besides optometry, some other designs and applications of the lenses are proposed for potential utility in the future.

  10. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  11. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  12. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  13. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  14. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  15. Noble-metal nanoparticles produced with colloidal lithography: fabrication, optical properties and applications

    Energy Technology Data Exchange (ETDEWEB)

    Bocchio, Noelia Laura

    2008-08-15

    In this work, metal nanoparticles produced by nanosphere lithography were studied in terms of their optical properties (in connection to their plasmon resonances), their potential application in sensing platforms - for thin layer sensing and bio-recognition events -, and for a particular case (the nanocrescents), for enhanced spectroscopy studies. The general preparation procedures introduced early in 2005 by Shumaker-Parry et al. to produce metallic nanocrescents were extended to give rise to more complex (isolated) structures, and also, by combining colloidal monolayer fabrication and plasma etching techniques, to arrays of them. The fabrication methods presented in this work were extended not only to new shapes or arrangements of particles, but included also a targeted surface tailoring of the substrates and the structures, using different thiol and silane compounds as linkers for further attachment of, i.e. polyelectrolyte layers, which allow for a controlled tailoring of their nanoenvironment. The optical properties of the nanocrescents were studied with conventional transmission spectroscopy; a simple multipole model was adapted to explain their behaviour qualitatively. In terms of applications, the results on thin film sensing using these particles show that the crescents present an interesting mode-dependent sensitivity and spatial extension. Parallel to this, the penetrations depths were modeled with two simplified schemes, obtaining good agreement with theory. The multiple modes of the particles with their characteristic decay lengths and sensitivities represent a major improvement for particle-sensing platforms compared to previous single resonance systems. The nanocrescents were also used to alter the emission properties of fluorophores placed close to them. In this work, green emitting dyes were placed at controlled distances from the structures and excited using a pulsed laser emitting in the near infrared. The fluorescence signal obtained in this

  16. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  17. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  18. Optical manipulation of photonic defect-modes in cholesteric liquid crystals induced by direct laser-lithography

    International Nuclear Information System (INIS)

    Yoshida, Hiroyuki; Lee, Chee Heng; Miura, Yusuke; Fujii, Akihiko; Ozaki, Masanori

    2008-01-01

    Manipulation of photonic defect-modes in cholesteric liquid crystals (ChLCs), which are one-dimensional pseudo photonic band-gap materials have been demonstrated by an external optical field. A structural defect in which the pitch length of the ChLC in the bulk and the defect are different was introduced by inducing local polymerization in a photo-polymerizable ChLC material by a direct laser-lithography process, and infiltrating a different ChLC material as the defect medium. When an azobenzene dye-doped ChLC was infiltrated in the defect, the trans-cis isomerization of the dye upon ultraviolet (UV) exposure caused the pitch to shorten, changing the contrast in the pitch lengths at the bulk and the defect, leading to a consequent shifting of the defect-mode. The all-optical manipulation was reversible and had high reproducibility

  19. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  20. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  1. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  2. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  3. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    Directory of Open Access Journals (Sweden)

    Apratim Majumder

    2016-03-01

    Full Text Available Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL, a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed a finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.

  4. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    Energy Technology Data Exchange (ETDEWEB)

    Majumder, Apratim; Helms, Phillip L.; Menon, Rajesh, E-mail: rmenon@eng.utah.edu [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2016-03-15

    Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL), a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed a finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF) of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.

  5. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  6. Advances in maskless and mask-based optical lithography on plastic flexible substrates

    NARCIS (Netherlands)

    Barbu, I.; Ivan, M.G.; Giesen, P.; Moosdijk, M. van de; Meinders, E.R.

    2009-01-01

    Organic flexible electronics is an emerging technology with huge potential growth in the future which is likely to open up a complete new series of potential applications such as flexible OLED-based displays, urban commercial signage, and flexible electronic paper. The transistor is the fundamental

  7. Multi-dimensional microanalysis of masklessly implanted atoms using focused heavy ion beam

    International Nuclear Information System (INIS)

    Mokuno, Yoshiaki; Iiorino, Yuji; Chayahara, Akiyoshi; Kiuchi, Masato; Fujii, Kanenaga; Satou, Mamoru

    1992-01-01

    Multi-dimensional structure fabricated by maskless MeV gold implantation in silicon wafer was analyzed by 3 MeV carbon ion microprobe using a microbeam line developed at GIRIO. The minimum line width of the implanted region was estimated to be about 5 μm. The advantages of heavy ions for microanalysis were demonstrated. (author)

  8. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  9. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  10. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  11. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  12. Si-nanowire-based multistage delayed Mach-Zehnder interferometer optical MUX/DeMUX fabricated by an ArF-immersion lithography process on a 300 mm SOI wafer.

    Science.gov (United States)

    Jeong, Seok-Hwan; Shimura, Daisuke; Simoyama, Takasi; Horikawa, Tsuyoshi; Tanaka, Yu; Morito, Ken

    2014-07-01

    We report good phase controllability and high production yield in Si-nanowire-based multistage delayed Mach-Zehnder interferometer-type optical multiplexers/demultiplexers (MUX/DeMUX) fabricated by an ArF-immersion lithography process on a 300 mm silicon-on-insulator (SOI) wafer. Three kinds of devices fabricated in this work exhibit clear 1×4 Ch wavelength filtering operations for various optical frequency spacing. These results are promising for their applications in high-density wavelength division multiplexing-based optical interconnects.

  13. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  14. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  15. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  16. Advanced in-situ electron-beam lithography for deterministic nanophotonic device processing

    Energy Technology Data Exchange (ETDEWEB)

    Kaganskiy, Arsenty; Gschrey, Manuel; Schlehahn, Alexander; Schmidt, Ronny; Schulze, Jan-Hindrik; Heindel, Tobias; Rodt, Sven, E-mail: srodt@physik.tu-berlin.de; Reitzenstein, Stephan [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Strittmatter, André [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Otto-von-Guericke Universität Magdeburg, Universitätsplatz 2, D-39106 Magdeburg (Germany)

    2015-07-15

    We report on an advanced in-situ electron-beam lithography technique based on high-resolution cathodoluminescence (CL) spectroscopy at low temperatures. The technique has been developed for the deterministic fabrication and quantitative evaluation of nanophotonic structures. It is of particular interest for the realization and optimization of non-classical light sources which require the pre-selection of single quantum dots (QDs) with very specific emission features. The two-step electron-beam lithography process comprises (a) the detailed optical study and selection of target QDs by means of CL-spectroscopy and (b) the precise retrieval of the locations and integration of target QDs into lithographically defined nanostructures. Our technology platform allows for a detailed pre-process determination of important optical and quantum optical properties of the QDs, such as the emission energies of excitonic complexes, the excitonic fine-structure splitting, the carrier dynamics, and the quantum nature of emission. In addition, it enables a direct and precise comparison of the optical properties of a single QD before and after integration which is very beneficial for the quantitative evaluation of cavity-enhanced quantum devices.

  17. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  18. Fabrication of micro- and nano-structured materials using mask-less processes

    International Nuclear Information System (INIS)

    Roy, Sudipta

    2007-01-01

    Micro- and nano-scale devices are used in electronics, micro-electro- mechanical, bio-analytical and medical components. An essential step for the fabrication of such small scale devices is photolithography. Photolithography requires a master mask to transfer micrometre or sub-micrometre scale patterns onto a substrate. The requirement of a physical, rigid mask can impede progress in applications which require rapid prototyping, flexible substrates, multiple alignment and 3D fabrication. Alternative technologies, which do not require the use of a physical mask, are suitable for these applications. In this paper mask-less methods of micro- and nano-scale fabrication have been discussed. The most common technique, which is the laser direct imaging (LDI), technique has been applied to fabricate micrometre scale structures on printed circuit boards, glass and epoxy. LDI can be combined with chemical methods to deposit metals, inorganic materials as well as some organic entities at the micrometre scale. Inkjet technology can be used to fabricate micrometre patterns of etch resists, organic transistors as well as arrays for bioanalysis. Electrohydrodynamic atomisation is used to fabricate micrometre scale ceramic features. Electrochemical methodologies offer a variety of technical solutions for micro- and nano-fabrication owing to the fact that electron charge transfer can be constrained to a solid-liquid interface. Electrochemical printing is an adaptation of inkjet printing which can be used for rapid prototyping of metallic circuits. Micro-machining using nano-second voltage pulses have been used to fabricate high precision features on metals and semiconductors. Optimisation of reactor, electrochemistry and fluid flow (EnFACE) has also been employed to transfer micrometre scale patterns on a copper substrate. Nano-scale features have been fabricated by using specialised tools such as scanning tunnelling microscopy, atomic force microscopy and focused ion beam. The

  19. Fabrication and Characterization of Three Dimensional Photonic Crystals Generated by Multibeam Interference Lithography

    Science.gov (United States)

    Chen, Ying-Chieh

    2009-01-01

    Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…

  20. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    interference pattern whose lattice is modified by a custom designed Talbot mask. In other words, this method enables filling the arbitrary Talbot cell with ultra-fine interference nanofeatures. Detailed optics modeling, system design and experiment results using He-Ne laser and table top EUV laser are included. The last part of chapter IV will analyze its exclusive advantages over traditional Talbot or interference lithography.

  1. Reverse-absorbance-modulation-optical lithography for optical nanopatterning at low light levels

    Energy Technology Data Exchange (ETDEWEB)

    Majumder, Apratim, E-mail: apratim.majumder@utah.edu; Wan, Xiaowen; Masid, Farhana; Menon, Rajesh [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Pollock, Benjamin J.; Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Soppera, Olivier [Mulhouse Institute for Material Sciences, CNRS LRC 7228, BP2488, Mulhouse 68200 (France)

    2016-06-15

    Absorbance-Modulation-Optical Lithography (AMOL) has been previously demonstrated to be able to confine light to deep sub-wavelength dimensions and thereby, enable patterning of features beyond the diffraction limit. In AMOL, a thin photochromic layer that converts between two states via light exposure is placed on top of the photoresist layer. The long wavelength photons render the photochromic layer opaque, while the short-wavelength photons render it transparent. By simultaneously illuminating a ring-shaped spot at the long wavelength and a round spot at the short wavelength, the photochromic layer transmits only a highly confined beam at the short wavelength, which then exposes the underlying photoresist. Many photochromic molecules suffer from a giant mismatch in quantum yields for the opposing reactions such that the reaction initiated by the absorption of the short-wavelength photon is orders of magnitude more efficient than that initiated by the absorption of the long-wavelength photon. As a result, large intensities in the ring-shaped spot are required for deep sub-wavelength nanopatterning. In this article, we overcome this problem by using the long-wavelength photons to expose the photoresist, and the short-wavelength photons to confine the “exposing” beam. Thereby, we demonstrate the patterning of features as thin as λ/4.7 (137 nm for λ = 647 nm) using extremely low intensities (4-30 W/m{sup 2}, which is 34 times lower than that required in conventional AMOL). We further apply a rigorous model to explain our experiments and discuss the scope of the reverse-AMOL process.

  2. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  3. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  4. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  5. Recent advances in X-ray lithography

    International Nuclear Information System (INIS)

    Cerrina, F.

    1992-01-01

    We report some significant developments in the area of X-ray technology, in the area of the modeling of image formation, in distortion control and in mask replication. Early simple models have been replaced by complete optical calculations based on physical optics and including all relevant factors. These models provide good agreement with the available experimental results. In the area of mask distortions, the use of finite element analysis models has clarified the roles played by the various sources of stress and explained in greater detail the origin of temperature changes. These progress have paved the way to the optimization of the exposure system and to the achievement of the large exposure latitude potential of X-ray lithography. (author)

  6. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  7. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  8. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  9. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  10. Structural and optical properties of WO{sub 3} sputtered thin films nanostructured by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Castro-Hurtado, I., E-mail: ichurtado@ceit.es [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Tavera, T.; Yurrita, P.; Pérez, N. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Rodriguez, A. [CIC microGUNE Goiru kalea 9, Polo de Innovación Garaia, 20500 Arrasate-Mondragón (Spain); Mandayo, G.G.; Castaño, E. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain)

    2013-07-01

    A study of the influence of annealing temperature on the structural, morphological and optical properties of WO{sub 3} thin films is presented. The coatings are deposited by RF reactive magnetron sputtering and characterized by XRD analysis and FESEM. The XRD diagrams of the samples show a phase transition from tetragonal to monoclinic when the annealing temperature is raised from 800 to 900 °C. Moreover, the increase of the annealing temperature to 800 °C favors the presence of a granular structure on the surface of the film. A decrease in the optical energy band gap (3.65–3.5 eV and 3.5–3.05 eV for direct and indirect transitions respectively) with annealing temperature has been measured employing Tauc's relation. Furthermore, WO{sub 3} thin films are processed by laser interference lithography (LIL) and periodic nanostructures are obtained. The processed films are characterized by a hexagonal symmetry with a period of 340 nm and the diameter of the nanostructured holes of 150 nm. These films show improved morphological properties of interest in several applications (gas sensors, photonic crystals, etc.) independent of the annealing temperature.

  11. Optically resilient 3D micro-optics on the tips of optical fibers

    Science.gov (United States)

    Jonušauskas, Linas

    2017-05-01

    In this paper we present a study aimed at investigating an optical resiliency of polymers that could be applied in 3D femtosecond laser lithography. These include popular in lithography SU8 and OrmoClear as well as hybrid organic-inorganic zirconium containing SZ2080. We show that latter material in its pure (non-photosensitized) form has the best optical resiliency out of all tested materials. Furthermore, its 3D structurability is investigated. Despite threshold-like quality degradation outside fabrication window, we show that this material is suitable for creating complex 3D structures on the tips of optical fibers. Overall it is demonstrated, that unique capability of 3DLL to structure pure materials can lead to very compact functional fiber-based devices that could withstand high (GW/cm2) light intensities.

  12. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  13. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  14. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  15. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  16. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  17. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  18. Business dynamics of lithography at very low k1 factors

    Science.gov (United States)

    Harrell, Sam; Preil, Moshe E.

    1999-07-01

    Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.

  19. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  20. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    CERN Document Server

    Pagani, C; Schneidmiller, E A; Yurkov, M V

    2001-01-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andr...

  1. Masks for high aspect ratio x-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.; Jackson, K.H.; Bonivert, W.D.; Hruby, J.

    1997-01-01

    Fabrication of very high aspect ratio microstructures, as well as ultra-high precision manufacturing is of increasing interest in a multitude of applications. Fields as diverse as micromechanics, robotics, integrated optics, and sensors benefit from this technology. The scale-length of this spatial regime is between what can be achieved using classical machine tool operations and that which is used in microelectronics. This requires new manufacturing techniques, such as the LIGA process, which combines x-ray lithography, electroforming, and plastic molding

  2. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  3. Closed-looped in situ nano processing on a culturing cell using an inverted electron beam lithography system

    International Nuclear Information System (INIS)

    Hoshino, Takayuki; Mabuchi, Kunihiko

    2013-01-01

    Highlights: ► An electron beam lithography (EBL) was used as an in situ nano processing for a living cell. ► A synchronized optics was containing an inverted EBL and an optical microscope. ► This system visualized real-time images of the EB-induced nano processing. ► We demonstrated the nano processing for a culturing cell with 200–300 nm resolution. ► Our system would be able to provide high resolution display of virtual environments. -- Abstract: The beam profile of an electron beam (EB) can be focused onto less than a nanometer spot and scanned over a wide field with extremely high speed sweeping. Thus, EB is employed for nano scale lithography in applied physics research studies and in fabrication of semiconductors. We applied a scanning EB as a control system for a living cell membrane which is representative of large scale complex systems containing nanometer size components. First, we designed the opposed co-axial dual optics containing inverted electron beam lithography (I-EBL) system and a fluorescent optical microscope. This system could provide in situ nano processing for a culturing living cell on a 100-nm-thick SiN nanomembrane, which was placed between the I-EBL and the fluorescent optical microscope. Then we demonstrated the EB-induced chemical direct nano processing for a culturing cell with hundreds of nanometer resolution and visualized real-time images of the scanning spot of the EB-induced luminescent emission and chemical processing using a high sensitive camera mounted on the optical microscope. We concluded that our closed-loop in situ nano processing would be able to provide a nanometer resolution display of virtual molecule environments to study functional changes of bio-molecule systems

  4. Flexible fabrication of biomimetic compound eye array via two-step thermal reflow of simply pre-modeled hierarchic microstructures

    Science.gov (United States)

    Huang, Shengzhou; Li, Mujun; Shen, Lianguan; Qiu, Jinfeng; Zhou, Youquan

    2017-06-01

    A flexible fabrication method for the biomimetic compound eye (BCE) array is proposed. In this method, a triple-layer sandwich-like coating configuration was introduced, and the required hierarchic microstructures are formed with a simple single-scan exposure in maskless digital lithography. Taking advantage of the difference of glass transition point (Tg) between photoresists of each layer, the pre-formed hierarchic microstructures are in turn reflowed to the curved substrate and the BCE ommatidia in a two-step thermal reflow process. To avoid affecting the spherical substrate formed in the first thermal reflow, a non-contact strategy was proposed in the second reflow process. The measurement results were in good agreement with the designed BCE profiles. Results also showed that the fabricated BCE had good performances in optical test. The presented method is flexible, convenient, low-cost and can easily adapt to the fabrications of other optical elements with hierarchic microstructures.

  5. Optics and multilayer coatings for EUVL systems

    Energy Technology Data Exchange (ETDEWEB)

    Soufli, R; Bajt, S; Hudyma, R M; Taylor, J S

    2008-03-21

    EUV lithography (EUVL) employs illumination wavelengths around 13.5 nm, and in many aspects it is considered an extension of optical lithography, which is used for the high-volume manufacturing (HVM) of today's microprocessors. The EUV wavelength of illumination dictates the use of reflective optical elements (mirrors) as opposed to the refractive lenses used in conventional lithographic systems. Thus, EUVL tools are based on all-reflective concepts: they use multilayer (ML) coated optics for their illumination and projection systems, and they have a ML-coated reflective mask.

  6. Development of a Mechanically Mediated RF to Optical Transducer

    Science.gov (United States)

    2017-05-22

    substantial amount of time. Optical lithography, on the other hand, exposes the entire pattern of a design at once using a high-intensity UV lamp . A glass...critical dimension,” of an optical lithography-based design must typically be on the order of 0.5 microns, approximately one wavelength of UV light...Zygo optical profilometer. Although this final technique led to the repair of one device, fabrication of a new sample was pursued as an alternative

  7. Driving imaging and overlay performance to the limits with advanced lithography optimization

    Science.gov (United States)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  8. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography.

    Science.gov (United States)

    Alayo, Nerea; Conde-Rubio, Ana; Bausells, Joan; Borrisé, Xavier; Labarta, Amilcar; Batlle, Xavier; Pérez-Murano, Francesc

    2015-11-06

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition.

  9. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography

    International Nuclear Information System (INIS)

    Alayo, Nerea; Bausells, Joan; Pérez-Murano, Francesc; Conde-Rubio, Ana; Labarta, Amilcar; Batlle, Xavier; Borrisé, Xavier

    2015-01-01

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition. (paper)

  10. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  11. Fabrication of hexagonal star-shaped and ring-shaped patterns arrays by Mie resonance sphere-lens-lithography

    Science.gov (United States)

    Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui

    2018-05-01

    Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.

  12. Pixelgram: an application of electron-beam lithography for the security printing industry

    Science.gov (United States)

    Lee, Robert A.

    1991-10-01

    Following the development of the Catpix I diffraction gratings structure first used on the 1988 Australian plastic DLR10 banknote and more recently on the Singapore plastic DLR50 banknote, the CSIRO Australia, Division of Materials Science & Technology has developed a new optical security and anti-counterfeiting technology known as Pixelgram (or Catpix 2). The Pixelgram, which is subject to patent, is an optically variable device based on a computerized procedure for producing an optically variable version of any given input picture, e.g., a photograph. When a Pixelgram is observed under a given source, such as a fluorescent tube, the image of the original input picture appears at particular angles of view. At other angles, the image varies in both contrast and brightness and can even appear as the photographic negative of the original input picture at some angles of view. As well as its ability to generate optically variable text and graphical images, Pixelgram has the unique capability of being able to display easily recognizable small scale optically variable images of the human face of near photographic clarity. Pixelgram optical security device master plates are produced by a technique borrowed from the microelectronics industry and known as electron beam lithography. In this technique, millions of microscopic grooves are written individually by a finely focused electron beam scanning across a glass plate coated with an electron sensitive material. On a typical Pixelgram there are approximately 2,000 million individual polygons etched into the plate by the electron beam. This corresponds to more than 10,000 megabytes of binary data. The only known electron beam lithography systems that have been able to write such large data files with the required precision are the Cambridge Instruments EBMF 10.5 and EBML 300 electron beam systems.

  13. Aging effect of AlF3 coatings for 193 nm lithography

    Science.gov (United States)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  14. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    Science.gov (United States)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  15. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  16. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  17. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  18. Patterning via optical-saturable transformations: A review and simple simulation model

    Energy Technology Data Exchange (ETDEWEB)

    Cantu, Precious; Menon, Rajesh, E-mail: cantu@eng.utah.edu [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-11-10

    Most of the nanoscale fabrication in the semiconductor industry is based on patterning with scanning-electron beam lithography (SEBL). Although this approach is very versatile and has very high resolution, it is intrinsically a serial writing process, and therefore, relatively slow. Our group has been investigating alternative nano-fabrication techniques, adapted from ideas of saturating optical transitions such as those used in stimulated emission-depletion microscopy and related methods, and optical interference lithography. Linewidths and resolutions on the scale of a few tens of nanometers and below are highly desirable for various applications in nanotechnology. However, the spatial resolution of optical lithography is restricted by diffraction. In the past, we developed absorbance modulation to overcome this limit. This approach utilizes photochromic molecules that can be optically switched between two thermally stable states, one opaque and the other transparent. However, absorbance modulation is limited to surface (2-D) patterning. Here, we report on an alternative approach that exploits unique combinations of spectrally selective reversible and irreversible photochemical transitions to achieve deep subwavelength resolution with potential extension to 3-dimensions. This approach, which we refer to as patterning via optical-saturable transformations have the potential for massive parallelism, enabling the creation of nanostructures and devices at a speed far surpassing what is possible with SEBL. The aim of our research is to translate the success in circumventing Abbe's diffraction limit in optical microscopy to optical lithography.

  19. Patterning via optical-saturable transformations: A review and simple simulation model

    International Nuclear Information System (INIS)

    Cantu, Precious; Menon, Rajesh; Andrew, Trisha L.

    2014-01-01

    Most of the nanoscale fabrication in the semiconductor industry is based on patterning with scanning-electron beam lithography (SEBL). Although this approach is very versatile and has very high resolution, it is intrinsically a serial writing process, and therefore, relatively slow. Our group has been investigating alternative nano-fabrication techniques, adapted from ideas of saturating optical transitions such as those used in stimulated emission-depletion microscopy and related methods, and optical interference lithography. Linewidths and resolutions on the scale of a few tens of nanometers and below are highly desirable for various applications in nanotechnology. However, the spatial resolution of optical lithography is restricted by diffraction. In the past, we developed absorbance modulation to overcome this limit. This approach utilizes photochromic molecules that can be optically switched between two thermally stable states, one opaque and the other transparent. However, absorbance modulation is limited to surface (2-D) patterning. Here, we report on an alternative approach that exploits unique combinations of spectrally selective reversible and irreversible photochemical transitions to achieve deep subwavelength resolution with potential extension to 3-dimensions. This approach, which we refer to as patterning via optical-saturable transformations have the potential for massive parallelism, enabling the creation of nanostructures and devices at a speed far surpassing what is possible with SEBL. The aim of our research is to translate the success in circumventing Abbe's diffraction limit in optical microscopy to optical lithography

  20. Uniformity of LED light illumination in application to direct imaging lithography

    Science.gov (United States)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  1. Moth eye-inspired anti-reflective surfaces for improved IR optical systems & visible LEDs fabricated with colloidal lithography and etching.

    Science.gov (United States)

    Chan, Lesley W; Morse, Daniel E; Gordon, Michael J

    2018-05-08

    Near- and sub-wavelength photonic structures are used by numerous organisms (e.g. insects, cephalopods, fish, birds) to create vivid and often dynamically-tunable colors, as well as create, manipulate, or capture light for vision, communication, crypsis, photosynthesis, and defense. This review introduces the physics of moth eye (ME)-like, biomimetic nanostructures and discusses their application to reduce optical losses and improve efficiency of various optoelectronic devices, including photodetectors, photovoltaics, imagers, and light emitting diodes. Light-matter interactions at structured and heterogeneous surfaces over different length scales are discussed, as are the various methods used to create ME-inspired surfaces. Special interest is placed on a simple, scalable, and tunable method, namely colloidal lithography with plasma dry etching, to fabricate ME-inspired nanostructures in a vast suite of materials. Anti-reflective surfaces and coatings for IR devices and enhancing light extraction from visible light emitting diodes are highlighted.

  2. Photoinhibition superresolution lithography

    Science.gov (United States)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  3. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  4. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  5. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    Science.gov (United States)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  6. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  7. Nanocapillary Atmospheric Pressure Plasma Jet: A Tool for Ultrafine Maskless Surface Modification at Atmospheric Pressure.

    Science.gov (United States)

    Motrescu, Iuliana; Nagatsu, Masaaki

    2016-05-18

    With respect to microsized surface functionalization techniques we proposed the use of a maskless, versatile, simple tool, represented by a nano- or microcapillary atmospheric pressure plasma jet for producing microsized controlled etching, chemical vapor deposition, and chemical modification patterns on polymeric surfaces. In this work we show the possibility of size-controlled surface amination, and we discuss it as a function of different processing parameters. Moreover, we prove the successful connection of labeled sugar chains on the functionalized microscale patterns, indicating the possibility to use ultrafine capillary atmospheric pressure plasma jets as versatile tools for biosensing, tissue engineering, and related biomedical applications.

  8. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  9. Characterization of Bragg gratings in Al2O3 waveguides fabricated by focused ion beam milling and laser interference lithography

    NARCIS (Netherlands)

    Ay, F.; Bernhardi, Edward; Agazzi, L.; Bradley, J.; Worhoff, Kerstin; Pollnau, Markus; de Ridder, R.M.

    Optical grating cavities in Al2O3 channel waveguides were successfully defined by focused ion beam milling and laser interference lithography. Both methods are shown to be suitable for realizing resonant structures for on-chip waveguide lasers.

  10. Nanoimprint Lithography on curved surfaces prepared by fused deposition modelling

    International Nuclear Information System (INIS)

    Köpplmayr, Thomas; Häusler, Lukas; Bergmair, Iris; Mühlberger, Michael

    2015-01-01

    Fused deposition modelling (FDM) is an additive manufacturing technology commonly used for modelling, prototyping and production applications. The achievable surface roughness is one of its most limiting aspects. It is however of great interest to create well-defined (nanosized) patterns on the surface for functional applications such as optical effects, electronics or bio-medical devices. We used UV-curable polymers of different viscosities and flexible stamps made of poly(dimethylsiloxane) (PDMS) to perform Nanoimprint Lithography (NIL) on FDM-printed curved parts. Substrates with different roughness and curvature were prepared using a commercially available 3D printer. The nanoimprint results were characterized by optical light microscopy, profilometry and atomic force microscopy (AFM). Our experiments show promising results in creating well-defined microstructures on the 3D-printed parts. (paper)

  11. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    Science.gov (United States)

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  12. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    Directory of Open Access Journals (Sweden)

    Cian Cummins

    2017-09-01

    Full Text Available The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP feature patterning. An elegant route is demonstrated using directed self-assembly (DSA of BCPs for the fabrication of aligned tungsten trioxide (WO3 nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL silsesquioxane (SSQ-based trenches were utilized in order to align a cylinder forming poly(styrene-block-poly(4-vinylpyridine (PS-b-P4VP BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  13. Fabrication of Periodic Gold Nanocup Arrays Using Colloidal Lithography

    Energy Technology Data Exchange (ETDEWEB)

    DeVetter, Brent M.; Bernacki, Bruce E.; Bennett, Wendy D.; Schemer-Kohrn, Alan; Alvine, Kyle J.

    2017-01-01

    Within recent years, the field of plasmonics has exploded as researchers have demonstrated exciting applications related to chemical and optical sensing in combination with new nanofabrication techniques. A plasmon is a quantum of charge density oscillation that lends nanoscale metals such as gold and silver unique optical properties. In particular, gold and silver nanoparticles exhibit localized surface plasmon resonances—collective charge density oscillations on the surface of the nanoparticle—in the visible spectrum. Here, we focus on the fabrication of periodic arrays of anisotropic plasmonic nanostructures. These half-shell (or nanocup) structures can exhibit additional unique light-bending and polarization dependent optical properties that simple isotropic nanostructures cannot. Researchers are interested in the fabrication of periodic arrays of nanocups for a wide variety of applications such as low-cost optical devices, surface-enhanced Raman scattering, and tamper indication. We present a scalable technique based on colloidal lithography in which it is possible to easily fabricate large periodic arrays of nanocups using spin-coating and self-assembled commercially available polymeric nanospheres. Electron microscopy and optical spectroscopy from the visible to near-IR was performed to confirm successful nanocup fabrication. We conclude with a demonstration of the transfer of nanocups to a flexible, conformal adhesive film.

  14. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  15. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  16. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    Science.gov (United States)

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  17. Center for X-Ray Optics, 1992

    International Nuclear Information System (INIS)

    1993-08-01

    This report discusses the following topics: Center for X-Ray Optics; Soft X-Ray Imaging wit Zone Plate Lenses; Biological X-Ray microscopy; Extreme Ultraviolet Lithography for Nanoelectronic Pattern Transfer; Multilayer Reflective Optics; EUV/Soft X-ray Reflectometer; Photoemission Microscopy with Reflective Optics; Spectroscopy with Soft X-Rays; Hard X-Ray Microprobe; Coronary Angiography; and Atomic Scattering Factors

  18. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  19. All-fiber maskless lithographic technology to form microcircular interference pattern on Azo polymer film

    Science.gov (United States)

    Kim, Junki; Jung, Yongmin; Oh, Kyunghwan; Chun, Chaemin; Hong, Jeachul; Kim, Dongyu

    2005-03-01

    We report a novel all-fiber, maskless lithograpic technology to form various concentric grating patterns for micro zone plate on azo polymer film. The proposed technology is based on the interference pattern out of the cleaved end of a coreless silica fiber (CSF)-single mode fiber (SMF) composite. The light guided along SMF expands into the CSF segment to generate various circular interference patterns depending on the length of CSF. Interference patterns are experimentally observed when the CSF length is over a certain length and the finer spacing between the concentric rings are obtained for a longer CSF. By using beam propagation method (BPM) package, we could further investigated the concentric interference patterns in terms of intensity distribution and fringe spacing as a function of CSF length. These intereference patterns are directly projected over azo polymer film and their intensity distrubution formed surface relief grating (SRG) patterns. Compared to photoresist films azo polymer layers produce surface relief grating (SRG), where the actual mass of layer is modulated rather than refractive index. The geometric parameters of the CSF length as well as diameter and the spacing between the cleaved end of a CSF and azo polymer film, were found to play a major role to generate various concentric structures. With the demonstration of the circular SRG patterns, we confirmed that the proposed technique do have an ample potential to fabricate micro fresnel zone plate, that could find applications in lens arrays for optical beam formings as well as compact photonic devices.

  20. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  1. Towards frameless maskless SRS through real-time 6DoF robotic motion compensation

    Science.gov (United States)

    Belcher, Andrew H.; Liu, Xinmin; Chmura, Steven; Yenice, Kamil; Wiersma, Rodney D.

    2017-12-01

    Stereotactic radiosurgery (SRS) uses precise dose placement to treat conditions of the CNS. Frame-based SRS uses a metal head ring fixed to the patient’s skull to provide high treatment accuracy, but patient comfort and clinical workflow may suffer. Frameless SRS, while potentially more convenient, may increase uncertainty of treatment accuracy and be physiologically confining to some patients. By incorporating highly precise robotics and advanced software algorithms into frameless treatments, we present a novel frameless and maskless SRS system where a robot provides real-time 6DoF head motion stabilization allowing positional accuracies to match or exceed those of traditional frame-based SRS. A 6DoF parallel kinematics robot was developed and integrated with a real-time infrared camera in a closed loop configuration. A novel compensation algorithm was developed based on an iterative closest-path correction approach. The robotic SRS system was tested on six volunteers, whose motion was monitored and compensated for in real-time over 15 min simulated treatments. The system’s effectiveness in maintaining the target’s 6DoF position within preset thresholds was determined by comparing volunteer head motion with and without compensation. Comparing corrected and uncorrected motion, the 6DoF robotic system showed an overall improvement factor of 21 in terms of maintaining target position within 0.5 mm and 0.5 degree thresholds. Although the system’s effectiveness varied among the volunteers examined, for all volunteers tested the target position remained within the preset tolerances 99.0% of the time when robotic stabilization was used, compared to 4.7% without robotic stabilization. The pre-clinical robotic SRS compensation system was found to be effective at responding to sub-millimeter and sub-degree cranial motions for all volunteers examined. The system’s success with volunteers has demonstrated its capability for implementation with frameless and

  2. Towards frameless maskless SRS through real-time 6DoF robotic motion compensation.

    Science.gov (United States)

    Belcher, Andrew H; Liu, Xinmin; Chmura, Steven; Yenice, Kamil; Wiersma, Rodney D

    2017-11-13

    Stereotactic radiosurgery (SRS) uses precise dose placement to treat conditions of the CNS. Frame-based SRS uses a metal head ring fixed to the patient's skull to provide high treatment accuracy, but patient comfort and clinical workflow may suffer. Frameless SRS, while potentially more convenient, may increase uncertainty of treatment accuracy and be physiologically confining to some patients. By incorporating highly precise robotics and advanced software algorithms into frameless treatments, we present a novel frameless and maskless SRS system where a robot provides real-time 6DoF head motion stabilization allowing positional accuracies to match or exceed those of traditional frame-based SRS. A 6DoF parallel kinematics robot was developed and integrated with a real-time infrared camera in a closed loop configuration. A novel compensation algorithm was developed based on an iterative closest-path correction approach. The robotic SRS system was tested on six volunteers, whose motion was monitored and compensated for in real-time over 15 min simulated treatments. The system's effectiveness in maintaining the target's 6DoF position within preset thresholds was determined by comparing volunteer head motion with and without compensation. Comparing corrected and uncorrected motion, the 6DoF robotic system showed an overall improvement factor of 21 in terms of maintaining target position within 0.5 mm and 0.5 degree thresholds. Although the system's effectiveness varied among the volunteers examined, for all volunteers tested the target position remained within the preset tolerances 99.0% of the time when robotic stabilization was used, compared to 4.7% without robotic stabilization. The pre-clinical robotic SRS compensation system was found to be effective at responding to sub-millimeter and sub-degree cranial motions for all volunteers examined. The system's success with volunteers has demonstrated its capability for implementation with frameless and maskless SRS

  3. Performance enhancement of electronic sensor through mask-less lithography

    KAUST Repository

    Nag, Anindya; Zia, Asif I.; Mukhopadhyay, S. C.; Kosel, Jü rgen

    2016-01-01

    The escalating applications of miniaturized sensors have led the microelectronics industry to stay abreast with the precise micro-fabrication technologies. The following article describes a new technique for the fabrication of miniaturized

  4. The fabrication of highly ordered silver nanodot patterns by platinum assisted nanoimprint lithography

    International Nuclear Information System (INIS)

    Yoo, Hae-Wook; Jung, Jin-Mi; Lee, Su-kyung; Jung, Hee-Tae

    2011-01-01

    Silver has been widely used for optical sensing and imaging applications which benefit from localized surface plasmon resonance (LSPR) in a nanoscale configuration. Many attempts have been made to fabricate and control silver nanostructures in order to improve the high performance in sensing and other applications. However, a fatal mechanical weakness of silver and a lack of durability in oxygen-rich conditions have disrupted the manufacturing of reproducible nanostructures by the top-down lithography approach. In this study, we suggest a steady fabrication strategy to obtain highly ordered silver nanopatterns that are able to provide tunable LSPR characteristics. By using a protecting layer of platinum on a silver surface in the lithography process, we successfully obtained large-area (2.7 x 2.7 mm 2 ) silver nanopatterns with high reproducibility. This large-area silver nanopattern was capable of enhancing the low concentration of a Cy3 fluorescence signal (∼10 -10 M) which was labeled with DNA oligomers.

  5. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  6. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  7. Fabrication of long linear arrays of plastic optical fibers with squared ends for the use of code mark printing lithography

    Science.gov (United States)

    Horiuchi, Toshiyuki; Watanabe, Jun; Suzuki, Yuta; Iwasaki, Jun-ya

    2017-05-01

    Two dimensional code marks are often used for the production management. In particular, in the production lines of liquid-crystal-display panels and others, data on fabrication processes such as production number and process conditions are written on each substrate or device in detail, and they are used for quality managements. For this reason, lithography system specialized in code mark printing is developed. However, conventional systems using lamp projection exposure or laser scan exposure are very expensive. Therefore, development of a low-cost exposure system using light emitting diodes (LEDs) and optical fibers with squared ends arrayed in a matrix is strongly expected. In the past research, feasibility of such a new exposure system was demonstrated using a handmade system equipped with 100 LEDs with a central wavelength of 405 nm, a 10×10 matrix of optical fibers with 1 mm square ends, and a 10X projection lens. Based on these progresses, a new method for fabricating large-scale arrays of finer fibers with squared ends was developed in this paper. At most 40 plastic optical fibers were arranged in a linear gap of an arraying instrument, and simultaneously squared by heating them on a hotplate at 120°C for 7 min. Fiber sizes were homogeneous within 496+/-4 μm. In addition, average light leak was improved from 34.4 to 21.3% by adopting the new method in place of conventional one by one squaring method. Square matrix arrays necessary for printing code marks will be obtained by piling the newly fabricated linear arrays up.

  8. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  9. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  10. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    Science.gov (United States)

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  11. PREVAIL-EPL alpha tool electron optics subsystem

    Science.gov (United States)

    Pfeiffer, Hans C.; Dhaliwal, Rajinder S.; Golladay, Steven D.; Doran, Samuel K.; Gordon, Michael S.; Kendall, Rodney A.; Lieberman, Jon E.; Pinckney, David J.; Quickle, Robert J.; Robinson, Christopher F.; Rockrohr, James D.; Stickel, Werner; Tressler, Eileen V.

    2001-08-01

    The IBM/Nikon alliance is continuing pursuit of an EPL stepper alpha tool based on the PREVAIL technology. This paper provides a status report of the alliance activity with particular focus on the Electron Optical Subsystem developed at IBM. We have previously reported on design features of the PREVAIL alpha system. The new state-of-the-art e-beam lithography concepts have since been reduced to practice and turned into functional building blocks of a production level lithography tool. The electron optical alpha tool subsystem has been designed, build, assembled and tested at IBM's Semiconductor Research and Development Center (SRDC) in East Fishkill, New York. After demonstrating subsystem functionality, the electron optical column and all associated control electronics hardware and software have been shipped during January 2001 to Nikon's facility in Kumagaya, Japan, for integration into the Nikon commercial e-beam stepper alpha tool. Early pre-shipment results obtained with this electron optical subsystem are presented.

  12. PREVAIL: IBM's e-beam technology for next generation lithography

    Science.gov (United States)

    Pfeiffer, Hans C.

    2000-07-01

    PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.

  13. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  14. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  15. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  16. Optical properties of a single free standing nanodiamond

    Energy Technology Data Exchange (ETDEWEB)

    Sun, K W; Wang, C Y [Department of Applied Chemistry and Institute of Molecular Science, National Chiao Tung University, Hsinchu, 300, Taiwan (China)

    2007-12-15

    We report the techniques for measuring optical properties of a single nanometer-sized diamond. The electron beam (e-beam) lithography defined coordination markers on a silicon wafer provide us a convenient tool for allocating a single nanodiamond immobilized on the surface. By combining a confocal microscope with the e-beam lithography patterned smart substrate, we are able to measure the Raman and photoluminescence spectra from a single nanodiamond with a size less than 100 nm.

  17. Optical properties of a single free standing nanodiamond

    International Nuclear Information System (INIS)

    Sun, K W; Wang, C Y

    2007-01-01

    We report the techniques for measuring optical properties of a single nanometer-sized diamond. The electron beam (e-beam) lithography defined coordination markers on a silicon wafer provide us a convenient tool for allocating a single nanodiamond immobilized on the surface. By combining a confocal microscope with the e-beam lithography patterned smart substrate, we are able to measure the Raman and photoluminescence spectra from a single nanodiamond with a size less than 100 nm

  18. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  19. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  20. Optical proximity correction for anamorphic extreme ultraviolet lithography

    Science.gov (United States)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.

  1. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  2. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  3. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  4. Patterning via optical saturable transitions

    Science.gov (United States)

    Cantu, Precious

    For the past 40 years, optical lithography has been the patterning workhorse for the semiconductor industry. However, as integrated circuits have become more and more complex, and as device geometries shrink, more innovative methods are required to meet these needs. In the far-field, the smallest feature that can be generated with light is limited to approximately half the wavelength. This, so called far-field diffraction limit or the Abbe limit (after Prof. Ernst Abbe who first recognized this), effectively prevents the use of long-wavelength photons >300nm from patterning nanostructures barrier is developed and experimentally verified. This approach, which I refer to as Patterning via Optical Saturable Transitions (POST) has the potential for massive parallelism, enabling the creation of nanostructures and devices at a speed far surpassing what is currently possible with conventional optical lithographic techniques. The fundamental understanding of this technique goes beyond optical lithography in the semiconductor industry and is applicable to any area that requires the rapid patterning of large-area two or three-dimensional complex geometries. At a basic level, this research intertwines the fields of electrochemistry, material science, electrical engineering, optics, physics, and mechanical engineering with the goal of developing a novel super-resolution lithographic technique.

  5. Nanosphere Lithography on Fiber: Towards Engineered Lab-On-Fiber SERS Optrodes

    Directory of Open Access Journals (Sweden)

    Giuseppe Quero

    2018-02-01

    Full Text Available In this paper we report on the engineering of repeatable surface enhanced Raman scattering (SERS optical fiber sensor devices (optrodes, as realized through nanosphere lithography. The Lab-on-Fiber SERS optrode consists of polystyrene nanospheres in a close-packed arrays configuration covered by a thin film of gold on the optical fiber tip. The SERS surfaces were fabricated by using a nanosphere lithography approach that is already demonstrated as able to produce highly repeatable patterns on the fiber tip. In order to engineer and optimize the SERS probes, we first evaluated and compared the SERS performances in terms of Enhancement Factor (EF pertaining to different patterns with different nanosphere diameters and gold thicknesses. To this aim, the EF of SERS surfaces with a pitch of 500, 750 and 1000 nm, and gold films of 20, 30 and 40 nm have been retrieved, adopting the SERS signal of a monolayer of biphenyl-4-thiol (BPT as a reliable benchmark. The analysis allowed us to identify of the most promising SERS platform: for the samples with nanospheres diameter of 500 nm and gold thickness of 30 nm, we measured values of EF of 4 × 105, which is comparable with state-of-the-art SERS EF achievable with highly performing colloidal gold nanoparticles. The reproducibility of the SERS enhancement was thoroughly evaluated. In particular, the SERS intensity revealed intra-sample (i.e., between different spatial regions of a selected substrate and inter-sample (i.e., between regions of different substrates repeatability, with a relative standard deviation lower than 9 and 15%, respectively. Finally, in order to determine the most suitable optical fiber probe, in terms of excitation/collection efficiency and Raman background, we selected several commercially available optical fibers and tested them with a BPT solution used as benchmark. A fiber probe with a pure silica core of 200 µm diameter and high numerical aperture (i.e., 0.5 was found to be the

  6. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  7. Polarization-gradient laser cooling as a way to create strongly localized structures for atom lithography

    International Nuclear Information System (INIS)

    Prudnikov, O. N.; Taichenachev, A. V.; Tumaikin, A. M.; Yudin, V. I.

    2007-01-01

    Generally, conditions for deep sub-Doppler laser cooling do not match conditions for strong atomic localization, that takes place in a deeper optical potential and leads to higher temperature. Moreover, for a given detuning in a deep optical potential the secular approximation, which is frequently used for a quantum description of laser cooling, fails. Here we investigate the atomic localization in optical potential, using a full quantum approach for atomic density matrix beyond the secular approximation. It is shown that laser cooling in a deep optical potential, created by a light field with polarization gradients, can be used as an alternative method for the formation of high contrast spatially localized structures of atoms for the purposes of atom lithography and atomic nanofabrication. Finally, we analyze possible limits for the width and contrast of localized atomic structures that can be reached in this type of light mask

  8. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  9. Facile fabrication of microfluidic surface-enhanced Raman scattering devices via lift-up lithography

    Science.gov (United States)

    Wu, Yuanzi; Jiang, Ye; Zheng, Xiaoshan; Jia, Shasha; Zhu, Zhi; Ren, Bin; Ma, Hongwei

    2018-04-01

    We describe a facile and low-cost approach for a flexibly integrated surface-enhanced Raman scattering (SERS) substrate in microfluidic chips. Briefly, a SERS substrate was fabricated by the electrostatic assembling of gold nanoparticles, and shaped into designed patterns by subsequent lift-up soft lithography. The SERS micro-pattern could be further integrated within microfluidic channels conveniently. The resulting microfluidic SERS chip allowed ultrasensitive in situ SERS monitoring from the transparent glass window. With its advantages in simplicity, functionality and cost-effectiveness, this method could be readily expanded into optical microfluidic fabrication for biochemical applications.

  10. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography

    Science.gov (United States)

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S. D.; Flewitt, Andrew J.; Wilkinson, Timothy D.

    2016-12-01

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm-2, 1 nAs-1) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ˜33 nm with 80 nm spacing; for isolated structures, ˜45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ˜0.25 cm2.

  11. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  12. Fabrication of superconducting MgB2 nanostructures by an electron beam lithography-based technique

    Science.gov (United States)

    Portesi, C.; Borini, S.; Amato, G.; Monticone, E.

    2006-03-01

    In this work, we present the results obtained in fabrication and characterization of magnesium diboride nanowires realized by an electron beam lithography (EBL)-based method. For fabricating MgB2 thin films, an all in situ technique has been used, based on the coevaporation of B and Mg by means of an e-gun and a resistive heater, respectively. Since the high temperatures required for the fabrication of good quality MgB2 thin films do not allow the nanostructuring approach based on the lift-off technique, we structured the samples combining EBL, optical lithography, and Ar milling. In this way, reproducible nanowires 1 μm long have been obtained. To illustrate the impact of the MgB2 film processing on its superconducting properties, we measured the temperature dependence of the resistance on a nanowire and compared it to the original magnesium diboride film. The electrical properties of the films are not degraded as a consequence of the nanostructuring process, so that superconducting nanodevices may be obtained by this method.

  13. Mastering multi-depth bio-chip patterns with DVD LBRs

    Science.gov (United States)

    Carson, Doug

    2017-08-01

    Bio chip and bio disc are rapidly growing technologies used in medical, health and other industries. While there are numerous unique designs and features, these products all rely on precise three-dimensional micro-fluidic channels or arrays to move, separate and combine samples under test. These bio chip and bio disc consumables are typically manufactured by molding these parts to a precise three-dimensional pattern on a negative metal stamper, or they can be made in smaller quantities using an appropriate curable resin and a negative mold/stamper. Stampers required for bio chips have been traditionally made using either micro machining or XY stepping lithography. Both of these technologies have their advantages as well as limitations when it comes to creating micro-fluidic patterns. Significant breakthroughs in continuous maskless lithography have enabled accurate and efficient manufacturing of micro-fluidic masters using LBRs (Laser Beam Recorders) and DRIE (Deep Reactive Ion Etching). The important advantages of LBR continuous lithography vs. XY stepping lithography and micro machining are speed and cost. LBR based continuous lithography is >100x faster than XY stepping lithography and more accurate than micro machining. Several innovations were required in order to create multi-depth patterns with sub micron accuracy. By combining proven industrial LBRs with DCA's G3-VIA pattern generator and DRIE, three-dimensional bio chip masters and stampers are being manufactured efficiently and accurately.

  14. Mask compensation for process flare in 193nm very low k1 lithography

    Science.gov (United States)

    Lee, Jeonkyu; Lee, Taehyeong; Oh, Sangjin; Kang, Chunsoo; Kim, Jungchan; Choi, Jaeseung; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Do, Munhoe; Su, Irene; Song, Hua; Choi, Jung-Hoe; Fan, Yongfa; Wang, Anthony C.; Lee, Sung-Woo; Boone, Robert; Lucas, Kevin

    2013-04-01

    Traditional rule-based and model-based OPC methods only simulate in a very local area (generally less than 1um) to identify and correct for systematic optical or process problems. Despite this limitation, however, these methods have been very successful for many technology generations and have been a major reason for the industry being able to tremendously push down lithographic K1. This is also enabled by overall good across-exposure field lithographic process control which has been able to minimize longer range effects across the field. Now, however, the situation has now become more complex. The lithographic single exposure resolution limit with 1.35NA tools remains about 80nm pitch but the final wafer dimensions and final wafer pitches required in advanced technologies continue to scale down. This is putting severe strain on lithographic process and OPC CD control. Therefore, formerly less important 2nd order effects are now starting to have significant CD control impact if not corrected for. In this paper, we provide examples and discussion of how optical and chemical flare related effects are becoming more problematic, especially at the boundaries of large, dense memory arrays. We then introduce a practical correction method for these systematic effects which reuses some of the recent long range effect correcting OPC techniques developed for EUV pattern correction (such as EUV flare). We next provide analysis of the benefits of these OPC methods for chemical flare issues in 193nm lithography very low K1 lithography. Finally, we summarize our work and briefly mention possible future extensions.

  15. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  16. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  17. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  18. Polymer-based optical interconnects using nanoimprint lithography

    NARCIS (Netherlands)

    Boersma, A.; Wiegersma, S.; Offrein, B.J.; Duis, J.; Delis, J.; Ortsiefer, M.; Steenberge, G. van; Karpinen, M.; Blaaderen, A. van; Corbett, B.

    2013-01-01

    The increasing request for higher data speeds in the information and communication technology leads to continuously increasing performance of microprocessors. This has led to the introduction of optical data transmission as a replacement of electronic data transmission in most transmission

  19. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  20. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  1. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  2. Manufacturing and testing flexible microfluidic devices with optical and electrical detection mechanisms

    Science.gov (United States)

    Ivan, Marius G.; Vivet, Frédéric; Meinders, Erwin R.

    2010-06-01

    Flexible microfluidic devices made of poly(dimethylsiloxane) (PDMS) were manufactured by soft lithography, and tested in detection of ionic species using optical absorption spectroscopy and electrical measurements. PDMS was chosen due to its flexibility and ease of surface modification by exposure to plasma and UV treatment, its transparency in UV-Vis regions of the light spectrum, and biocompatibility. The dual-detection mechanism allows the user more freedom in choosing the detection tool, and a functional device was successfully tested. Optical lithography was employed for manufacturing templates, which were subsequently used for imprinting liquid PDMS by thermal curing. Gold electrodes having various widths and distances among them were patterned with optical lithography on the top part which sealed the microchannels, and the devices were employed for detection of ionic species in aqueous salt solutions as well as micro-electrolysis cells. Due to the transparency of PDMS in UV-Vis the microfluidics were also used as photoreactors, and the in-situ formed charged species were monitored by applying a voltage between electrodes. Upon addition of a colorimetric pH sensor, acid was detected with absorption spectroscopy.

  3. An integrated lithography concept with application on 45-nm ½ pitch flash memory devices

    Science.gov (United States)

    Dusa, Mircea; Engelen, Andre; Finders, Jo

    2006-03-01

    It is well accepted to judge imaging capability of an exposure tool primarily on printing equal line-spaces, at a minimum ½ pitch. Further on, combining line-space minimum ½ pitches with scanner maximum NA, defines the process k I. From a lithographer viewpoint, flash memory device is the perfect candidate to achieve lowest k I lithography for a given NA. This is justified by flash layout specific, with regular and relative simple 1-D topology of the critical layers that look like line-space gratings. In reality, flash layout presents a subtle topology and cannot be considered a simple 1-D line-space problem. Uniqueness to flash layout is the array-end zones, where pattern regularity is broken up by features with dimensions and separation of n x ½ pitch, where n is an integer number that we used in this work to manipulate litho process latitudes. Integrated lithography concept seeks to tweak flash pattern details and tune it with scanner control parameters. We introduce feature-center placement through focus and dose as the metric to characterize a cross-coupling phenomena occurring between adjacent features located at array-end of typical flash poly wordline layer. We comparedthe metric behavior with usual litho process window parameters and identified interactions with scanner CDU control parameters. We show how feature-center placement errors are direct functions of optical and physical characteristics of mask materials, attenuated PSM or binary, and of layout array-end topology. Imaging at extreme low-k I, effects from layout specifics and mask materials are best characterized by full vector, rigorous EM simulation, instead of scalar approach, typically used for OPC treatment. Predicted CDU performance of 1.2NA scanner, based on integrated lithography concept, matched very well the experimental results in printing 45nm ½ pitch flash wordline layer. Results show that 1.2NA scanner, operating at 0.28 k I could be an effective lithography solution for 45nm

  4. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  5. Deterministic Integration of Quantum Dots into on-Chip Multimode Interference Beamsplitters Using in Situ Electron Beam Lithography.

    Science.gov (United States)

    Schnauber, Peter; Schall, Johannes; Bounouar, Samir; Höhne, Theresa; Park, Suk-In; Ryu, Geun-Hwan; Heindel, Tobias; Burger, Sven; Song, Jin-Dong; Rodt, Sven; Reitzenstein, Stephan

    2018-04-11

    The development of multinode quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates, and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of preselected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with g (2) (0) = 0.13 ± 0.02. Due to its high patterning resolution as well as spectral and spatial control, in situ electron beam lithography allows for integration of preselected quantum emitters into complex photonic systems. Being a scalable single-step approach, it paves the way toward multinode, fully integrated quantum photonic chips.

  6. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  7. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  8. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  9. Use of the optical lithography in the development of disposable carbon based electrodes - doi: 10.4025/actascitechnol.v35i1.11915

    Directory of Open Access Journals (Sweden)

    Lucilene Dornelles Mello

    2013-01-01

    Full Text Available In this study, carbon-based electrodes for disposable use were constructed using the technique of optical lithography. The process consisted in the irradiation of UV light on a layer of photosensitive resin (SU-8 50 deposited on a substrate of PVC. The pattern obtained electrode was filled with carbon paste. The electrodes were characterized by cyclic voltammetric using the reversible system Fe(CN63-/Fe(CN64- in KCl 0.1 mol L-1 and electrochemical impedance spectroscopy (EIS. The electrodes showed an E°’ = ½ (Epa + Epc » 229 (± 2 mV vs SCE (n = 4, with DEp » 235 (± 14 mV (n = 4. Other studies showed a linear behavior of the peak current (Ip both anode and cathode with v1/2, probably due to diffuse contribution and/or electron transfer kinetics of the reaction. These parameters are in accordance to with those obtained for screen-printed electrode described in the literature. The good results obtained show the suitability of the electrodes for analytical applications such as development of sensors.  

  10. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  11. Maskless fabrication of a microfluidic device with interdigitated electrodes on PCB using laser ablation

    Science.gov (United States)

    Contreras-Saenz, Michael; Hassard, Christian; Vargas-Chacon, Rafael; Gordillo, Jose Luis; Camacho-Leon, Sergio

    2016-03-01

    This paper reports the maskless fabrication of a microfluidic device with interdigitated electrodes (IDE) based on the technology of MicroElectroMechanical Systems on Printed Circuit Board (PCB-MEMS) and laser ablation. The device has flame retardant (FR)-4 resin as substrate, cooper (Cu) as active material and SU-8 polymer as structural material. By adjusting the laser parameters, Cu IDEs and SU-8 microchannels were successfully patterned onto the FR-4 substrate. The respective width, gap and overlap of the IDEs were 50 μm, 25 μm and 500 μm. The respective width, depth and length of the microchannels were 210 μm, 24.6 μm and 6.3 mm. The resolution and repeatability achieved in this approach, along with the low cost of the involved materials and techniques, enable an affordable micromachining platform with rapid fabrication-test cycle to develop active multiphysic microdevices with several applications in the fields of biosensing, cell culture, drug delivery, transport and sorting of molecules, among others.

  12. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  13. Fabrication of optical devices in poly(dimethylsiloxane) by proton microbeam

    International Nuclear Information System (INIS)

    Huszank, R.; Szilasi, S.Z.; Rajta, I.; Csik, A.

    2009-01-01

    Complete text of publication follows. Optical diffraction grating and micro Fresnel zone plate type structures were fabricated in relatively thin poly(dimethylsiloxane) (PDMS) layers using proton beam writing technique and the performance of these optical devices was tested. Micro-optics is a key technology in many fields of common applications like, for example, data communication, lighting technology, industrial automation, display technology, sensing applications and data storage. It enables new functionalities and applications previously inaccessible and improves performance of the already available products with reduced cost, volume and weight. There are a few different fabrication techniques to produce refractive or diffractive micro-optical devices such as X-ray lithography, UV-lithography, e-beam lithography, laser writing, plasma etching, proton beam writing. In general, three different kinds of materials are used for micro-optics, such as glass, polymers and crystal. PDMS is a commonly used silicon-based organic polymer, optically clear, generally considered to be inert, non-toxic and biocompatible and it has been used as a resist material for direct write techniques only in very few cases. In this work, PDMS was used as a resist material; the structures were irradiated directly into the polymer. We were looking for a biocompatible, micropatternable polymer in which the chemical structure changes significantly due to proton beam exposure making the polymer capable of proton beam writing. We demonstrated that the change in the structure of the polymer is so significant that there is no need to perform any development processes. The proton irradiation causes refractive index change in the polymer, so diffraction gratings and other optical devices like Fresnel zone plates can be fabricated in this way. The observed high order diffraction patterns prove the high quality of the created optical devices [1]. This technique may be a useful tool for designing

  14. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    Science.gov (United States)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  15. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  16. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    Science.gov (United States)

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  17. Vector optical fields with bipolar symmetry of linear polarization.

    Science.gov (United States)

    Pan, Yue; Li, Yongnan; Li, Si-Min; Ren, Zhi-Cheng; Si, Yu; Tu, Chenghou; Wang, Hui-Tian

    2013-09-15

    We focus on a new kind of vector optical field with bipolar symmetry of linear polarization instead of cylindrical and elliptical symmetries, enriching members of family of vector optical fields. We design theoretically and generate experimentally the demanded vector optical fields and then explore some novel tightly focusing properties. The geometric configurations of states of polarization provide additional degrees of freedom assisting in engineering the field distribution at the focus to the specific applications such as lithography, optical trapping, and material processing.

  18. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    Science.gov (United States)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  19. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  20. Characterization of Fiber Optic CMM Probe System

    Energy Technology Data Exchange (ETDEWEB)

    K.W.Swallow

    2007-05-15

    This report documents a study completed on the fiber optic probe system that is a part of the Werth optical CMM. This study was necessary due to a lack of documentation from the vendor for the proper use and calibration of the fiber probe, and was performed in support of the Lithographie Galvanoformung Abformung (LIGA) development program at the FM&T. As a result of this study, a better understanding of the fiber optic probe has been developed, including guidelines for its proper use and calibration.

  1. Solid state microcavity dye lasers fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Nielsen, Theodor; Kristensen, Anders

    2004-01-01

    propagating TE–TM modes. The laser cavity has the lateral shape of a trapezoid, supporting lasing modes by reflection on the vertical cavity walls. The solid polymer dye lasers emit laterally through one of the vertical cavity walls, when pumped optically through the top surface by means of a frequency...... doubled, pulsed Nd:YAG laser. Lasing in the wavelength region from 560 to 570 nm is observed from a laser with a side-length of 50 µm. In this proof of concept, the lasers are multimode with a mode wavelength separation of approximately 1.6 nm, as determined by the waveguide propagation constant......We present a solid state polymer microcavity dye laser, fabricated by thermal nanoimprint lithography (NIL) in a dye-doped thermoplast. The thermoplast poly-methylmethacrylate (PMMA) is used due to its high transparency in the visible range and its robustness to laser radiation. The laser dye...

  2. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  3. Nonvacuum, maskless fabrication of a flexible metal grid transparent conductor by low-temperature selective laser sintering of nanoparticle ink.

    Science.gov (United States)

    Hong, Sukjoon; Yeo, Junyeob; Kim, Gunho; Kim, Dongkyu; Lee, Habeom; Kwon, Jinhyeong; Lee, Hyungman; Lee, Phillip; Ko, Seung Hwan

    2013-06-25

    We introduce a facile approach to fabricate a metallic grid transparent conductor on a flexible substrate using selective laser sintering of metal nanoparticle ink. The metallic grid transparent conductors with high transmittance (>85%) and low sheet resistance (30 Ω/sq) are readily produced on glass and polymer substrates at large scale without any vacuum or high-temperature environment. Being a maskless direct writing method, the shape and the parameters of the grid can be easily changed by CAD data. The resultant metallic grid also showed a superior stability in terms of adhesion and bending. This transparent conductor is further applied to the touch screen panel, and it is confirmed that the final device operates firmly under continuous mechanical stress.

  4. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    Science.gov (United States)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  5. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon.

    Science.gov (United States)

    Tokel, Onur; Turnali, Ahmet; Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F Ömer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e. , " in-chip" microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances.

  6. FY 2000 report on the results of the regional consortium R and D project - Regional consortium energy R and D. Development of new vacuum ultraviolet area optical materials realizing next generation short wavelength optical lithography; 2000 nendo chiiki consortium kenkyu kaihatsu jigyo - chiiki consortium energy kenkyu kaihatsu. Jisedai tanhacho hikari lithography wo jitsugensuru shinku shigaiiki kogaku zairyo no kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    As materials for next generation lithography use optical device using short wavelength light sources such as F{sub 2} and Ar{sub 2}, the development was proceeded with of fluoride monocrystal materials and 12-inch class large/high quality monocrystal production technology. Studies were made in the following five fields: 1) proposal/design of new materials and the heightening of performance: 2) establishment of the large/high quality crystal production method; 3) evaluation of optical properties and elucidation of micro-defect formation mechanism; 4) comprehensive investigational research; 5) study of the evaluation technology by vacuum ultraviolet area pulse light. In 1), for the development of the optimum materials, a lot of materials were tried to be monocrystallized, and the permeability was estimated by measuring the reflectance in the vacuum ultraviolet area. As to LiCaAlF{sub 6}, monocrystal with 1-inch diameter was made by the Bridgman method. In 2), studies were made of conditions for large crystal growth by the pull method, large crystal growth by the Bridgman method, and the structure of production equipment for crystals with larger diameter. (NEDO)

  7. Monolithic integration of DUV-induced waveguides into plastic microfluidic chip for optical manipulation

    DEFF Research Database (Denmark)

    Khoury Arvelo, Maria; Vannahme, Christoph; Sørensen, Kristian Tølbøl

    2014-01-01

    A monolithic polymer optofluidic chip for manipulation of microbeads in flow is demonstrated. On this chip, polymer waveguides induced by Deep UV lithography are integrated with microfluidic channels. The optical propagation losses of the waveguides are measured to be 0.66±0.13 dB/mm at a wavelen......A monolithic polymer optofluidic chip for manipulation of microbeads in flow is demonstrated. On this chip, polymer waveguides induced by Deep UV lithography are integrated with microfluidic channels. The optical propagation losses of the waveguides are measured to be 0.66±0.13 d......B/mm at a wavelength of λ = 808 nm. An optimized bead tracking algorithm is implemented, allowing for determination of the optical forces acting on the particles. The algorithm features a spatio-temporal mapping of coordinates for uniting partial trajectories, without increased processing time. With an external laser...

  8. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  9. Design, realization and testing of the nanomefos non-contact measurement machine for freeform optics

    NARCIS (Netherlands)

    Henselmans, R.; Cacace, L.; Rosielle, P.C.J.N.; Steinbuch, M.

    2008-01-01

    By applying freeform optics (figure 1) in high-end optical systems such as used in space, science and lithography applications, system performance can be improved while decreasing the system mass, size and number of required components (for instance [1]). The applicability of classical metrology

  10. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  11. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  12. Optical properties of silver composite metamaterials

    Energy Technology Data Exchange (ETDEWEB)

    Orbons, S.M. [School of Physics, University of Melbourne, Victoria 3010 (Australia)]. E-mail: sorbons@ph.unimelb.edu.au; Freeman, D. [Centre for Ultrahigh-bandwidth Devices for Optical Systems, Laser Physics Centre, Australian National University, ACT 0200 (Australia); Luther-Davies, B. [Centre for Ultrahigh-bandwidth Devices for Optical Systems, Laser Physics Centre, Australian National University, ACT 0200 (Australia); Gibson, B.C. [Quantum Communications Victoria, School of Physics, University of Melbourne, Victoria 3010 (Australia); Huntington, S.T. [Quantum Communications Victoria, School of Physics, University of Melbourne, Victoria 3010 (Australia); Jamieson, D.N. [School of Physics, University of Melbourne, Victoria 3010 (Australia); Roberts, A. [School of Physics, University of Melbourne, Victoria 3010 (Australia)

    2007-05-15

    We present a computational and experimental study investigating the optical properties of nanoscale silver composite metamaterials fabricated by ion beam lithography. Both simulations and experimental results demonstrate high transmission efficiencies in the near infra-red through these devices. Implications for experimentally verifying the calculated near-field distributions of these materials are also discussed.

  13. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  14. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  15. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  16. Installation and initial operation of the Suss Advanced Lithography Model 4 X-ray Stepper

    International Nuclear Information System (INIS)

    Wells, G.M.; Wallace, J.P.; Brodsky, E.L.; Leonard, Q.J.; Reilly, M.T.; Anderson, P.D.; Lee, W.K.; Cerrina, F.; Simon, K.

    1996-01-01

    A Suss Advanced Lithography X-ray Stepper designed as a production tool for high throughput in the sub-quarter-micron device range has been installed and is being commissioned at the University of Wisconsin close-quote s Center for X-ray Lithography (CXrL). Illumination for the stepper is provided by a scanning beamline designed and constructed at CXrL. The beamline optical components are a gold-coated plane mirror, a 1-micron-thick silicon carbide window, and a 25-micron-thick beryllium exit window. Beamline features include synchronized scanning of the mirror and exit window, variable scan velocity to compensate for reflectivity changes as a function of incident angle, and a horizontal oscillation of the beryllium window during vertical scanning to average the effects of nonuniform beryllium window transmission. A helium purged snout transports the x-rays from the beamline exit window, to the exposure plane in the stepper. This snout is retractable to allow for the loading and unloading of masks into the stepper. The motions of the mirror, exit window, and snout are computer controlled by a LABVIEW program that communicates with the stepper control software. The design of the beamline and initial operating experiences with the beamline and stepper will be discussed. copyright 1996 American Institute of Physics

  17. Silica-based microstructures on nonplanar substrates by femtosecond laser-induced nonlinear lithography

    International Nuclear Information System (INIS)

    Mizoshiri, M; Nishiyama, H; Hirata, Y; Nishii, J

    2009-01-01

    We developed a technique for the formation of nonplanar surfaces of inorganic optical materials by a combined process of nonlinear lithography and plasma etching. This technique can be used to fabricate structures even on non-flat substrates, which is difficult using current semiconductor technology. Three-dimensional patterns were written directly inside a positive-tone photoresist using femtosecond laser-induced nonlinear optical absorption. The patterns were then transferred to underlying nonplanar substrates by the ion beam etching technique. For the lithographic process, we obtained a minimum feature size of 900 nm, which is below the diffraction limit. We demonstrated the fabrication of silica-based hybrid diffractive-refractive lenses. Fresnel zone plates with smooth surfaces were obtained on convex microlenses. When a 633-nm-wavelength He-Ne laser was coupled normally to the hybrid lens, the primary focal length was measured as 630 μm. This hybridization shifted the focal length by 200 μm, which agreed with the theoretical value. Our process is useful for the precise fabrication of nonplanar structures based on inorganic materials.

  18. Metrology study of high precision mm parts made by the deep x-ray lithography (LIGA) technique

    International Nuclear Information System (INIS)

    Mäder, Olaf; Meyer, Pascal; Saile, Volker; Schulz, Joachim

    2009-01-01

    Microcomponents are increasingly applied in industrial products, e.g. smallest gears, springs or the watch industry. Apart from their small dimensions, such components are characterized by a high contour accuracy. Industry requires the tolerances to be in the µm range. Measurement of lateral dimensions in the mm range with submicrometer accuracy and precision, however, results in high requirements on measurement technology. The relevance of this problem is illustrated by the fact that the Deutsche Forschungsgemeinschaft (DFG, German Research Foundation) has launched the Collaborative Research Center 1159 on 'New Strategies of Measurement and Inspection for the Production of Microsystems and Nanostructures'. The Institut für Mikrostrukturtechnik, Karlsruhe (Institute of Microstructure Technology, Karlsruhe), produces microstructures by means of the LIG(A) technique (German acronym for lithography, electrodeposition, molding). Presently, a coordinate measurement machine equipped with an optical fiber probe to measure these microstructures is being tested. This paper will particularly focus on the precision and accuracy of the machine. The rules of measurement system analysis will be applied for this purpose. Following the elimination of the systematic error, reproducibility of deep-etch x-ray lithography will be highlighted using the LIGA production of gold gears as an example

  19. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  20. One-step sol-gel imprint lithography for guided-mode resonance structures.

    Science.gov (United States)

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  1. One-step sol–gel imprint lithography for guided-mode resonance structures

    International Nuclear Information System (INIS)

    Huang, Yin; Liu, Longju; Lu, Meng; Johnson, Michael; C Hillier, Andrew

    2016-01-01

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol–gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol–gel thin film in a single step. An organic–inorganic hybrid sol–gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol–gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol–gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol–gel thin film. (paper)

  2. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  3. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  4. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  5. High accuracy ion optics computing

    International Nuclear Information System (INIS)

    Amos, R.J.; Evans, G.A.; Smith, R.

    1986-01-01

    Computer simulation of focused ion beams for surface analysis of materials by SIMS, or for microfabrication by ion beam lithography plays an important role in the design of low energy ion beam transport and optical systems. Many computer packages currently available, are limited in their applications, being inaccurate or inappropriate for a number of practical purposes. This work describes an efficient and accurate computer programme which has been developed and tested for use on medium sized machines. The programme is written in Algol 68 and models the behaviour of a beam of charged particles through an electrostatic system. A variable grid finite difference method is used with a unique data structure, to calculate the electric potential in an axially symmetric region, for arbitrary shaped boundaries. Emphasis has been placed upon finding an economic method of solving the resulting set of sparse linear equations in the calculation of the electric field and several of these are described. Applications include individual ion lenses, extraction optics for ions in surface analytical instruments and the design of columns for ion beam lithography. Computational results have been compared with analytical calculations and with some data obtained from individual einzel lenses. (author)

  6. Characterization of metal-coated fiber tip for NSOM lithography by tip-to-tip scan

    International Nuclear Information System (INIS)

    Kubicova, I.; Pudis, D.; Suslik, L.; Skriniarova, J.

    2011-01-01

    For the optical field characterization, a tip-to-tip scan of two metal-coated fiber tips with circular aperture at the apex was performed. The optical field irradiated from the fiber probe in illumination mode was analyzed by NSOM represented by fiber probe in collection mode. The near-field intensity profile of the source fiber tip in the plane perpendicular to the axis of the tip was taken. Experimental stage requires high resolution 3D motion system controlled by computer (Fig. 1). The source and the detector fiber tip were placed on the moving and static part of the 3D nanoposition system, respectively. As a light source, a modulated 473 nm DPSS laser was used. After the source fiber tip characterization, the NSOM lithography was performed. In the experimental setup from Fig. 1, the detector fiber tip was replaced by a sample fixed in a vacuum holder. As a sample, a 600 nm positive photoresist AZ 5214E was spin-coated on a GaAs substrate. Exposure was carried out by irradiation of the sample at desired positions through the fiber tip aperture. The sample was developed in AZ 400K developer for 30 s and rinsed in DI water. A promising tip-to-tip scanning technique for characterization of metal-coated fiber tips with aperture at the apex was presented. Nearly-circular aperture shapes were documented from NSOM measurements with diameter estimated to be less than 460 nm. By knowing the source-detector distance and the FWHM of the near-field intensity profile, the tip-to-tip scan proves an easy and fast method to analyze the fiber tip aperture properties. The fiber tip resolution was confirmed by preparation of 2D planar structures in thin photoresist layer, where the NSOM lithography uses the metal-coated fiber tip characterized in previous section. (authors)

  7. Ralicon anodes for image photon counting fabricated by electron beam lithography

    International Nuclear Information System (INIS)

    Burton, W.M.

    1982-01-01

    The Anger wedge and strip anode event location system developed for microchannel plate image photon detectors at the Space Sciences Laboratory of the University of California, Berkeley, has been extended in the present work by the use of electron beam lithography (EBL). This method of fabrication can be used to produce optical patterns for the subsequent manufacture of anodes by conventional photo-etching methods and has also enabled anodes to be produced directly by EBL microfabrication techniques. Computer-aided design methods have been used to develop several types of RALICON (Readout Anodes of Lithographic Construction) for use in photon counting microchannel plate imaging detectors. These anodes are suitable for linear, two dimensional or radial position measurements and they incorporate novel design features made possible by the EBL fabrication technique which significantly extend their application relative to published wedge-strip anode designs. (author)

  8. Realization of arbitrarily long focus-depth optical vortices with spiral area-varying zone plates

    Science.gov (United States)

    Zheng, Chenglong; Zang, Huaping; Du, Yanli; Tian, Yongzhi; Ji, Ziwen; Zhang, Jing; Fan, Quanping; Wang, Chuanke; Cao, Leifeng; Liang, Erjun

    2018-05-01

    We provide a methodology to realize an optical vortex with arbitrarily long focus-depth. With a technique of varying each zone area of a phase spiral zone plate one can obtain optics capable of generating ultra-long focus-depth optical vortex from a plane wave. The focal property of such optics was analysed using the Fresnel diffraction theory, and an experimental demonstration was performed to verify its effectiveness. Such optics may bring new opportunity and benefits for optical vortex application such as optical manipulation and lithography.

  9. Structured mirror array for two-dimensional collimation of a chromium beam in atom lithography

    International Nuclear Information System (INIS)

    Zhang Wan-Jing; Ma Yan; Li Tong-Bao; Zhang Ping-Ping; Deng Xiao; Chen Sheng; Xiao Sheng-Wei

    2013-01-01

    Direct-write atom lithography, one of the potential nanofabrication techniques, is restricted by some difficulties in producing optical masks for the deposition of complex structures. In order to make further progress, a structured mirror array is developed to transversely collimate the chromium atomic beam in two dimensions. The best collimation is obtained when the laser red detunes by natural line-width of transition 7 S 3 → 7 P 0 4 of the chromium atom. The collimation ratio is 0.45 vertically (in x axis), and it is 0.55 horizontally (in y axis). The theoretical model is also simulated, and success of our structured mirror array is achieved. (atomic and molecular physics)

  10. Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography

    Science.gov (United States)

    Campbell, Ian Patrick

    Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. This bottom-up process relies on the parallel self-assembly of macromolecules composed of covalently linked, chemically distinct blocks to generate periodic nanostructures. Among the myriad potential morphologies, lamellar structures formed by diblock copolymers with symmetric volume fractions have attracted the most interest as a patterning tool. When confined to thin films and directed to assemble with interfaces perpendicular to the substrate, two-dimensional domains are formed between the free surface and the substrate, and selective removal of a single block creates a nanostructured polymeric template. The substrate exposed between the polymeric features can subsequently be modified through standard top-down microfabrication processes to generate novel nanostructured materials. Despite tremendous progress in our understanding of block copolymer self-assembly, continuous two-dimensional materials have not yet been fabricated via this robust technique, which may enable nanostructured material combinations that cannot be fabricated through bottom-up methods. This thesis aims to study the effects of block copolymer composition and processing on the lamellar network morphology of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and utilize this knowledge to fabricate continuous two-dimensional materials through top-down methods. First, block copolymer composition was varied through homopolymer blending to explore the physical phenomena surrounding lamellar network continuity. After establishing a framework for tuning the continuity, the effects of various processing parameters were explored to engineer the network connectivity via defect annihilation processes. Precisely controlling the connectivity and continuity of lamellar networks through defect engineering and

  11. Fabrication of metallic nanostructures of sub-20 nm with an optimized process of E-beam lithography and lift-off

    KAUST Repository

    Yue, Weisheng; Wang, Zhihong; Wang, Xianbin; Chen, Longqing; Yang, Yang; Chew, Basil; Syed, Ahad A.; Wong, Ka Chun; Zhang, Xixiang

    2012-01-01

    A process consisting of e-beam lithography and lift-off was optimized to fabricate metallic nanostructures. This optimized process successfully produced gold and aluminum nanostructures with features size less than 20 nm. These structures range from simple parallel lines to complex photonic structures. Optical properties of gold split ring resonators (SRRs) were characterized with Raman spectroscopy. Surface-Enhanced Raman Scattering (SERS) on SRRs was observed with 4-mercaptopyridine (4-MPy) as molecular probe and greatly enhanced Raman scattering was observed. Copyright © 2012 American Scientific Publishers.

  12. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  13. Low-energy electron beam proximity projection lithography (LEEPL): the world's first e-beam production tool, LEEPL 3000

    Science.gov (United States)

    Behringer, Uwe F. W.

    2004-06-01

    In June 2000 ago the company Accretech and LEEPL corporation decided to develop an E-beam lithography tool for high throughput wafer exposure, called LEEPL. In an amazing short time the alpha tool was built. In 2002 the beta tool was installed at Accretech. Today the first production tool the LEEPL 3000 is ready to be shipped. The 2keV E-beam tool will be used in the first lithography strategy to expose (in mix and match mode with optical exposure tools) critical levels like gate structures, contact holes (CH), and via pattern of the 90 nm and 65 nm node. At the SEMATECH EPL workshop on September 22nd in Cambridge, England it was mentioned that the amount of these levels will increase very rapidly (8 in 2007; 13 in 2010 and 17 in 2013). The schedule of the production tool for 45 nm node is mid 2005 and for the 32 nm node 2008. The Figure 1 shows from left to right α-tool, the β-tool and the production tool LEEPL 3000. Figure 1 also shows the timetable of the 4 LEEPL forum all held in Japan.

  14. Using nanosphere lithography for fabrication of a multilayered system of ordered gold nanoparticles

    Directory of Open Access Journals (Sweden)

    V.I. Styopkin

    2017-07-01

    Full Text Available New modification of nanosphere lithography has been realized to obtain multilayered systems of ordered gold nanopartciles (NP. NP have been formed using vacuum deposition of 5…60-nm layer of gold on ionic etched multilayered regular coating consisted of several layers of 200-nm polystyrene spheres. Optical study shows that spectra of NP depend on their thickness and may be changed by heat treatment. Increasing the NP thickness within the 5…20-nm range leads to a shortwave displacement of the plasmon resonance peak position, while the longwave shift is observed in 20…60-nm range. Heat treatment of NP brings narrowing and displacement of spectral bands, rising the extinction. It has been supposed that variation of the NP shape is the most substantial factor for changes of optical properties in the 5…20 nm thickness region, while electromagnetic coupling between NP in different layers becomes more important for thicknesses larger than 40 nm. Optical properties inherent to the obtained system of NP can be tuned by changing the polystyrene spheres diameter, extent of etching, thickness of gold layer and with the heat treatment. It may be used in design of nanophotonic devices.

  15. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  16. Peptide Integrated Optics.

    Science.gov (United States)

    Handelman, Amir; Lapshina, Nadezda; Apter, Boris; Rosenman, Gil

    2018-02-01

    Bio-nanophotonics is a wide field in which advanced optical materials, biomedicine, fundamental optics, and nanotechnology are combined and result in the development of biomedical optical chips. Silk fibers or synthetic bioabsorbable polymers are the main light-guiding components. In this work, an advanced concept of integrated bio-optics is proposed, which is based on bioinspired peptide optical materials exhibiting wide optical transparency, nonlinear and electrooptical properties, and effective passive and active waveguiding. Developed new technology combining bottom-up controlled deposition of peptide planar wafers of a large area and top-down focus ion beam lithography provides direct fabrication of peptide optical integrated circuits. Finding a deep modification of peptide optical properties by reconformation of biological secondary structure from native phase to β-sheet architecture is followed by the appearance of visible fluorescence and unexpected transition from a native passive optical waveguiding to an active one. Original biocompatibility, switchable regimes of waveguiding, and multifunctional nonlinear optical properties make these new peptide planar optical materials attractive for application in emerging technology of lab-on-biochips, combining biomedical photonic and electronic circuits toward medical diagnosis, light-activated therapy, and health monitoring. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  18. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  19. High index glass thin film processing for photonics and photovoltaic (PV) applications

    Science.gov (United States)

    Ogbuu, Okechukwu Anthony

    To favorably compete with fossil-fuel technology, the greatest challenge for thin film solar-cells is to improve efficiency and reduce material cost. Thickness scaling to thin film reduces material cost but affects the light absorption in the cells; therefore a concept that traps incident photons and increases its optical path length is needed to boost absorption in thin film solar cells. One approach is the integration of low symmetric gratings (LSG), using high index material, on either the front-side or backside of 30 um thin c-Si cells. In this study, Multicomponent TeO2--Bi2O 3--ZnO (TBZ) glass thin films were prepared using RF magnetron sputtering under different oxygen flow rates. The influences of oxygen flow rate on the structural and optical properties of the resulting thin films were investigated. The structural origin of the optical property variation was studied using X-ray diffraction, X-ray photoelectron spectroscopy, Raman Spectroscopy, and transmission electron microscopy. The results indicate that TBZ glass thin film is a suitable material for front side LSG material photovoltaic and photonics applications due to their amorphous nature, high refractive index (n > 2), broad band optical transparency window, low processing temperature. We developed a simple maskless method to pattern sputtered tellurite based glass thin films using unconventional agarose hydrogel mediated wet etching. Conventional wet etching process, while claiming low cost and high throughput, suffers from reproducibility and pattern fidelity issues due to the isotropic nature of wet chemical etching when applied to glasses and polymers. This method overcomes these challenges by using an agarose hydrogel stamp to mediate a conformal etching process. In our maskless method, agarose hydrogel stamps are patterned following a standard soft lithography and replica molding process from micropatterned masters and soaked in a chemical etchant. The micro-scale features on the stamp are

  20. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  1. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  2. Manufacturing and testing flexible microfluidic devices with optical and electrical detection mechanisms

    NARCIS (Netherlands)

    Ivan, M.G.; Vivet, F.; Meinders, E.R.

    2010-01-01

    Flexible microfluidic devices made of poly(dimethylsiloxane) (PDMS) were manufactured by soft lithography, and tested in detection of ionic species using optical absorption spectroscopy and electrical measurements. PDMS was chosen due to its flexibility and ease of surface modification by exposure

  3. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  4. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  5. Critical dimension and pattern size enhancement using pre-strained lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Jian-Wei [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Yang, Chung-Yuan [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Lo, Cheng-Yao, E-mail: chengyao@mx.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China)

    2014-10-13

    This paper proposes a non-wavelength-shortening-related critical dimension and pattern size reduction solution for the integrated circuit industry that entails generating strain on the substrate prior to lithography. Pattern size reduction of up to 49% was achieved regardless of shape, location, and size on the xy plane, and complete theoretical calculations and process steps are described in this paper. This technique can be applied to enhance pattern resolution by employing materials and process parameters already in use and, thus, to enhance the capability of outdated lithography facilities, enabling them to particularly support the manufacturing of flexible electronic devices with polymer substrates.

  6. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  7. Seamless-merging-oriented parallel inverse lithography technology

    International Nuclear Information System (INIS)

    Yang Yiwei; Shi Zheng; Shen Shanhu

    2009-01-01

    Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT.

  8. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  9. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

    Science.gov (United States)

    Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel

    2017-04-01

    Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.

  10. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  11. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  12. Laser interference lithography with highly accurate interferometric alignment

    NARCIS (Netherlands)

    van Soest, Frank J.; van Wolferen, Hendricus A.G.M.; Hoekstra, Hugo; de Ridder, R.M.; Worhoff, Kerstin; Lambeck, Paul

    It is shown experimentally that in laser interference lithography, by using a reference grating, respective grating layers can be positioned with high relative accuracy. A 0.001 degree angular and a few nanometers lateral resolution have been demonstrated.

  13. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  14. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  15. Real-time two-photon lithography in controlled flow to create a single-microparticle array and particle-cluster array for optofluidic imaging.

    Science.gov (United States)

    Xu, Bing; Shi, Yang; Lao, Zhaoxin; Ni, Jincheng; Li, Guoqiang; Hu, Yanlei; Li, Jiawen; Chu, Jiaru; Wu, Dong; Sugioka, Koji

    2018-01-30

    Microarray technology provides an excellent platform for biomedical and biochemical research including basic scientific studies, drug discovery, and diagnostics. Here, we develop a novel method referred to as real-time two-photon lithography in a controlled flow in which femtosecond laser two-photon lithography is performed in situ in the sequential mode stopping and flowing the flow of liquid resin containing microparticles to achieve 100% trapping on a one-bead-to-one-trap basis. Polydisperse particles can be all trapped to form a desired array by freely designing trap structures, resulting in an unprecedentedly high capture efficiency of ∼100%. No persistent pressure is needed after trapping which reduces the complexity of the system. In addition, trapping of particle-cluster arrays with a controlled number of particles is also achieved via this method. The trapped particles inside the microchip are successfully applied as microlenses for high quality imaging. The present technology marks an essential step towards a versatile platform for the integration of bead-based assays and paves the way for developing innovative microfluidics, optofluidics, micro-optics and single-cell analysis devices.

  16. Large-solid-angle illuminators for extreme ultraviolet lithography with laser plasmas

    International Nuclear Information System (INIS)

    Kubiak, G.D.; Tichenor, D.A.; Sweatt, W.C.; Chow, W.W.

    1995-06-01

    Laser Plasma Sources (LPSS) of extreme ultraviolet radiation are an attractive alternative to synchrotron radiation sources for extreme ultraviolet lithography (EUVL) due to their modularity, brightness, and modest size and cost. To fully exploit the extreme ultraviolet power emitted by such sources, it is necessary to capture the largest possible fraction of the source emission half-sphere while simultaneously optimizing the illumination stationarity and uniformity on the object mask. In this LDRD project, laser plasma source illumination systems for EUVL have been designed and then theoretically and experimentally characterized. Ellipsoidal condensers have been found to be simple yet extremely efficient condensers for small-field EUVL imaging systems. The effects of aberrations in such condensers on extreme ultraviolet (EUV) imaging have been studied with physical optics modeling. Lastly, the design of an efficient large-solid-angle condenser has been completed. It collects 50% of the available laser plasma source power at 14 nm and delivers it properly to the object mask in a wide-arc-field camera

  17. Plasmon resonances of Ag capped Si nanopillars fabricated using mask-less lithography

    DEFF Research Database (Denmark)

    Wu, Kaiyu; Rindzevicius, Tomas; Schmidt, Michael Stenbæk

    2015-01-01

    of a substrate dramatically changes the intensity of these two LSPR modes by introducing constructive and destructive interference patterns with incident and reflected fields. Experimental scattering spectra can be interpreted using theoretical simulations. The Ag NP substrate displays a broad plasmonic...

  18. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  19. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    Science.gov (United States)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  20. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  1. Fabrication of 3D nano-structures using reverse imprint lithography

    Science.gov (United States)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  2. Fabrication of 3D nano-structures using reverse imprint lithography

    International Nuclear Information System (INIS)

    Han, Kang-Soo; Cho, Joong-Yeon; Lee, Heon; Hong, Sung-Hoon; Kim, Kang-In; Choi, Kyung-woo

    2013-01-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED. (paper)

  3. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  4. Beam shaping optics to enhance performance of interferometry techniques in grating manufacture

    Science.gov (United States)

    Laskin, Alexander; Laskin, Vadim; Ostrun, Aleksei

    2018-02-01

    Improving of industrial holographic and interferometry techniques is of great importance in interference lithography, computer-generated holography, holographic data storage, interferometry recording of Bragg gratings as well as gratings of various types in semiconductor industry. Performance of mentioned techniques is essentially enhanced by providing a light beam with flat phase front and flat-top irradiance distribution. Therefore, transformation of Gaussian distribution of a TEM00 laser to flat-top (top hat, uniform) distribution is an important optical task. There are different refractive and diffractive beam shaping approaches used in laser industrial and scientific applications, but only few of them are capable to fulfil the optimum conditions for beam quality demanding holography and interferometry. As a solution it is suggested to apply refractive field mapping beam shaping optics πShaper, which operational principle presumes almost lossless transformation of Gaussian to flat-top beam with flatness of output wavefront, conserving of beam consistency, providing collimated low divergent output beam, high transmittance, extended depth of field, negligible wave aberration, and achromatic design provides capability to work with several lasers with different wavelengths simultaneously. High optical quality of resulting flat-top beam allows applying additional optical components to build various imaging optical systems for variation of beam size and shape to fulfil requirements of a particular application. This paper will describe design basics of refractive beam shapers and optical layouts of their applying in holography and laser interference lithography. Examples of real implementations and experimental results will be presented as well.

  5. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  6. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    Science.gov (United States)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  7. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  8. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  9. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  10. Proton beam writing on PMMA and SU-8 films as a tool for development of micro-structures for organic electronics

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, Mihir, E-mail: mihirs@iitk.ac.in [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Shukla, Neeraj; Banerji, Nobin [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Mohapatra, Y.N. [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Materials Science Programme, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Samtel Center for Display Technologies, Indian Institute of Technology Kanpur, Kanpur 208016 (India)

    2012-02-15

    Proton beam writing is a maskless lithographic technique for the fabrication of 3D micro and nano structures in polymers. The fabricated structures find application in micro fluidics, optics, biosensors, etc. We use proton beam writing for micro-patterning in polymers which will facilitate fabrication of test structures for micro-components of micro-fluidic devices, organic thin film transistors (OTFT) and organic light emitting diodes (OLED). In this paper we report fabrication of varying width micro channels in PMMA and SU-8 films used as positive and negative resists respectively. The patterns were written using 2 MeV proton beam focused down to around 1 micron. We have achieved clean periodic micro-channels of width varying from few micrometers to wider ones in both the resists. Being a mask less lithography it provides an efficient way of reducing turnaround time for test structures with several channel widths and patterns being conveniently written at the same development cycle. Possible applications of the patterned structures in OLED/TFT are discussed. Additional structures like checkered board are also fabricated. Optimized fluence for both the resist has been determined.

  11. A low cost high resolution pattern generator for electron-beam lithography

    International Nuclear Information System (INIS)

    Pennelli, G.; D'Angelo, F.; Piotto, M.; Barillaro, G.; Pellegrini, B.

    2003-01-01

    A simple, very low cost pattern generator for electron-beam lithography is presented. When it is applied to a scanning electron microscope, the system allows a high precision positioning of the beam for lithography of very small structures. Patterns are generated by a suitable software implemented on a personal computer, by using very simple functions, allowing an easy development of new writing strategies for a great adaptability to different user necessities. Hardware solutions, as optocouplers and battery supply, have been implemented for reduction of noise and disturbs on the voltages controlling the positioning of the beam

  12. Feasibility of multi-walled carbon nanotube probes in AFM anodization lithography

    International Nuclear Information System (INIS)

    Choi, Ji Sun; Bae, Sukjong; Ahn, Sang Jung; Kim, Dal Hyun; Jung, Ki Young; Han, Cheolsu; Chung, Chung Choo; Lee, Haiwon

    2007-01-01

    Multi-walled carbon nanotube (CNT) tips were used in atomic force microscope (AFM) anodization lithography to investigate their advantages over conventional tips. The CNT tip required a larger threshold voltage than the mother silicon tip due to the Schottky barrier at the CNT-Si interface. Current-to-voltage curves distinguished the junction property between CNTs and mother tips. The CNT-platinum tip, which is more conductive than the CNT-silicon tip, showed promising results for AFM anodization lithography. Finally, the nanostructures with high aspect ratio were fabricated using a pulsed bias voltage technique as well as the CNT tip

  13. EUV lithography : historical perspective and road ahead

    NARCIS (Netherlands)

    Banine, V.Y.

    2014-01-01

    Lithography, in the form of carved type printing, can be dated as far back as the 3rd century AD. Starting from the 19th century it played a major role as the basis for dissemination and preservation of knowledge in the form of printed books, maps, newspapers, etc. In the mid 20th century, with the

  14. Report of the second workshop on synchrotron radiation sources for x-ray lithography

    International Nuclear Information System (INIS)

    Barton, M.Q.; Craft, B.; Williams, G.P.

    1986-01-01

    The reported workshop is part of an effort to implement a US-based x-ray lithography program. Presentations include designs for three storage rings (one superconducting and two conventional) and an overview of a complete lithography program. The background of the effort described, the need for synchrotron radiation, and the international competition in the area are discussed briefly. The technical feasibility of x-ray lithography is discussed, and synchrotron performance specifications and construction options are given, as well as a near-term plan. It is recommended that a prototype synchrotron source be built as soon as possible, and that a research and development plan on critical technologies which could improve cost effectiveness of the synchrotron source be established. It is further recommended that a small number of second generation prototype synchrotrons be distributed to IC manufacturing centers to expedite commercialization

  15. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  16. Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm.

    Science.gov (United States)

    Kinoshita, H; Watanabe, T; Niibe, M

    1998-05-01

    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 micro m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 micro m pattern has been demonstrated in a 25 micro m square area. With a two-aspherical-mirror system, a 0.15 micro m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 micro m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 micro m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

  17. From 2D Lithography to 3D Patterning

    NARCIS (Netherlands)

    Van Zeijl, H.W.; Wei, J.; Shen, C.; Verhaar, T.M.; Sarro, P.M.

    2010-01-01

    Lithography as developed for IC device fabrication is a high volume high accuracy patterning technology with strong 2 dimensional (2D) characteristics. This 2D nature makes it a challenge to integrate this technology in a 3 dimensional (3D) manufacturing environment. This article addresses the

  18. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  19. Scanning near-field optical microscopy and near-field optical probes: properties, fabrication, and control of parameters

    International Nuclear Information System (INIS)

    Dryakhlushin, V F; Veiko, V P; Voznesenskii, N B

    2007-01-01

    A brief review of modern applications of scanning near-field optical (SNO) devices in microscopy, spectroscopy, and lithography is presented in the introduction. The problem of the development of SNO probes, as the most important elements of SNO devices determining their resolution and efficiency, is discussed. Based on the works of the authors, two different methods for fabricating SNO probes by using the adiabatic tapering of an optical fibre are considered: the laser-heated mechanical drawing and chemical etching. A nondestructive optical method for controlling the nanometre aperture of SNO probes is proposed, substantiated, and tested experimentally. The method is based on the reconstruction of a near-field source with the help of a theoretical algorithm of the inverse problem from the experimental far-filed intensity distribution. Some prospects for a further refinement of the construction and technology of SNO probes are discussed. (optical microscopy)

  20. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  1. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    Science.gov (United States)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  2. Polycapillary x-ray optics for macromolecular crystallography

    International Nuclear Information System (INIS)

    Owens, S.M.; Gibson, W.M.; Carter, D.C.; Sisk, R.C.; Ho, J.X.

    1996-01-01

    Polycapillary x-ray optics have found potential application in many different fields, including antiscatter and magnification in mammography, radiography, x-ray fluorescence, x-ray lithography, and x-ray diffraction techniques. In x-ray diffraction, an optic is used to collect divergent x-rays from a point source and redirect them into a quasi-parallel, or slightly focused beam. Monolithic polycapillary optics have been developed recently for macromolecular crystallography and have already shown considerable gains in diffracted beam intensity over pinhole collimation. Development is being pursued through a series of simulations and prototype optics. Many improvements have been made over the stage 1 prototype reported previously, which include better control over the manufacturing process, reducing the diameter of the output beam, and addition of a slight focusing at the output of the optic to further increase x-ray flux at the sample. The authors report the characteristics and performance of the stage 1 and stage 2 optics

  3. Template assisted synthesis and optical properties of gold nanoparticles.

    Science.gov (United States)

    Fodor, Petru; Lasalvia, Vincenzo

    2009-03-01

    A hybrid nanofabrication method (interference lithography + self assembly) was explored for the fabrication of arrays of gold nanoparticles. To ensure the uniformity of the nanoparticles, a template assisted synthesis was used in which the gold is electrodeposited in the pores of anodized aluminum membranes. The spacing between the pores and their ordering is controlled in the first fabrication step of the template in which laser lithography and metal deposition are used to produce aluminum films with controlled strain profiles. The diameter of the pores produced after anodizing the aluminum film in acidic solution determines the diameter of the gold particles, while their aspect ratio is controlled through the deposition time. Optical absorbance spectroscopy is used to evaluate the ability to tune the nanoparticles plasmon resonance spectra through control over their size and aspect ratio.

  4. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  5. High performance Si immersion gratings patterned with electron beam lithography

    Science.gov (United States)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  6. An electron undulating ring for VLSI lithography

    International Nuclear Information System (INIS)

    Tomimasu, T.; Mikado, T.; Noguchi, T.; Sugiyama, S.; Yamazaki, T.

    1985-01-01

    The development of the ETL storage ring ''TERAS'' as an undulating ring has been continued to achieve a wide area exposure of synchrotron radiation (SR) in VLSI lithography. Stable vertical and horizontal undulating motions of stored beams are demonstrated around a horizontal design orbit of TERAS, using two small steering magnets of which one is used for vertical undulating and another for horizontal one. Each steering magnet is inserted into one of the periodic configulation of guide field elements. As one of useful applications of undulaing electron beams, a vertically wide exposure of SR has been demonstrated in the SR lithography. The maximum vertical deviation from the design orbit nCcurs near the steering magnet. The maximum vertical tilt angle of the undulating beam near the nodes is about + or - 2mrad for a steering magnetic field of 50 gauss. Another proposal is for hith-intensity, uniform and wide exposure of SR from a wiggler installed in TERAS, using vertical and horizontal undulating motions of stored beams. A 1.4 m long permanent magnet wiggler has been installed for this purpose in this April

  7. Resist-based measurement of contrast transfer function in a 0.3-NA microfield optic

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    Although extreme ultraviolet (EUV) lithography offers the possibility of very high-resolution patterning, the projection optics must be of extremely high quality in order to meet this potential. One key metric of the projection optic quality is the contrast transfer function (CTF), which is a measure of the aerial image contrast as a function of pitch. A static microfield exposure tool based on the 0.3-NA MET optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. This tool provides a platform for a wide variety of research into EUV lithography. In this work we present resist-based measurements of the contrast transfer function for the MET optic. These measurements are based upon line/space patterns printed in several different EUV photoresists. The experimental results are compared with the CTF in aerial-image simulations using the aberrations measured in the projection optic using interferometry. In addition, the CTF measurements are conducted for both bright-field and dark-field mask patterns. Finally, the orientation dependence of the CTF is measured in order to evaluate the effect of non-rotationally symmetric lens aberrations. These measurements provide valuable information in interpreting the results of other experiments performed using the MET and similar systems

  8. Structure formation in atom lithography using geometric collimation

    NARCIS (Netherlands)

    Meijer, T.; Beardmore, J.P.; Fabrie, C.G.C.H.M.; van Lieshout, J.P.; Notermans, R.P.M.J.W.; Sang, R.T.; Vredenbregt, E.J.D.; Leeuwen, van K.A.H.

    2011-01-01

    Atom lithography uses standing wave light fields as arrays of lenses to focus neutral atom beams into line patterns on a substrate. Laser cooled atom beams are commonly used, but an atom beam source with a small opening placed at a large distance from a substrate creates atom beams which are locally

  9. Combined electron beam and UV lithography in SU-8

    DEFF Research Database (Denmark)

    Gersborg-Hansen, Morten; Thamdrup, Lasse Højlund; Mironov, Andrej

    2007-01-01

    We present combined electron beam and UV lithography (CEUL) in SU-8 as a fast and flexible lithographic technique for prototyping of functional polymer devices and pattern transfer applications. CEUL is a lithographic technique suitable for defining both micrometer and nanometer scale features...

  10. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  11. NATO Advanced Study Institute on Nano-Optics : Principles Enabling Basic Research and Applications

    CERN Document Server

    Collins, John; Silvestri, Luciano

    2017-01-01

    This book provides a comprehensive overview of nano-optics, including basic theory, experiment and applications, particularly in nanofabrication and optical characterization. The contributions clearly demonstrate how advances in nano-optics and photonics have stimulated progress in nanoscience and -fabrication, and vice versa. Their expert authors address topics such as three-dimensional optical lithography and microscopy beyond the Abbe diffraction limit, optical diagnostics and sensing, optical data- and telecommunications, energy-efficient lighting, and efficient solar energy conversion. Nano-optics emerges as a key enabling technology of the 21st century. This work will appeal to a wide readership, from physics through chemistry, to biology and engineering. The contributions that appear in this volume were presented at a NATO Advanced Study Institute held in Erice, 4-19 July, 2015.

  12. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  13. Durable diamond-like carbon templates for UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Tao, L; Ramachandran, S; Nelson, C T; Overzet, L J; Goeckner, M; Lee, G; Hu, W; Lin, M; Willson, C G; Wu, W

    2008-01-01

    The interaction between resist and template during the separation process after nanoimprint lithography (NIL) can cause the formation of defects and damage to the templates and resist patterns. To alleviate these problems, fluorinated self-assembled monolayers (F-SAMs, i.e. tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane or FDTS) have been employed as template release coatings. However, we find that the FDTS coating undergoes irreversible degradation after only 10 cycles of UV nanoimprint processes with SU-8 resist. The degradation includes a 28% reduction in surface F atoms and significant increases in the surface roughness. In this paper, diamond-like carbon (DLC) films were investigated as an alternative material not only for coating but also for direct fabrication of nanoimprint templates. DLC films deposited on quartz templates in a plasma enhanced chemical vapor deposition system are shown to have better chemical and physical stability than FDTS. After the same 10 cycles of UV nanoimprints, the surface composition as well as the roughness of DLC films were found to be unchanged. The adhesion energy between the DLC surface and SU-8 is found to be smaller than that of FDTS despite the slightly higher total surface energy of DLC. DLC templates with 40 nm features were fabricated using e-beam lithography followed by Cr lift-off and reactive ion etching. UV nanoimprinting using the directly patterned DLC templates in SU-8 resist demonstrates good pattern transfer fidelity and easy template-resist separation. These results indicate that DLC is a promising material for fabricating durable templates for UV nanoimprint lithography

  14. Effects of synchrotron radiation spectrum energy on polymethyl methacrylate photosensitivity to deep x-ray lithography

    International Nuclear Information System (INIS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2003-01-01

    Since X-ray lithography requires a high photon flux to achieve deep resist exposure, a synchrotron radiation beam, which is not monochromatized, is generally used as a light source. If the synchrotron radiation beam is monochromatized, photon flux will decrease rapidly. Because of this reason, the wavelength dependence of the resist sensitivity has not been investigated for deep X-ray lithography. Measuring the spectrum of a white beam with a Si solid-state detector (SSD) is difficult because a white beam has a high intensity and an SSD has a high sensitivity. We were able to measure the spectrum and the photocurrent of a white beam from a beam line used for deep X-ray lithography by keeping the ring current below 0.05 mA. We evaluated the characteristics of the output beam based on the measured spectrum and photocurrent, and used them to investigate the relationship between the total exposure energy and the dose-processing depth with polymethyl methacrylate (PMMA). We found that it is possible to guess the processing depth of PMMA from the total exposure energy in deep X-ray lithography. (author)

  15. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  16. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  17. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  18. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  19. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  20. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    International Nuclear Information System (INIS)

    Cordeiro, J.; Zelsmann, M.; Honegger, T.; Picard, E.; Hadji, E.; Peyrade, D.

    2015-01-01

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles

  1. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    Energy Technology Data Exchange (ETDEWEB)

    Cordeiro, J. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Zelsmann, M., E-mail: marc.zelsmann@cea.fr [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Honegger, T. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Picard, E.; Hadji, E. [Univ Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC-SP2M, F-38000 Grenoble (France); Peyrade, D. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France)

    2015-09-15

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles.

  2. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  3. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  4. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  5. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  6. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  7. Plasmonic nanoparticle lithography: Fast resist-free laser technique for large-scale sub-50 nm hole array fabrication

    Science.gov (United States)

    Pan, Zhenying; Yu, Ye Feng; Valuckas, Vytautas; Yap, Sherry L. K.; Vienne, Guillaume G.; Kuznetsov, Arseniy I.

    2018-05-01

    Cheap large-scale fabrication of ordered nanostructures is important for multiple applications in photonics and biomedicine including optical filters, solar cells, plasmonic biosensors, and DNA sequencing. Existing methods are either expensive or have strict limitations on the feature size and fabrication complexity. Here, we present a laser-based technique, plasmonic nanoparticle lithography, which is capable of rapid fabrication of large-scale arrays of sub-50 nm holes on various substrates. It is based on near-field enhancement and melting induced under ordered arrays of plasmonic nanoparticles, which are brought into contact or in close proximity to a desired material and acting as optical near-field lenses. The nanoparticles are arranged in ordered patterns on a flexible substrate and can be attached and removed from the patterned sample surface. At optimized laser fluence, the nanohole patterning process does not create any observable changes to the nanoparticles and they have been applied multiple times as reusable near-field masks. This resist-free nanolithography technique provides a simple and cheap solution for large-scale nanofabrication.

  8. Clean solutions to the incoming wafer quality impact on lithography process yield limits in a dynamic copper/low-k research and development environment

    Science.gov (United States)

    Lysaght, Patrick S.; Ybarra, Israel; Sax, Harry; Gupta, Gaurav; West, Michael; Doros, Theodore G.; Beach, James V.; Mello, Jim

    2000-06-01

    The continued growth of the semiconductor manufacturing industry has been due, in large part, to improved lithographic resolution and overlay across increasingly larger chip areas. Optical lithography continues to be the mainstream technology for the industry with extensions of optical lithography being employed to support 180 nm product and process development. While the industry momentum is behind optical extensions to 130 nm, the key challenge will be maintaining an adequate and affordable process latitude (depth of focus/exposure window) necessary for 10% post-etch critical dimension (CD) control. If the full potential of optical lithography is to be exploited, the current lithographic systems can not be compromised by incoming wafer quality. Impurity specifications of novel Low-k dielectric materials, plating solutions, chemical-mechanical planarization (CMP) slurries, and chemical vapor deposition (CVD) precursors are not well understood and more stringent control measures will be required to meet defect density targets as identified in the National Technology Roadmap for Semiconductors (NTRS). This paper identifies several specific poor quality wafer issues that have been effectively addressed as a result of the introduction of a set of flexible and reliable wafer back surface clean processes developed on the SEZ Spin-Processor 203 configured for processing of 200 mm diameter wafers. Patterned wafers have been back surface etched by means of a novel spin process contamination elimination (SpCE) technique with the wafer suspended by a dynamic nitrogen (N2) flow, device side down, via the Bernoulli effect. Figure 1 illustrates the wafer-chuck orientation within the process chamber during back side etch processing. This paper addresses a number of direct and immediate benefits to the MicraScan IIITM deep-ultraviolet (DUV) step-and-scan system at SEMATECH. These enhancements have resulted from the resolution of three significant problems: (1) back surface

  9. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  10. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  11. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  12. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  13. Resonance spectra of diabolo optical antenna arrays

    Directory of Open Access Journals (Sweden)

    Hong Guo

    2015-10-01

    Full Text Available A complete set of diabolo optical antenna arrays with different waist widths and periods was fabricated on a sapphire substrate by using a standard e-beam lithography and lift-off process. Fabricated diabolo optical antenna arrays were characterized by measuring the transmittance and reflectance with a microscope-coupled FTIR spectrometer. It was found experimentally that reducing the waist width significantly shifts the resonance to longer wavelength and narrowing the waist of the antennas is more effective than increasing the period of the array for tuning the resonance wavelength. Also it is found that the magnetic field enhancement near the antenna waist is correlated to the shift of the resonance wavelength.

  14. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  15. Light scattering techniques for the characterization of optical components

    Science.gov (United States)

    Hauptvogel, M.; Schröder, S.; Herffurth, T.; Trost, M.; von Finck, A.; Duparré, A.; Weigel, T.

    2017-11-01

    The rapid developments in optical technologies generate increasingly higher and sometimes completely new demands on the quality of materials, surfaces, components, and systems. Examples for such driving applications are the steadily shrinking feature sizes in semiconductor lithography, nanostructured functional surfaces for consumer optics, and advanced optical systems for astronomy and space applications. The reduction of surface defects as well as the minimization of roughness and other scatter-relevant irregularities are essential factors in all these areas of application. Quality-monitoring for analysing and improving those properties must ensure that even minimal defects and roughness values can be detected reliably. Light scattering methods have a high potential for a non-contact, rapid, efficient, and sensitive determination of roughness, surface structures, and defects.

  16. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  17. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  18. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  19. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  20. Development of compact synchrotron light source LUNA for x-ray lithography

    International Nuclear Information System (INIS)

    Takahashi, M.; Mandai, S.; Hoshi, Y.; Kohno, Y.

    1992-01-01

    A compact synchrotron light source LUNA has been developed by Ishikawajima-Harima Heavy Industries Co., Ltd. (IHI), especially for x-ray lithography. It consists of a 45-MeV linac as an electron injector and an 800-MeV synchrotron. The peak wavelength of synchrotron radiation is around 10 A. The installation of LUNA was completed in April 1989 at the Tsuchiura Facility of IHI. The synchrotron radiation was first observed in December 1989. A stored beam current of 50 mA at 800 MeV and a lifetime over 1 h have been achieved. At present, experiments are still continuing to increase the stored current and the lifetime. X-ray lithography testing is scheduled to begin in a clean room in this facility. This paper describes the outline of LUNA and the present status

  1. International Conference on Integrated Optical Circuit Engineering, 1st, Cambridge, MA, October 23-25, 1984, Proceedings

    Science.gov (United States)

    Ostrowsky, D. B.; Sriram, S.

    Aspects of waveguide technology are explored, taking into account waveguide fabrication techniques in GaAs/GaAlAs, the design and fabrication of AlGaAs/GaAs phase couplers for optical integrated circuit applications, ion implanted GaAs integrated optics fabrication technology, a direct writing electron beam lithography based process for the realization of optoelectronic integrated circuits, and advances in the development of semiconductor integrated optical circuits for telecommunications. Other subjects examined are related to optical signal processing, optical switching, and questions of optical bistability and logic. Attention is given to acousto-optic techniques in integrated optics, acousto-optic Bragg diffraction in proton exchanged waveguides, optical threshold logic architectures for hybrid binary/residue processors, integrated optical modulation and switching, all-optic logic devices for waveguide optics, optoelectronic switching, high-speed photodetector switching, and a mechanical optical switch.

  2. Hyperelastic pressure sensing with a liquid-embedded elastomer

    International Nuclear Information System (INIS)

    Park, Yong-Lae; Wood, Robert J; Majidi, Carmel; Kramer, Rebecca; Bérard, Phillipe

    2010-01-01

    A hyperelastic pressure transducer is fabricated by embedding silicone rubber with microchannels of conductive liquid eutectic gallium–indium. Pressing the surface of the elastomer with pressures in the range of 0–100 kPa will deform the cross-section of underlying channels and change their electric resistance by as much as 50%. Microchannels with dimensions as small as 25 µm are obtained with a maskless, soft lithography process that utilizes direct laser exposure. Change in electrical resistance is measured as a function of the magnitude and area of the surface pressure as well as the cross-sectional geometry, depth and relative lateral position of the embedded channel. These experimentally measured values closely match closed-form theoretical predictions derived from plane strain elasticity and contact mechanics

  3. Far field measurements of phc led prepared by e-beam lithography

    International Nuclear Information System (INIS)

    Hronec, P.; Skriniarova, J.; Kovac, J.; Pudis, D.; Bencurova, A.; Nemec, P.

    2014-01-01

    The paper deals with optical characterization of the Al_0_._2_9_5Ga_0_._7_0_5As/GaAs multi quantum well light emitting diode (LED) structure with patterned photonic crystal (2D PhC). The 2D PhC was patterned on the top of the structure using Electron Beam Direct Write Lithography (EBDWL). The LEDs light-current characteristics were measured by using integrating sphere, where extracted light intensity increase was observed at 10 mA forward current as 24.2 %. Also far field measurements were performed at forward current of 10 mA. Comparison of reference LED and PhC LED far fields shows increase in whole emission area. As a complementary method for extracted light intensity increase evaluation, relative light intensity distributed in the far field was integrated in range of ±60 grad in both θ and φ coordinate of spherical coordinate system. This method shows increase of extracted light intensity as 35.6 %. We suggest this method as more suitable for evaluation of extracted light intensity increase because it omits emission from edges of the LED and thus light is measured only from the area where PhC is patterned. (authors)

  4. The DARPA compact Superconducting X-Ray Lithography Source features

    International Nuclear Information System (INIS)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-Ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m x 4.0 m). The machine has a racetrack configuration with two 180 degree bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms. Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned

  5. Integration of plant viruses in electron beam lithography nanostructures

    International Nuclear Information System (INIS)

    Alonso, Jose M; Bittner, Alexander M; Ondarçuhu, Thierry

    2013-01-01

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes. (paper)

  6. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    Science.gov (United States)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  7. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    Science.gov (United States)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  8. „New approaches to atomic force microscope lithography on silicon"

    DEFF Research Database (Denmark)

    Birkelund, Karen; Thomsen, Erik Vilain; Rasmussen, Jan Pihl

    1997-01-01

    We have investigated new approaches to the formation of conducting nanowires on crystalline silicon surfaces using atomic force microscope (AFM) lithography. To increase processing speed and reduce wear of the AFM tip, large-scale structures are formed with a direct laser write setup, while the AFM...

  9. High-quality global hydrogen silsequioxane contact planarization for nanoimprint lithography

    NARCIS (Netherlands)

    Büyükköse, S.; Vratzov, Boris; van der Wiel, Wilfred Gerard

    2011-01-01

    The authors present a novel global contact planarization technique based on the spin-on-glass material hydrogen silsequioxane (HSQ) and demonstrate its excellent performance on patterns of 70 nm up to several microns generated by UV-based nanoimprint lithography. The HSQ layer (∼165 nm) is spin

  10. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  11. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Jingfeng; Lu, Haidong; Gruverman, Alexei; Ducharme, Stephen; Li, Shumin; Tan, Li

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics. (paper)

  12. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    Science.gov (United States)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  13. Optical spatial differentiator based on subwavelength high-contrast gratings

    Science.gov (United States)

    Dong, Zhewei; Si, Jiangnan; Yu, Xuanyi; Deng, Xiaoxu

    2018-04-01

    An optical spatial differentiator based on subwavelength high-contrast gratings (HCGs) is proposed experimentally. The spatial differentiation property of the subwavelength HCG is analyzed by calculating its spatial spectral transfer function based on the periodic waveguide theory. By employing the FDTD solutions, the performance of the subwavelength HCG spatial differentiator was investigated numerically. The subwavelength HCG differentiator with the thickness at the nanoscale was fabricated on the quartz substrate by electron beam lithography and Bosch deep silicon etching. Observed under an optical microscope with a CCD camera, the spatial differentiation of the incident field profile was obtained by the subwavelength HCG differentiator in transmission without Fourier lens. By projecting the images of slits, letter "X," and a cross on the subwavelength HCG differentiator, edge detections of images were obtained in transmission. With the nanoscale HCG structure and simple optical implementation, the proposed optical spatial differentiator provides the prospects for applications in optical computing systems and parallel data processing.

  14. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    Science.gov (United States)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  15. Fracture Toughness (KIC) of Lithography Based Manufactured Alumina Ceramic

    Science.gov (United States)

    Nindhia, T. G. T.; Schlacher, J.; Lube, T.

    2018-04-01

    Precision shaped ceramic components can be obtained by an emerging technique called Lithography based Ceramic Manufacturing (LCM). A green part is made from a slurry consisting of a ceramic powder in a photocurable binder with addition of dispersant and plasticizer. Components are built in a layer–by-layer way by exposing the desired cross- sections to light. The parts are subsequently sintered to their final density. It is a challenge to produce ceramic component with this method that yield the same mechanical properties in all direction. The fracture toughness (KIc) of of LCM-alumina (prepared at LITHOZ GmbH, Austria) was tested by using the Single-Edge-V-Notched Beam (SEVNB) method. Notches are made into prismatic bend-bars in all three direction X, Y and Z to recognize the value of fracture toughness of the material in all three directions. The microstructure was revealed with optical microscopy as well as Scanning Electron Microscopy (SEM). The results indicate that the fracture toughness in Y-direction has the highest value (3.10 MPam1/2) that is followed by the one in X-direction which is just a bit lower (2.90 MPam1/2). The Z-direction is found to have a similar fracture toughness (2.95 MPam1/2). This is supported by a homogeneous microstructure showing no hint of the layers used during production.

  16. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  17. Fast thermal nanoimprint lithography by a stamp with integrated heater

    DEFF Research Database (Denmark)

    Tormen, Massimo; Malureanu, Radu; Pedersen, Rasmus Haugstrup

    2008-01-01

    We propose fast nanoimprinting lithography (NIL) process based on the use of stamps with integrated heater. The latter consists of heavily ion implantation n-type doped silicon layer buried below the microstructured surface of the stamp. The stamp is heated by Joule effect, by 50 μs 25 Hz...

  18. THUNDER Piezoelectric Actuators as a Method of Stretch-Tuning an Optical Fiber Grating

    Science.gov (United States)

    Allison, Sidney G.; Fox, Robert L.; Froggatt, Mark E.; Childers, Brooks A.

    2000-01-01

    A method of stretching optical fiber holds interest for measuring strain in smart structures where the physical displacement may be used to tune optical fiber lasers. A small, light weight, low power tunable fiber laser is ideal for demodulating strain in optical fiber Bragg gratings attached to smart structures such as the re-usable launch vehicle that is being developed by NASA. A method is presented for stretching optical fibers using the THUNDER piezoelectric actuators invented at NASA Langley Research Center. THUNDER actuators use a piezoelectric layer bonded to a metal backing to enable the actuators to produce displacements larger than the unbonded piezoelectric material. The shift in reflected optical wavelength resulting from stretching the fiber Bragg grating is presented. Means of adapting THUNDER actuators for stretching optical fibers is discussed, including ferrules, ferrule clamp blocks, and plastic hinges made with stereo lithography.

  19. Implementation and benefits of advanced process control for lithography CD and overlay

    Science.gov (United States)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  20. Processing of Graphene combining Optical Detection and Scanning Probe Lithography

    Directory of Open Access Journals (Sweden)

    Zimmermann Sören

    2015-01-01

    Full Text Available This paper presents an experimental setup tailored for robotic processing of graphene with in-situ vision based control. A robust graphene detection approach is presented applying multiple image processing operations of the visual feedback provided by a high-resolution light microscope. Detected graphene flakes can be modified using a scanning probe based lithographical process that is directly linked to the in-situ optical images. The results of this process are discussed with respect to further application scenarios.

  1. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    Science.gov (United States)

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  2. Preparing patterned carbonaceous nanostructures directly by overexposure of PMMA using electron-beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Duan Huigao; Zhao Jianguo; Zhang Yongzhe; Xie Erqing [School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Han Li [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)], E-mail: duanhg@gmail.com, E-mail: xieeq@lzu.edu.cn

    2009-04-01

    The overexposure process of poly(methyl methacrylate) (PMMA) was studied in detail using electron-beam lithography. It was found that PMMA films could be directly patterned without development due to the electron-beam-induced collapse of PMMA macromolecular chains. By analyzing the evolution of surface morphologies and compositions of the overexposed PMMA films, it was also found that the transformation of PMMA from positive to negative resist was a carbonization process, so patterned carbonaceous nanostructures could be prepared directly by overexposure of PMMA using electron-beam lithography. This simple one-step process for directly obtaining patterned carbonaceous nanostructures has promising potential application as a tool to make masks and templates, nanoelectrodes, and building blocks for MEMS and nanophotonic devices.

  3. Patterning and photoluminescent properties of perovskite-type organic/inorganic hybrid luminescent films by soft lithography

    Science.gov (United States)

    Cheng, Z. Y.; Wang, Z.; Xing, R. B.; Han, Y. C.; Lin, J.

    2003-07-01

    Perovskite-type organic/inorganic hybrid layered compound (C 6H 5C 2H 4NH 3) 2PbI 4 was synthesized. The patterning of (C 6H 5C 2H 4NH 3) 2PbI 4 thin films on silicon substrate was realized by the micromolding in capillaries (MIMIC) process, a kind of soft lithography. Bright green luminescent stripes with different widths (50, 15, 0.8 μm) have been obtained. The structure and optical properties of (C 6H 5C 2H 4NH 3) 2PbI 4 films were characterized by X-ray diffraction (XRD), UV/Vis absorption and photoluminescence excitation and emission spectra, respectively. It is shown that the organic-inorganic layered (C 6H 5C 2H 4NH 3) 2PbI 4 film was c-axis oriented, paralleling to the substrate plane. Green exciton emission at 525 nm was observed in the film, and the explanations for it were given.

  4. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  5. Fabrication and optical characteristics of silicon-based two-dimensional wavelength division multiplexing splitter with photonic crystal directional waveguide couplers

    International Nuclear Information System (INIS)

    Liu, Cheng-Yang

    2011-01-01

    Photonic crystals have many potential applications because of their ability to control lightwave propagation. We report on the fabrication and optical properties of quasi-two-dimensional photonic crystals with triangular lattice of dielectric rods in air. Rod-type photonic crystal structures were fabricated in silicon by electron beam lithography and dry-etching techniques. Wavelength division multiplexing splitters were fabricated from two-dimensional photonic crystal directional waveguide couplers. Transmission spectra were measured and device operation was shown to be in agreement with theoretical calculations. The splitters can be used in visible light region. Such an approach to photonic element systems should enable new applications for designing components in photonic integrated circuits. -- Highlights: → We report the fabrication and optical properties of rod-type photonic crystal. → The splitter was fabricated by electron beam lithography and dry-etching techniques. → The splitter was composed of directional waveguide couplers. → Measured transmission spectra are in agreement with theoretical calculations. → The splitters can be used in visible light region.

  6. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  7. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  8. Linear optics and projective measurements alone suffice to create large-photon-number path entanglement

    International Nuclear Information System (INIS)

    Lee, Hwang; Kok, Pieter; Dowling, Jonathan P.; Cerf, Nicolas J.

    2002-01-01

    We propose a method for preparing maximal path entanglement with a definite photon-number N, larger than two, using projective measurements. In contrast with the previously known schemes, our method uses only linear optics. Specifically, we exhibit a way of generating four-photon, path-entangled states of the form vertical bar 4,0>+ vertical bar 0,4>, using only four beam splitters and two detectors. These states are of major interest as a resource for quantum interferometric sensors as well as for optical quantum lithography and quantum holography

  9. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  10. Fabrication of biopolymer cantilevers using nanoimprint lithography

    DEFF Research Database (Denmark)

    Keller, Stephan Sylvest; Feidenhans'l, Nikolaj Agentoft; Fisker-Bødker, Nis

    2011-01-01

    The biodegradable polymer poly(l-lactide) (PLLA) was introduced for the fabrication of micromechanical devices. For this purpose, thin biopolymer films with thickness around 10 μm were spin-coated on silicon substrates. Patterning of microcantilevers is achieved by nanoimprint lithography. A major...... challenge was the high adhesion between PLLA and silicon stamp. Optimized stamp fabrication and the deposition of a 125 nm thick fluorocarbon anti-stiction coating on the PLLA allowed the fabrication of biopolymer cantilevers. Resonance frequency measurements were used to estimate the Young’s modulus...

  11. Photosensitive naturally derived resins toward optical 3-D printing

    Science.gov (United States)

    Skliutas, Edvinas; Kasetaite, Sigita; Jonušauskas, Linas; Ostrauskaite, Jolita; Malinauskas, Mangirdas

    2018-04-01

    Recent advances in material engineering have shown that renewable raw materials, such as plant oils or glycerol, can be applied for synthesis of polymers due to ready availability, inherent biodegradability, limited toxicity, and existence of modifiable functional groups and eventually resulting to a potentially lower cost. After additional chemical modifications (epoxidation, acrylation, double bonds metathesis, etc.), they can be applied in such high-tech areas as stereolithography, which allows fabrication of three-dimensional (3-D) objects. "Autodesk's" 3-D optical printer "Ember" using 405-nm light was implemented for dynamic projection lithography. It enabled straightforward spatio-selective photopolymerization on demand, which allows development of various photosensitive materials. The bio-based resins' photosensitivity was compared to standard "Autodesk" "PR48" and "Formlabs" "Clear" materials. It turned out that the bioresins need a higher energy dose to be cured (a least 16 J · cm - 2 for a single layer varying from 100 to 130 μm). Despite this, submillimeter range 2.5-D structural features were formed, and their morphology was assessed by optical profilometer and scanning electron microscope. It was revealed that a higher exposition dose (up to 26 J · cm - 2) results in a linear increase in the formed structures height, proving controllability of the undergoing process. Overall, the provided results show that naturally derived resins are suitable candidates for tabletop gray-tone lithography.

  12. Design and Analysis of an Optical Interface Message Processor

    Science.gov (United States)

    1993-03-01

    Device 16 2.2.15 Microchannel Spatial Light Modulator (MSLM) 16 2.2.16 Si/PLST Modulator 16 2.2.17 Deformable Mirror Device ( DMD ) 17 2.2.18 Charged...wavelength of UV light, ’n this process, is the minimum image which can be developed. X-Ray lithography wil’ reduce the image size to the 1000 Angstrom...resonance of laser wavelength. This is due to a change in the index of refraction which results in an optical path allowing constructive interference

  13. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    Science.gov (United States)

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  14. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    Science.gov (United States)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  15. Magneto-optical imaging of vortex arrangements in Pb finite superconducting networks

    International Nuclear Information System (INIS)

    Tsuchiya, Y.; Nakajima, Y.; Tamegai, T.

    2009-01-01

    We have fabricated finite-sized Pb superconducting networks with 10 x 10 square (each 6 x 6 μm 2 ) holes by using the electron beam lithography and vortex arrangements are visualized by using magneto-optical imaging. We find that the vortex penetration at low temperature is controlled by defects in the network. We also find nearly regular arrangements of vortices with defects close to 1/2 and1/3 of the matching field.

  16. Nanomanipulation of 2 inch wafer fabrication of vertically aligned carbon nanotube arrays by nanoimprint lithography

    DEFF Research Database (Denmark)

    Bu, Ian Y. Y.; Eichhorn, Volkmar; Carlson, Kenneth

    2011-01-01

    Carbon nanotube (CNT) arrays are typically defined by electron beam lithography (EBL), and hence limited to small areas due to the low throughput. To obtain wafer‐scale fabrication we propose large area thermal nanoimprint lithography (NIL). A 2‐inch stamp master is defined using EBL for subsequent......, efficient production of wafer‐scale/larger arrays of CNTs has been achieved. The CNTs have been deposited by wafer‐scale plasma enhanced chemical vapour deposition (PECVD) of C2H2/NH3. Substrates containing such nanotubes have been used to automate nanorobotic manipulation sequences of individual CNTs...

  17. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  18. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun

    2009-04-06

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold was placed on a spin-coated UV-curable resin on a substrate. The polymer then moved into the cavity of the mold by capillary action and then solidified after exposure to UV radiation. The uncured resin was forced to migrate into the cavity of a micropatterned PDMS mold by capillarity, and then exposed to UV radiation under a high-energy mercury lamp with intensity. A rotary pump was then turned on, decreasing the air pressure in the chamber. SEM images were taken with a high-resolution SEM at an acceleration voltage greater than 15 kV. It was observed that when the air pressure was rapidly reduced to a low vacuum, the top layer moved into the nanochannels with a meniscus at the interface between the nanoscale PUA and the base structure.

  19. New self-assembly strategies for next generation lithography

    Science.gov (United States)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  20. Maskless X-Ray Writing of Electrical Devices on a Superconducting Oxide with Nanometer Resolution and Online Process Monitoring.

    Science.gov (United States)

    Mino, Lorenzo; Bonino, Valentina; Agostino, Angelo; Prestipino, Carmelo; Borfecchia, Elisa; Lamberti, Carlo; Operti, Lorenza; Fretto, Matteo; De Leo, Natascia; Truccato, Marco

    2017-08-22

    X-ray nanofabrication has so far been usually limited to mask methods involving photoresist impression and subsequent etching. Herein we show that an innovative maskless X-ray nanopatterning approach allows writing electrical devices with nanometer feature size. In particular we fabricated a Josephson device on a Bi 2 Sr 2 CaCu 2 O 8+δ (Bi-2212) superconducting oxide micro-crystal by drawing two single lines of only 50 nm in width using a 17.4 keV synchrotron nano-beam. A precise control of the fabrication process was achieved by monitoring in situ the variations of the device electrical resistance during X-ray irradiation, thus finely tuning the irradiation time to drive the material into a non-superconducting state only in the irradiated regions, without significantly perturbing the crystal structure. Time-dependent finite element model simulations show that a possible microscopic origin of this effect can be related to the instantaneous temperature increase induced by the intense synchrotron picosecond X-ray pulses. These results prove that a conceptually new patterning method for oxide electrical devices, based on the local change of electrical properties, is actually possible with potential advantages in terms of heat dissipation, chemical contamination, miniaturization and high aspect ratio of the devices.

  1. Maskless Surface Modification of Polyurethane Films by an Atmospheric Pressure He/O2 Plasma Microjet for Gelatin Immobilization

    Directory of Open Access Journals (Sweden)

    Man Zhang

    2018-04-01

    Full Text Available A localized maskless modification method of polyurethane (PU films through an atmospheric pressure He/O2 plasma microjet (APPμJ was proposed. The APPμJ system combines an atmospheric pressure plasma jet (APPJ with a microfabricated silicon micronozzle with dimension of 30 μm, which has advantages of simple structure and low cost. The possibility of APPμJ in functionalizing PU films with hydroxyl (–OH groups and covalent grafting of gelatin for improving its biocompatibility was demonstrated. The morphologies and chemical compositions of the modified surface were analyzed by scanning electronic microscopy (SEM, Raman spectroscopy, and X-ray photoelectron spectroscopy (XPS. The fluorescent images show the modified surface can be divided into four areas with different fluorescence intensity from the center to the outside domain. The distribution of the rings could be controlled by plasma process parameters, such as the treatment time and the flow rate of O2. When the treatment time is 4 to 5 min with the oxygen percentage of 0.6%, the PU film can be effectively local functionalized with the diameter of 170 μm. In addition, the modification mechanism of PU films by the APPμJ is investigated. The localized polymer modified by APPμJ has potential applications in the field of tissue engineering.

  2. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  3. Lithography-based automation in the design of program defect masks

    Science.gov (United States)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  4. Sequential infiltration synthesis for advanced lithography

    Energy Technology Data Exchange (ETDEWEB)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2017-10-10

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  5. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    Science.gov (United States)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  6. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    International Nuclear Information System (INIS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-01-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1–1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  7. Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect

    Directory of Open Access Journals (Sweden)

    Dongxu Ren

    2016-04-01

    Full Text Available A multi-repeated photolithography method for manufacturing an incremental linear scale using projection lithography is presented. The method is based on the average homogenization effect that periodically superposes the light intensity of different locations of pitches in the mask to make a consistent energy distribution at a specific wavelength, from which the accuracy of a linear scale can be improved precisely using the average pitch with different step distances. The method’s theoretical error is within 0.01 µm for a periodic mask with a 2-µm sine-wave error. The intensity error models in the focal plane include the rectangular grating error on the mask, static positioning error, and lithography lens focal plane alignment error, which affect pitch uniformity less than in the common linear scale projection lithography splicing process. It was analyzed and confirmed that increasing the repeat exposure number of a single stripe could improve accuracy, as could adjusting the exposure spacing to achieve a set proportion of black and white stripes. According to the experimental results, the effectiveness of the multi-repeated photolithography method is confirmed to easily realize a pitch accuracy of 43 nm in any 10 locations of 1 m, and the whole length accuracy of the linear scale is less than 1 µm/m.

  8. Integrated lithography to prepare periodic arrays of nano-objects

    International Nuclear Information System (INIS)

    Sipos, Áron; Szalai, Anikó; Csete, Mária

    2013-01-01

    We present an integrated lithography method to prepare versatile nano-objects with variable shape and nano-scaled substructure, in wavelength-scaled periodic arrays with arbitrary symmetry. The idea is to illuminate colloid sphere monolayers by polarized beams possessing periodic lateral intensity modulations. Finite element method was applied to determine the effects of the wavelength, polarization and angle of incidence of the incoming beam, and to predict the characteristics of nano-objects, which can be fabricated on thin metal layer covered substrates due to the near-field enhancement under silica colloid spheres. The inter-object distance is controlled by varying the relative orientation of the periodic intensity modulation with respect to the silica colloid sphere monolayer. It is shown that illuminating silica colloid sphere monolayers by two interfering beams, linear patterns made of elliptical holes appear in case of linear polarization, while circularly polarized beams result in co-existent rounded objects, as more circular nano-holes and nano-crescents. The size of the nano-objects and their sub-structure is determined by the spheres diameter and by the wavelength. We present various complex plasmonic patterns made of versatile nano-objects that can be uniquely fabricated applying the inherent symmetry breaking possibilities in the integrated lithography method.

  9. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  10. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  11. Manufacturing and testing flexible microfluidic devices with optical and electrical detection mechanisms

    OpenAIRE

    Ivan, M.G.; Vivet, F.; Meinders, E.R.

    2010-01-01

    Flexible microfluidic devices made of poly(dimethylsiloxane) (PDMS) were manufactured by soft lithography, and tested in detection of ionic species using optical absorption spectroscopy and electrical measurements. PDMS was chosen due to its flexibility and ease of surface modification by exposure to plasma and UV treatment, its transparency in UV-Vis regions of the light spectrum, and biocompatibility. The dual-detection mechanism allows the user more freedom in choosing the detection tool, ...

  12. Shadow edge lithography for nanoscale patterning and manufacturing

    International Nuclear Information System (INIS)

    Bai, John G; Chang, C-L; Chung, Jae-Hyun; Lee, Kyong-Hoon

    2007-01-01

    We demonstrate a wafer-scale nanofabrication method using the shadow effect in physical vapor deposition. An analytical model is presented to predict the formation of nanoscale gaps created by the shadow effect of a prepatterned edge on a deposition plane. The theoretical prediction agrees quantitatively with the widths of the fabricated nanogaps and nanochannels. In the diffusion experiments, both λ-DNA and fluorescein molecules were successfully introduced into the nanochannels. The proposed shadow edge lithography has potential to be a candidate for mass-producing nanostructures

  13. The superconducting x-ray lithography source program at Brookhaven

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G. P.; Heese, R. N.; Vignola, G.; Murphy, J. B.; Godel, J. B.; Hsieh, H.; Galayda, J.; Seifert, A.; Knotek, M. L.

    1989-07-01

    A compact electron storage ring with superconducting dipole magnets, is being developed at the National Synchrotron Light Source at Brookhaven. The parameters of the source have been optimized for its future use as an x-ray source for lithography. This first ring is a prototype which will be used to study the operating characteristics of machines of this type with particular attention being paid to low-energy injection and long beam lifetime.

  14. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    Science.gov (United States)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  15. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  16. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  17. Illumination system for X-ray lithography

    International Nuclear Information System (INIS)

    Buckley, W.D.

    1989-01-01

    An X-ray lithography system is described, comprising: a point source of X-Ray radiation; a wafer plane disposed in spaced relation to the point source of X-Ray radiation; a mask disposed between the point source of X-Ray radiation and the wafer plane whereby X-Ray radiation from the point source of X-ray radiation passes through the mask to the water plane; and X-Ray absorbent means mounted between the point source of X-Ray radiation and the wafer plane, the X-Ray absorbent means being of quadratically absorption from maximum absorption at the center to minimum absorption at the edge so as to have a radial absorption gradient profile to compensate for radial flux variation of the X-Ray radiation

  18. A simple electron-beam lithography system

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Madsen, Dorte Nørgaard; Bøggild, Peter

    2005-01-01

    A large number of applications of electron-beam lithography (EBL) systems in nanotechnology have been demonstrated in recent years. In this paper we present a simple and general-purpose EBL system constructed by insertion of an electrostatic deflector plate system at the electron-beam exit...... of the column of a scanning electron microscope (SEM). The system can easily be mounted on most standard SEM systems. The tested setup allows an area of up to about 50 x 50 pm to be scanned, if the upper limit for acceptable reduction of the SEM resolution is set to 10 run. We demonstrate how the EBL system can...... be used to write three-dimensional nanostructures by electron-beam deposition. (C) 2004 Elsevier B.V. All rights reserved....

  19. Review of vacuum systems for x-ray lithography light sources

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    This paper will review and give a status report on vacuum systems for X-Ray lithography light sources. It will include conventional machines and compact machines (machines using superconducting magnets). The vacuum systems will be described and compared with regard to basic machine parameters, pumping systems, types of pumps, chamber design and material, gauging and diagnostics, and machine performane. 23 refs., 8 figs., 1 tab

  20. Vacuum system design for a superconducting X-ray lithography light source

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    A superconducting electron storage ring for X-ray lithography (SXLS) is to be built at Brookhaven National Laboratory (BNL). The goal is to design and construct a light source specifically dedicated to X-ray lithography production and which would be used as a prototype in a technology transfer to American industry. The machine will be built in two phases: phase I, a low energy ring (200 MeV, 500 mA) using all room temperature magnets which will be used primarily for low energy injection studies. Phase II will be a full energy machine (690 MeV, 500 mA) where the room temperature 180 0 dipole magnets of phase I will be replaced with superconducting magnets. The machine, with a racetrack shape and a circumference of 8.5 m, is designed to be portable and replaceable as a single unit. This paper will discuss the vacuum system design for both phases; i.e. gas desorption, warm bore vs cold bore, ion trapping, clearing electrodes, and diagnostic instrumentation. (author)

  1. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  2. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    Science.gov (United States)

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  3. Diffraction efficiency of plasmonic gratings fabricated by electron beam lithography using a silver halide film

    Energy Technology Data Exchange (ETDEWEB)

    Sudheer,, E-mail: sudheer@rrcat.gov.in, E-mail: sudheer.rrcat@gmail.com; Tiwari, P.; Srivastava, Himanshu; Rai, V. N.; Srivastava, A. K.; Naik, P. A. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Indus Synchrotrons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Porwal, S. [Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Bhartiya, S. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Development and Device Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Rao, B. T. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Sharma, T. K. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India)

    2016-07-28

    The silver nanoparticle surface relief gratings of ∼10 μm period are fabricated using electron beam lithography on the silver halide film substrate. Morphological characterization of the gratings shows that the period, the shape, and the relief depth in the gratings are mainly dependent on the number of lines per frame, the spot size, and the accelerating voltage of electron beam raster in the SEM. Optical absorption of the silver nanoparticle gratings provides a broad localized surface plasmon resonance peak in the visible region, whereas the intensity of the peaks depends on the number density of silver nanoparticles in the gratings. The maximum efficiency of ∼7.2% for first order diffraction is observed for the grating fabricated at 15 keV. The efficiency is peaking at 560 nm with ∼380 nm bandwidth. The measured profiles of the diffraction efficiency for the gratings are found in close agreement with the Raman-Nath diffraction theory. This technique provides a simple and efficient method for the fabrication of plasmonic nanoparticle grating structures with high diffraction efficiency having broad wavelength tuning.

  4. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  5. Realization of a diamond based high density multi electrode array by means of Deep Ion Beam Lithography

    International Nuclear Information System (INIS)

    Picollo, F.; Battiato, A.; Bernardi, E.; Boarino, L.; Enrico, E.; Forneris, J.; Gatto Monticone, D.; Olivero, P.

    2015-01-01

    In the present work we report about a parallel-processing ion beam fabrication technique whereby high-density sub-superficial graphitic microstructures can be created in diamond. Ion beam implantation is an effective tool for the structural modification of diamond: in particular ion-damaged diamond can be converted into graphite, therefore obtaining an electrically conductive phase embedded in an optically transparent and highly insulating matrix. The proposed fabrication process consists in the combination of Deep Ion Beam Lithography (DIBL) and Focused Ion Beam (FIB) milling. FIB micromachining is employed to define micro-apertures in the contact masks consisting of thin (<10 μm) deposited metal layers through which ions are implanted in the sample. A prototypical single-cell biosensor was realized with the above described technique. The biosensor has 16 independent electrodes converging inside a circular area of 20 μm diameter (typical neuroendocrine cells size) for the simultaneous recording of amperometric signals

  6. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    Science.gov (United States)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  7. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    Energy Technology Data Exchange (ETDEWEB)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  8. Aberration measurement of projection optics in lithographic tools based on two-beam interference theory

    International Nuclear Information System (INIS)

    Ma Mingying; Wang Xiangzhao; Wang Fan

    2006-01-01

    The degradation of image quality caused by aberrations of projection optics in lithographic tools is a serious problem in optical lithography. We propose what we believe to be a novel technique for measuring aberrations of projection optics based on two-beam interference theory. By utilizing the partial coherent imaging theory, a novel model that accurately characterizes the relative image displacement of a fine grating pattern to a large pattern induced by aberrations is derived. Both even and odd aberrations are extracted independently from the relative image displacements of the printed patterns by two-beam interference imaging of the zeroth and positive first orders. The simulation results show that by using this technique we can measure the aberrations present in the lithographic tool with higher accuracy

  9. Aberration measurement of projection optics in lithographic tools based on two-beam interference theory.

    Science.gov (United States)

    Ma, Mingying; Wang, Xiangzhao; Wang, Fan

    2006-11-10

    The degradation of image quality caused by aberrations of projection optics in lithographic tools is a serious problem in optical lithography. We propose what we believe to be a novel technique for measuring aberrations of projection optics based on two-beam interference theory. By utilizing the partial coherent imaging theory, a novel model that accurately characterizes the relative image displacement of a fine grating pattern to a large pattern induced by aberrations is derived. Both even and odd aberrations are extracted independently from the relative image displacements of the printed patterns by two-beam interference imaging of the zeroth and positive first orders. The simulation results show that by using this technique we can measure the aberrations present in the lithographic tool with higher accuracy.

  10. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    Science.gov (United States)

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  11. Laser beam soldering of micro-optical components

    Science.gov (United States)

    Eberhardt, R.

    2003-05-01

    MOTIVATION Ongoing miniaturisation and higher requirements within optical assemblies and the processing of temperature sensitive components demands for innovative selective joining techniques. So far adhesive bonding has primarily been used to assemble and adjust hybrid micro optical systems. However, the properties of the organic polymers used for the adhesives limit the application of these systems. In fields of telecommunication and lithography, an enhancement of existing joining techniques is necessary to improve properties like humidity resistance, laserstability, UV-stability, thermal cycle reliability and life time reliability. Against this background laser beam soldering of optical components is a reasonable joining technology alternative. Properties like: - time and area restricted energy input - energy input can be controlled by the process temperature - direct and indirect heating of the components is possible - no mechanical contact between joining tool and components give good conditions to meet the requirements on a joining technology for sensitive optical components. Additionally to the laser soldering head, for the assembly of optical components it is necessary to include positioning units to adjust the position of the components with high accuracy before joining. Furthermore, suitable measurement methods to characterize the soldered assemblies (for instance in terms of position tolerances) need to be developed.

  12. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-07-01

    IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.

  13. Multilayer, Stacked Spiral Copper Inductors on Silicon with Micro-Henry Inductance Using Single-Level Lithography

    Directory of Open Access Journals (Sweden)

    Timothy Reissman

    2012-01-01

    Full Text Available We present copper structures composed of multilayer, stacked inductors (MLSIs with tens of micro-Henry inductance for use in low frequency (sub 100 MHz, power converter technology. Unique to this work is the introduction of single-level lithography over the traditional two-level approach to create each inductor layer. The result is a simplified fabrication process which results in a reduction in the number of lithography steps per inductor (metal layer and a reduction in the necessary alignment precision. Additionally, we show that this fabrication process yields strong adhesion amongst the layers, since even after a postprocess abrasion technique at the inner diameter of the inductors, no shearing occurs and connectivity is preserved. In total, three separate structures were fabricated using the single-level lithography approach, each with a three-layered, stacked inductor design but with varied geometries. Measured values for each of the structures were extracted, and the following results were obtained: inductance values of 24.74, 17.25, and 24.74 μH, self-resonances of 9.87, 5.72, and 10.58 MHz, and peak quality factors of 2.26, 2.05, and 4.6, respectively. These values are in good agreement with the lumped parameter model presented.

  14. Integrated Micro-Optical Fluorescence Detection System for Microfluidic Electrochromatography

    International Nuclear Information System (INIS)

    ALLERMAN, ANDREW A.; ARNOLD, DON W.; ASBILL, RANDOLPH E.; BAILEY, CHRISTOPHER G.; CARTER, TONY RAY; KEMME, SHANALYN A.; MATZKE, CAROLYN M.; SAMORA, SALLY; SWEATT, WILLIAM C.; WARREN, MIAL E.; WENDT, JOEL R.

    1999-01-01

    The authors describe the design and microfabrication of an extremely compact optical system as a key element in an integrated capillary-channel electrochromatograph with laser induced fluorescence detection. The optical design uses substrate-mode propagation within the fused silica substrate. The optical system includes a vertical cavity surface-emitting laser (VCSEL) array, two high performance microlenses and a commercial photodetector. The microlenses are multilevel diffractive optics patterned by electron beam lithography and etched by reactive ion etching in fused silica. Two generations of optical subsystems are described. The first generation design is integrated directly onto the capillary channel-containing substrate with a 6 mm separation between the VCSEL and photodetector. The second generation design separates the optical system onto its own module and the source to detector length is further compressed to 3.5 mm. The systems are designed for indirect fluorescence detection using infrared dyes. The first generation design has been tested with a 750 nm VCSEL exciting a 10(sup -4) M solution of CY-7 dye. The observed signal-to-noise ratio of better than 100:1 demonstrates that the background signal from scattered pump light is low despite the compact size of the optical system and meets the system sensitivity requirements

  15. Layout compliance for triple patterning lithography: an iterative approach

    Science.gov (United States)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  16. Fabrication of phosphor micro-grids using proton beam lithography

    International Nuclear Information System (INIS)

    Rossi, Paolo; Antolak, Arlyn J.; Provencio, Paula Polyak; Doyle, Barney Lee; Malmqvist, Klas; Hearne, Sean Joseph; Nilsson, Christer; Kristiansson, Per; Wegden, Marie; Elfman, Mikael; Pallon, Jan; Auzelyte, Vaida

    2005-01-01

    A new nuclear microscopy technique called ion photon emission microscopy or IPEM was recently invented. IPEM allows analysis involving single ions, such as ion beam induced charge (IBIC) or single event upset (SEU) imaging using a slightly modified optical microscope. The spatial resolution of IPEM is currently limited to more than 10 (micro)m by the scattering and reflection of ion-induced photons, i.e. light blooming or spreading, in the ionoluminescent phosphor layer. We are developing a 'Microscopic Gridded Phosphor' (also called Black Matrix) where the phosphor nanocrystals are confined within the gaps of a micrometer scale opaque grid, which limits the amount of detrimental light blooming. MeV-energy proton beam lithography is ideally suited to lithographically form masks for the grid because of high aspect ratio, pattern density and sub-micron resolution of this technique. In brief, the fabrication of the grids was made in the following manner: (1) a MeV proton beam focused to 1.5-2 (micro)m directly fabricated a matrix of pillars in a 15 (micro)m thick SU-8 lithographic resist; (2) 7:1 aspect ratio pillars were then formed by developing the proton exposed area; (3) Ni (Au) was electrochemically deposited onto Cu-coated Si from a sulfamate bath (or buffered CN bath); (4) the SU-8 pillars were removed by chemical etching; finally (5) the metal micro-grid was freed from its substrate by etching the underlying Cu layer. Our proposed metal micro-grids promise an order-of-magnitude improvement in the resolution of IPEM.

  17. Nanofocusing optics for synchrotron radiation made from polycrystalline diamond.

    Science.gov (United States)

    Fox, O J L; Alianelli, L; Malik, A M; Pape, I; May, P W; Sawhney, K J S

    2014-04-07

    Diamond possesses many extreme properties that make it an ideal material for fabricating nanofocusing x-ray optics. Refractive lenses made from diamond are able to focus x-ray radiation with high efficiency but without compromising the brilliance of the beam. Electron-beam lithography and deep reactive-ion etching of silicon substrates have been used in a transfer-molding technique to fabricate diamond optics with vertical and smooth sidewalls. Latest generation compound refractive lenses have seen an improvement in the quality and uniformity of the optical structures, resulting in an increase in their focusing ability. Synchrotron beamline tests of two recent lens arrays, corresponding to two different diamond morphologies, are described. Focal line-widths down to 210 nm, using a nanocrystalline diamond lens array and a beam energy of E = 11 keV, and 230 nm, using a microcrystalline diamond lens at E = 15 keV, have been measured using the Diamond Light Source Ltd. B16 beamline. This focusing prowess is combined with relatively high transmission through the lenses compared with silicon refractive designs and other diffractive optics.

  18. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    Science.gov (United States)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  19. Playing with small objects Nano lithography and manipulation A.K. ...

    Indian Academy of Sciences (India)

    Table of contents. Playing with small objects Nano lithography and manipulation A.K.Raychaudhuri Department of Physics IISc · PowerPoint Presentation · Slide 3 · Slide 4 · Slide 5 · Slide 6 · Atomic Force Microscopy · Slide 8 · Slide 9 · Slide 10 · Slide 11 · Slide 12 · Slide 13 · Slide 14 · Slide 15 · Slide 16 · Slide 17 · Slide 18.

  20. Dimensional characterization of biperiodic imprinted structures using optical scatterometry

    KAUST Repository

    Gereige, Issam

    2013-12-01

    In this paper, we report on the characterization of biperiodic imprinted structures using a non-destructive optical technique commonly called scatterometry. The nanostructures consist of periodic arrays of square and circular dots which were imprinted in a thermoplastic polymer by thermal nanoimprint lithography. Optical measurements were performed using spectroscopic ellipsometry in the spectral region of 1.5-4 eV. The geometrical profiles of the imprinted structures were reconstructed using the Rigorous Coupled-Wave Analysis (RCWA) to model the diffraction phenomena by periodic gratings. The technique was also adapted for large scale evaluation of the imprint process. Uniqueness of the solution was examined by analyzing the diffraction of the structure at different experimental conditions, for instance at various angles of incidence. © 2013 Elsevier B.V. All rights reserved.

  1. Optical Properties and Immunoassay Applications of Noble Metal Nanoparticles

    International Nuclear Information System (INIS)

    Zhu, S.; Zhou, W.

    2010-01-01

    Noble metal, especially gold (Au) and silver (Ag) nanoparticles exhibit unique and tunable optical properties on account of their surface plasmon resonance (SPR). In this paper, we mainly discussed the theory background of the enhanced optical properties of noble metal nanoparticles. Mie theory, transfer matrix method, discrete dipole approximation (DDA) method, and finite-difference time domain (FDTD) method applied brute-force computational methods for different nanoparticles optical properties. Some important nanostructure fabrication technologies such as nanosphere lithography (NSL) and focused ion beam (FIB) are also introduced in this paper. Moreover, these fabricated nanostructures are used in the plasmonic sensing fields. The binding signal between the antibody and antigen, amyloid-derived diffusible ligands (ADDLs)-potential Alzheimer's disease (AD) biomarkers, and staphylococcal enterotoxin B (SEB) in nano-Moore per liter (nM) concentration level are detected by our designed nanobiosensor. They have many potential applications in the biosensor, environment protection, food security, and medicine safety for health, and so forth, fields.

  2. Optical Properties and Immunoassay Applications of Noble Metal Nanoparticles

    Directory of Open Access Journals (Sweden)

    Shaoli Zhu

    2010-01-01

    Full Text Available Noble metal, especially gold (Au and silver (Ag nanoparticles exhibit unique and tunable optical properties on account of their surface plasmon resonance (SPR. In this paper, we mainly discussed the theory background of the enhanced optical properties of noble metal nanoparticles. Mie theory, transfer matrix method, discrete dipole approximation (DDA method, and finite-difference time domain (FDTD method applied brute-force computational methods for different nanoparticles optical properties. Some important nanostructure fabrication technologies such as nanosphere lithography (NSL and focused ion beam (FIB are also introduced in this paper. Moreover, these fabricated nanostructures are used in the plasmonic sensing fields. The binding signal between the antibody and antigen, amyloid-derived diffusible ligands (ADDLs-potential Alzheimer's disease (AD biomarkers, and staphylococcal enterotixn B (SEB in nano-Moore per liter (nM concentration level are detected by our designed nanobiosensor. They have many potential applications in the biosensor, environment protection, food security, and medicine safety for health, and so forth, fields.

  3. 40 keV Shaped electron beam lithography for LIGA intermediate mask fabrication

    NARCIS (Netherlands)

    Luttge, R.; Adam, D.; Burkhardt, F.; Hoke, F.; Schacke, H.; Schmidt, M.; Wolf, H.; Schmidt, A.

    1999-01-01

    High precision LIGA masks require a soft X-ray pattern transfer from intermediate masks by means of electron beam lithography. Such a process has been realized using an upgraded Leica ZBA 23 machine with an acceleration voltage of 40 kV. Three process variations of the developer system, so called GG

  4. Lithography-induced limits to scaling of design quality

    Science.gov (United States)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  5. Optical magnetism in planar metamaterial heterostructures.

    Science.gov (United States)

    Papadakis, Georgia T; Fleischman, Dagny; Davoyan, Artur; Yeh, Pochi; Atwater, Harry A

    2018-01-18

    Harnessing artificial optical magnetism has previously required complex two- and three-dimensional structures, such as nanoparticle arrays and split-ring metamaterials. By contrast, planar structures, and in particular dielectric/metal multilayer metamaterials, have been generally considered non-magnetic. Although the hyperbolic and plasmonic properties of these systems have been extensively investigated, their assumed non-magnetic response limits their performance to transverse magnetic (TM) polarization. We propose and experimentally validate a mechanism for artificial magnetism in planar multilayer metamaterials. We also demonstrate that the magnetic properties of high-index dielectric/metal hyperbolic metamaterials can be anisotropic, leading to magnetic hyperbolic dispersion in certain frequency regimes. We show that such systems can support transverse electric polarized interface-bound waves, analogous to their TM counterparts, surface plasmon polaritons. Our results open a route for tailoring optical artificial magnetism in lithography-free layered systems and enable us to generalize the plasmonic and hyperbolic properties to encompass both linear polarizations.

  6. High-throughput fabrication of anti-counterfeiting colloid-based photoluminescent microtags using electrical nanoimprint lithography

    International Nuclear Information System (INIS)

    Diaz, R; Palleau, E; Poirot, D; Sangeetha, N M; Ressier, L

    2014-01-01

    This work demonstrates the excellent capability of the recently developed electrical nanoimprint lithography (e-NIL) technique for quick, high-throughput production of well-defined colloid assemblies on surfaces. This is shown by fabricating micron-sized photoluminescent quick response (QR) codes based on the electrostatic directed trapping (so called nanoxerography process) of 28 nm colloidal lanthanide-doped upconverting NaYF 4 nanocrystals. Influencing experimental parameters have been optimized and the contribution of triboelectrification in e-NIL was evidenced. Under the chosen conditions, more than 300 000 nanocrystal-based QR codes were fabricated on a 4 inch silicon wafer, in less than 15 min. These microtags were then transferred to transparent flexible films, to be easily integrated onto desired products. Invisible to the naked eye, they can be decoded and authenticated using an optical microscopy image of their specific photoluminescence mapping. Beyond this very promising application for product tracking and the anti-counterfeiting strategies, e-NIL nanoxerography, potentially applicable to any types of charged and/or polarizable colloids and pattern geometries opens up tremendous opportunities for industrial scale production of various other kinds of colloid-based devices and sensors. (paper)

  7. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  8. Photonic integrated circuits: new challenges for lithography

    Science.gov (United States)

    Bolten, Jens; Wahlbrink, Thorsten; Prinzen, Andreas; Porschatis, Caroline; Lerch, Holger; Giesecke, Anna Lena

    2016-10-01

    In this work routes towards the fabrication of photonic integrated circuits (PICs) and the challenges their fabrication poses on lithography, such as large differences in feature dimension of adjacent device features, non-Manhattan-type features, high aspect ratios and significant topographic steps as well as tight lithographic requirements with respect to critical dimension control, line edge roughness and other key figures of merit not only for very small but also for relatively large features, are highlighted. Several ways those challenges are faced in today's low-volume fabrication of PICs, including the concept multi project wafer runs and mix and match approaches, are presented and possible paths towards a real market uptake of PICs are discussed.

  9. Development of GaN-based nanosensors using surface charge lithography

    International Nuclear Information System (INIS)

    Popa, Veaceslav; Braniste, Tudor; Volciuc, Olesea; Pavlidis, Dimitris; Sarua, Andrei; Kuball, Martin; Heard, Peter

    2011-01-01

    Semiconductor nanotechnology is a fast developing branch of modern engineering that offers perspectives for the development of electronic devices with superior parameters. A special and important niche in nanotechnology is allocated to the fabrication of nanosensors which are expected to exhibit higher sensitivity in comparison with classical microelectronic sensors. Various aspects of fabrication of GaN based nanosensors using Surface Charge Lithography are discussed and preliminary tests for gas sensors applications are presented.

  10. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  11. Experimental demonstration of line-width modulation in plasmonic lithography using a solid immersion lens-based active nano-gap control

    International Nuclear Information System (INIS)

    Lee, Won-Sup; Kim, Taeseob; Choi, Guk-Jong; Lim, Geon; Joe, Hang-Eun; Gang, Myeong-Gu; Min, Byung-Kwon; Park, No-Cheol; Moon, Hyungbae; Kim, Do-Hyung; Park, Young-Pil

    2015-01-01

    Plasmonic lithography has been used in nanofabrication because of its utility beyond the diffraction limit. The resolution of plasmonic lithography depends on the nano-gap between the nanoaperture and the photoresist surface—changing the gap distance can modulate the line-width of the pattern. In this letter, we demonstrate solid-immersion lens based active non-contact plasmonic lithography, applying a range of gap conditions to modulate the line-width of the pattern. Using a solid-immersion lens-based near-field control system, the nano-gap between the exit surface of the nanoaperture and the media can be actively modulated and maintained to within a few nanometers. The line-widths of the recorded patterns using 15- and 5-nm gaps were 47 and 19.5 nm, respectively, which matched closely the calculated full-width at half-maximum. From these results, we conclude that changing the nano-gap within a solid-immersion lens-based plasmonic head results in varying line-width patterns

  12. Latex particle template lift-up guided gold wire-networks via evaporation lithography

    KAUST Repository

    Lone, Saifullah; Vakarelski, Ivan Uriev; Chew, Basil; Wang, Zhihong; Thoroddsen, Sigurdur T

    2014-01-01

    We describe a hybrid methodology that combines a two dimensional (2D) monolayer of latex particles (with a pitch size down to 1 μm) prepared by horizontal dry deposition, lift-up of a 2D template onto flat surfaces and evaporation lithography to fabricate metal micro- and nano wire-networks. This journal is

  13. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    International Nuclear Information System (INIS)

    Alonso-Gonzalez, Pablo; Gonzalez, Luisa; Gonzalez, Yolanda; Fuster, David; Fernandez-Martinez, Ivan; Martin-Sanchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs quantum dot (QD) arrays with high optical quality from the first layer of QDs formed on the patterned substrate. The main result is the development of a patterning technology that allows the engineering of customized geometrical displays of QDs with the same optical quality as those formed spontaneously on flat non-patterned substrates

  14. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  15. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    Science.gov (United States)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  16. Dr.LiTHO: a development and research lithography simulator

    Science.gov (United States)

    Fühner, Tim; Schnattinger, Thomas; Ardelean, Gheorghe; Erdmann, Andreas

    2007-03-01

    This paper introduces Dr.LiTHO, a research and development oriented lithography simulation environment developed at Fraunhofer IISB to flexibly integrate our simulation models into one coherent platform. We propose a light-weight approach to a lithography simulation environment: The use of a scripting (batch) language as an integration platform. Out of the great variety of different scripting languages, Python proved superior in many ways: It exhibits a good-natured learning-curve, it is efficient, available on virtually any platform, and provides sophisticated integration mechanisms for existing programs. In this paper, we will describe the steps, required to provide Python bindings for existing programs and to finally generate an integrated simulation environment. In addition, we will give a short introduction into selected software design demands associated with the development of such a framework. We will especially focus on testing and (both technical and user-oriented) documentation issues. Dr.LiTHO Python files contain not only all simulation parameter settings but also the simulation flow, providing maximum flexibility. In addition to relatively simple batch jobs, repetitive tasks can be pooled in libraries. And as Python is a full-blown programming language, users can add virtually any functionality, which is especially useful in the scope of simulation studies or optimization tasks, that often require masses of evaluations. Furthermore, we will give a short overview of the numerous existing Python packages. Several examples demonstrate the feasibility and productiveness of integrating Python packages into custom Dr.LiTHO scripts.

  17. 75 FR 81643 - In the Matter of Certain Semiconductor Products Made by Advanced Lithography Techniques and...

    Science.gov (United States)

    2010-12-28

    ... Semiconductor Products Made by Advanced Lithography Techniques and Products Containing Same; Notice of... Mexico) (``STC''), alleging a violation of section 337 in the importation, sale for [[Page 81644

  18. Multichannel silicon WDM ring filters fabricated with DUV lithography

    Science.gov (United States)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  19. Optical sensor based on a single CdS nanobelt.

    Science.gov (United States)

    Li, Lei; Yang, Shuming; Han, Feng; Wang, Liangjun; Zhang, Xiaotong; Jiang, Zhuangde; Pan, Anlian

    2014-04-23

    In this paper, an optical sensor based on a cadmium sulfide (CdS) nanobelt has been developed. The CdS nanobelt was synthesized by the vapor phase transportation (VPT) method. X-Ray Diffraction (XRD) and Transmission Electron Microscopy (TEM) results revealed that the nanobelt had a hexagonal wurtzite structure of CdS and presented good crystal quality. A single nanobelt Schottky contact optical sensor was fabricated by the electron beam lithography (EBL) technique, and the device current-voltage results showed back-to-back Schottky diode characteristics. The photosensitivity, dark current and the decay time of the sensor were 4 × 10⁴, 31 ms and 0.2 pA, respectively. The high photosensitivity and the short decay time were because of the exponential dependence of photocurrent on the number of the surface charges and the configuration of the back to back Schottky junctions.

  20. Aligned nanowire growth using lithography-assisted bonding of a polycarbonate template for neural probe electrodes

    International Nuclear Information System (INIS)

    Yoon, Hargsoon; Deshpande, Devesh C; Ramachandran, Vasuda; Varadan, Vijay K

    2008-01-01

    This research presents a fabrication method of vertically aligned nanowires on substrates using lithography-assisted template bonding (LATB) towards developing highly efficient electrodes for biomedical applications at low cost. A polycarbonate template containing cylindrical nanopores is attached to a substrate and the nanopores are selectively opened with a modified lithography process. Vertically aligned nanowires are grown by electrochemical deposition through these open pores on polyimide film and silicon substrates. The process of opening the nanopores is optimized to yield uniform growth of nanowires. The morphological, crystalline, and electrochemical properties of the resulting vertically aligned nanowires are discussed using scanning electron microscopy (SEM), x-ray diffraction (XRD), and electrochemical analysis tools. The potential application of this simple and inexpensive fabrication technology is discussed in the development of neural probe electrodes

  1. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  2. Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination

    Science.gov (United States)

    Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert

    2006-03-01

    Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further

  3. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    Science.gov (United States)

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  4. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  5. Transmission and group-delay characterization of coupled resonator optical waveguides apodized through the longitudinal offset technique.

    Science.gov (United States)

    Doménech, J D; Muñoz, P; Capmany, J

    2011-01-15

    In this Letter, the amplitude and group delay characteristics of coupled resonator optical waveguides apodized through the longitudinal offset technique are presented. The devices have been fabricated in silicon-on-insulator technology employing deep ultraviolet lithography. The structures analyzed consisted of three racetracks resonators uniform (nonapodized) and apodized with the aforementioned technique, showing a delay of 5 ± 3 ps and 4 ± 0.5 ps over 1.6 and 1.4 nm bandwidths, respectively.

  6. Imprint lithography provides topographical nanocues to guide cell growth in primary cortical cell culture

    NARCIS (Netherlands)

    Xie, S.; Luttge, R.

    2014-01-01

    In this paper, we describe a technology platform to study the effect of nanocues on the cell growth direction in primary cortical cell culture. Topographical cues to cells are provided using nanoscale features created by Jet and Flash Imprint Lithography, coated with polyethylenimine. We

  7. 75 FR 44015 - Certain Semiconductor Products Made by Advanced Lithography Techniques and Products Containing...

    Science.gov (United States)

    2010-07-27

    ... Advanced Lithography Techniques and Products Containing Same; Notice of Investigation AGENCY: U.S... violations of section 337 based upon the importation into the United States, the sale for importation, and the sale within the United States after importation of certain semiconductor products made by advanced...

  8. Toward a nanoimprinted nanoantenna to perform optical rectification through molecular diodes

    Science.gov (United States)

    Reynaud, C. A.; Duché, D.; Ruiz, C. M.; Palanchoke, U.; Patrone, L.; Le Rouzo, J.; Labau, S.; Frolet, N.; Gourgon, C.; Alfonso, C.; Charaï, A.; Lebouin, C.; Simon, J.-J.; Escoubas, L.

    2017-12-01

    This work presents investigations about the realization and modelization of rectenna solar cells. Rectennas are antennas coupled with a rectifier to convert the alternative current originating from the antenna into direct current that can be harvested and stored. By reducing the size of the antennas to the nanoscale, interactions with visible and near-infrared light become possible. If techniques such as nanoimprint lithography make possible the fabrication of sufficiently small plasmonic structures to act as optical antennas, the concept of rectenna still faces several challenges. One of the most critical point is to achieve rectification at optical frequencies. To address this matter, we propose to use molecular diodes (ferrocenyl-alkanethiol) that can be self-assembled on metallic surfaces such as gold or silver. In this paper, we present a basic rectenna theory as well as finite-difference time-domain (FDTD) optical simulations of plasmonic structures and experimental results of both nanoimprint fabrication of samples and characterizations by electron microscopy, Raman spectroscopy, and cyclic voltammetry techniques.

  9. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  10. Optical Nano-antennae as Compact and Efficient Couplers from Free-space to Waveguide Modes

    DEFF Research Database (Denmark)

    Zenin, Vladimir A.; Malureanu, Radu; Volkov, Valentyn

    2015-01-01

    Optical nano-antennae are one of the possible solutions for coupling free-space radiation into subwavelength waveguides. Our efforts were concentrated on coupling between an optical fibre and a plasmonic slot waveguide. Such coupling is still an issue to be solved in order to advance the use...... of plasmonic waveguides for optical interconnects. During the talk, we will present our modelling optimisation, fabrication and measurement of the nano-antennae functionality. For the modelling part, we used CST Microwave studio for optimising the antenna geometry. Various antennae were modelled and fabricated....... The fabrication was based on electron beam lithography and lift-off processes. The measurements were performed with scattering scanning near-field microscope and allowed the retrieval of both amplitude and phase of the propagating plasmon. The obtained values agree very well with the theoretically predicted ones...

  11. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  12. X-ray lithography for micro- and nano-fabrication at ELETTRA for interdisciplinary applications

    International Nuclear Information System (INIS)

    Di Fabrizio, E; Fillipo, R; Cabrini, S

    2004-01-01

    ELETTRA (http://www.elettra.trieste.it/index.html) is a third generation synchrotron radiation source facility operating at Trieste, Italy, and hosts a wide range of research activities in advanced materials analysis and processing, biology and nano-science at several various beam lines. The energy spectrum of ELETTRA allows x-ray nano-lithography using soft (1.5 keV) and hard x-ray (10 keV) wavelengths. The Laboratory for Interdisciplinary Lithography (LIILIT) was established in 1998 as part of an Italian national initiative on micro- and nano-technology project of INFM and is funded and supported by the Italian National Research Council (CNR), INFM and ELETTRA. LILIT had developed two dedicated lithographic beam lines for soft (1.5 keV) and hard x-ray (10 keV) for micro- and nano-fabrication activities for their applications in engineering, science and bio-medical applications. In this paper, we present a summary of our research activities in micro- and nano-fabrication involving x-ray nanolithography at LILIT's soft and hard x-ray beam lines

  13. High brightness--multiple beamlets source for patterned X-ray production

    Science.gov (United States)

    Leung, Ka-Ngo [Hercules, CA; Ji, Qing [Albany, CA; Barletta, William A [Oakland, CA; Jiang, Ximan [El Cerrito, CA; Ji, Lili [Albany, CA

    2009-10-27

    Techniques for controllably directing beamlets to a target substrate are disclosed. The beamlets may be either positive ions or electrons. It has been shown that beamlets may be produced with a diameter of 1 .mu.m, with inter-aperture spacings of 12 .mu.m. An array of such beamlets, may be used for maskless lithography. By step-wise movement of the beamlets relative to the target substrate, individual devices may be directly e-beam written. Ion beams may be directly written as well. Due to the high brightness of the beamlets from extraction from a multicusp source, exposure times for lithographic exposure are thought to be minimized. Alternatively, the beamlets may be electrons striking a high Z material for X-ray production, thereafter collimated to provide patterned X-ray exposures such as those used in CAT scans. Such a device may be used for remote detection of explosives.

  14. X-ray lithography source (SXLS) vacuum system

    International Nuclear Information System (INIS)

    Schuchman, J.C.; Aloia, J.; Hsieh, H.; Kim, T.; Pjerov, S.

    1989-01-01

    In 1988 Brookhaven National Laboratory (BNL) was awarded a contract to design and construct a compact light source for x-ray lithography. This award is part of a technology transfer-to-American-industry program. The contract is for an electron storage ring designed for 690 MeV-500 ma operations. It has a racetrack configuration with a circumference to 8.5 meters. The machine is to be constructed in two phases. Phase I (200 MeV-500ma) will primarily be for low energy injection studies and will incorporate all room temperature magnets. For Phase II the two room temperature dipole magnets will be replaced with (4T) superconducting magnets and operation will be at 690 MeV. This paper describes the vacuum system for this machine. 9 refs

  15. Selective binding of oligonucleotide on TiO2 surfaces modified by swift heavy ion beam lithography

    International Nuclear Information System (INIS)

    Vicente Pérez-Girón, J.; Hirtz, M.; McAtamney, C.; Bell, A.P.; Antonio Mas, J.; Jaafar, M.; Luis, O. de; Fuchs, H.

    2014-01-01

    We have used swift heavy-ion beam based lithography to create patterned bio-functional surfaces on rutile TiO 2 single crystals. The applied lithography method generates a permanent and well defined periodic structure of micrometre sized square holes having nanostructured TiO 2 surfaces, presenting different physical and chemical properties compared to the surrounding rutile single crystal surface. On the patterned substrates selective binding of oligonucleotides molecules is possible at the surfaces of the holes. This immobilisation process is only being controlled by UV light exposure. The patterned transparent substrates are compatible with fluorescence detection techniques, are mechanically robust, have a high tolerance to extreme chemical and temperature environments, and apparently do not degrade after ten cycles of use. These qualities make the patterned TiO 2 substrates useful for potential biosensor applications

  16. Optical Sensor Based on a Single CdS Nanobelt

    Directory of Open Access Journals (Sweden)

    Lei Li

    2014-04-01

    Full Text Available In this paper, an optical sensor based on a cadmium sulfide (CdS nanobelt has been developed. The CdS nanobelt was synthesized by the vapor phase transportation (VPT method. X-Ray Diffraction (XRD and Transmission Electron Microscopy (TEM results revealed that the nanobelt had a hexagonal wurtzite structure of CdS and presented good crystal quality. A single nanobelt Schottky contact optical sensor was fabricated by the electron beam lithography (EBL technique, and the device current-voltage results showed back-to-back Schottky diode characteristics. The photosensitivity, dark current and the decay time of the sensor were 4 × 104, 31 ms and 0.2 pA, respectively. The high photosensitivity and the short decay time were because of the exponential dependence of photocurrent on the number of the surface charges and the configuration of the back to back Schottky junctions.

  17. Probing Leader Cells in Endothelial Collective Migration by Plasma Lithography Geometric Confinement

    OpenAIRE

    Yongliang Yang; Nima Jamilpour; Baoyin Yao; Zachary S. Dean; Reza Riahi; Pak Kin Wong

    2016-01-01

    When blood vessels are injured, leader cells emerge in the endothelium to heal the wound and restore the vasculature integrity. The characteristics of leader cells during endothelial collective migration under diverse physiological conditions, however, are poorly understood. Here we investigate the regulation and function of endothelial leader cells by plasma lithography geometric confinement generated. Endothelial leader cells display an aggressive phenotype, connect to follower cells via pe...

  18. Optical vortex beams: Generation, propagation and applications

    Science.gov (United States)

    Cheng, Wen

    An optical vortex (also known as a screw dislocation or phase singularity) is one type of optical singularity that has a spiral phase wave front around a singularity point where the phase is undefined. Optical vortex beams have a lot of applications in areas such as optical communications, LADAR (laser detection and ranging) system, optical tweezers, optical trapping and laser beam shaping. The concepts of optical vortex beams and methods of generation are briefly discussed. The properties of optical vortex beams propagating through atmospheric turbulence have been studied. A numerical modeling is developed and validated which has been applied to study the high order properties of optical vortex beams propagating though a turbulent atmosphere. The simulation results demonstrate the advantage that vectorial vortex beams may be more stable and maintain beam integrity better when they propagate through turbulent atmosphere. As one important application of optical vortex beams, the laser beam shaping is introduced and studied. We propose and demonstrate a method to generate a 2D flat-top beam profile using the second order full Poincare beams. Its applications in two-dimensional flat-top beam shaping with spatially variant polarization under low numerical aperture focusing have been studied both theoretically and experimentally. A novel compact flat-top beam shaper based on the proposed method has been designed, fabricated and tested. Experimental results show that high quality flat-top profile can be obtained with steep edge roll-off. The tolerance to different input beam sizes of the beam shaper is also verified in the experimental demonstration. The proposed and experimentally verified LC beam shaper has the potential to become a promising candidate for compact and low-cost flat-top beam shaping in areas such as laser processing/machining, lithography and medical treatment.

  19. Enhanced optical power of GaN-based light-emitting diode with compound photonic crystals by multiple-exposure nanosphere-lens lithography

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Xiong, Zhuo; Shang, Liang; Tian, Yingdong; Zhao, Yun; Zhou, Pengyu; Wang, Junxi; Li, Jinmin [Semiconductor Lighting Technology Research and Development Center, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2014-07-07

    The light-emitting diodes (LEDs) with single, twin, triple, and quadruple photonic crystals (PCs) on p-GaN are fabricated by multiple-exposure nanosphere-lens lithography (MENLL) process utilizing the focusing behavior of polystyrene spheres. Such a technique is easy and economical for use in fabricating compound nano-patterns. The optimized tilted angle is decided to be 26.6° through mathematic calculation to try to avoid the overlay of patterns. The results of scanning electron microscopy and simulations reveal that the pattern produced by MENLL is a combination of multiple ovals. Compared to planar-LED, the light output power of LEDs with single, twin, triple, and quadruple PCs is increased by 14.78%, 36.03%, 53.68%, and 44.85% under a drive current 350 mA, respectively. Furthermore, all PC-structures result in no degradation of the electrical properties. The stimulated results indicate that the highest light extraction efficiency of LED with the clover-shape triple PC is due to the largest scattering effect on propagation of light from GaN into air.

  20. Nanostructured Polymer Brushes by UV-Assisted Imprint Lithography and Surface-Initiated Polymerization for Biological Functions

    NARCIS (Netherlands)

    Benetti, Edmondo Maria; Acikgoz, C.; Sui, Xiaofeng; Vratzov, Boris; Hempenius, Mark A.; Huskens, Jurriaan; Vancso, Gyula J.

    2011-01-01

    Functional polymer brush nanostructures are obtained by combining step-and-flash imprint lithography (SFIL) with controlled, surface-initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub-100 nm range. The patterns exhibit

  1. Mask-less deposition of Au–SnO_2 nanocomposites on CMOS MEMS platform for ethanol detection

    International Nuclear Information System (INIS)

    Santra, S; Sinha, A K; Ray, S K; De Luca, A; Udrea, F; Ali, S Z; Gardner, J W; Guha, P K

    2016-01-01

    Here we report on the mask-less deposition of Au–SnO_2 nanocomposites with a silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) micro electro mechanical system (MEMS) platform through the use of dip pen nanolithography (DPN) to create a low-cost ethanol sensor. MEMS technology is used in order to achieve low power consumption, by the employment of a membrane structure formed using deep reactive ion etching technique. The device consists of an embedded tungsten micro-heater with gold interdigitated electrodes on top of the SOI membrane. The tungsten micro-heater is used to raise the membrane temperature up to its operating temperature and the electrodes are used to measure the resistance of the nanocomposite sensing layer. The CMOS MEMS devices have high electro-thermal efficiency, with 8.2 °C temperature increase per mW power of consumption. The sensing material (Au–SnO_2 nanocomposite) was synthesised starting from SnO nanoplates, then Au nanoparticles were attached chemically to the surface of SnO nanoplates, finally the mixture was heated at 700 °C in an oven in air for 4 h. This composite material was sonicated for 2 h in terpineol to make a viscous homogeneous slurry and then ‘written’ directly across the electrode area using the DPN technique without any mask. The devices were characterised by exposure to ethanol vapour in humid air in the concentration range of 100–1000 ppm. The sensitivity varied from 1.2 to 0.27 ppm"−"1 for 100–1000 ppm of ethanol at 10% relative humid air. Selectivity measurements showed that the sensors were selective towards ethanol when they were exposed to acetone and toluene. (paper)

  2. Accelerated yield learning in agressive lithography

    Science.gov (United States)

    Monahan, Kevin M.; Ashkenaz, Scott M.; Chen, Xing; Lord, Patrick J.; Merrill, Mark A.; Quattrini, Rich; Wiley, James N.

    2000-06-01

    As exposure wavelengths decrease from 248 nm to 193, 157, and even 13 nm (EUV), small process defects can cause collapse of the lithographic process window near the limits of resolution, particularly for the gate and contact structures in high- performance devices. Such sensitivity poses a challenge for lithography process module control. In this work, we show that yield loss can be caused by a combination of macro, micro, CD, and overlay defects. A defect is defined as any yield- affecting process variation. Each defect, regardless of cause, is assumed to have a specific 'kill potential.' The accuracy of the lithographic yield model can be improved by identifying those defects with the highest kill potential or, more importantly, those that pose the highest economic risk. Such economic considerations have led us to develop a simple heuristic model for understanding sampling strategies in defect metrology and for linking metrology capability to yield and profitability.

  3. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  4. Application of high-resolution film for lithography to synchrotron X-ray topography

    International Nuclear Information System (INIS)

    Mizuno, Kaoru; Ito, Kazuyoshi; Iwami, Masayuki; Hashimoto, Eiji; Kino, Takao.

    1994-01-01

    A high-resolution film for lithography is applied to a detector for synchrotron radiation topography, instead of a nuclear plate. The film shows much better resolution than that of the plate although exposure time an about 500 times longer is required. The size distribution of interstitial loops grown as vacancy sources in a nearly perfect aluminum crystal after a temperature rise is examined from the while beam topograph. (author)

  5. New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning

    Science.gov (United States)

    Thakur, Neha; Guruprasad Reddy, Pulikanti; Nandi, Santu; Yogesh, Midathala; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2017-12-01

    The development of new photoresist materials for multi-lithography applications is crucial but a challenging task for semiconductor industries. During the last few decades, given the need for new resists to meet the requirements of semiconductor industries, several research groups have developed different resist materials for specific lithography applications. In this context, we have successfully synthesized a new molecular non-chemically amplified resist (n-CAR) (C3) based on the functionalization of aromatic hydroxyl core (4,4‧-(9H-fluorene-9,9-diyl)diphenol) with radiation sensitive sulfonium triflates for various lithography applications. While, micron scale features have been developed using i-line (365 nm) and DUVL (254 nm) exposure tools, electron beam studies on C3 thin films enabled us to pattern 20 nm line features with L/3S (line/space) characteristics on the silicon substrate. The sensitivity and contrast were calculated from the contrast curve analysis as 280 µC cm-2 and 0.025 respectively. Being an important parameter for any newly developed resists, the line edge roughness (LER) of 30 nm (L/5S) features were calculated, using SUMMIT metrology package, to be 3.66  ±  0.3 nm and found to be within the acceptable range. AFM analysis further confirmed 20 nm line width with smooth pattern wall. No deformation of patterned features was observed during AFM analysis which indicated good adhesion property between patterned resists and silicon substrates.

  6. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  7. Designing Plasmonic Materials and Optical Metasurfaces for Light Manipulation and Optical Sensing

    Science.gov (United States)

    Chen, Wenxiang

    Metamaterials are artificial materials designed to create optical properties that do not exist in nature. They are assemblies of subwavelength structures that are tailored in size, shape, composition, and orientation to realize the desired property. Metamaterials are promising for applications in diverse areas: optical filters, lenses, holography, sensors, photodetectors, photovoltaics, photocatalysts, medical devices, and many more, because of their excellent abilities in bending, absorbing, enhancing and blocking light. However, the practical use of metamaterials is challenged by the lack of plasmonic materials with proper permittivity for different applications and the slow and expensive fabrication methods available to pattern sub-wavelength structures. We have also only touched the surface in exploring the innovative uses of metamaterials to solve world problems. In this thesis, we study the fundamental optical properties of metamaterial building blocks by designing material permittivity. We continuously tune the interparticle distance in colloidal Au nanocrystal (NC) solids via the partial ligand exchange process. Then we combine top-down nanoimprint lithography with bottom-up assembly of colloidal NCs to develop a large-area, low-cost fabrication method for subwavelength nanostructures. Via this method, we fabricate and characterize nano-antenna arrays of different sizes and demonstrate metasurface quarter wave-plates of different bandwidth, and compare their performances with simulation results. We also integrate the metasurfaces with chemically- and mechanically-responsive polymers for strong-signal sensing. In the first design, we combine ultrathin plasmonic nanorods with hydrogel to fabricate optical moisture sensors for agricultural use. In the second application, we design mechanically tunable Au grating resonances on a polydimethylsiloxane (PDMS) substrate. The dimensions of Au grating are carefully engineered to achieve a hybridized, ultrasharp, and

  8. Optical fiber sensors embedded in flexible polymer foils

    Science.gov (United States)

    van Hoe, Bram; van Steenberge, Geert; Bosman, Erwin; Missinne, Jeroen; Geernaert, Thomas; Berghmans, Francis; Webb, David; van Daele, Peter

    2010-04-01

    In traditional electrical sensing applications, multiplexing and interconnecting the different sensing elements is a major challenge. Recently, many optical alternatives have been investigated including optical fiber sensors of which the sensing elements consist of fiber Bragg gratings. Different sensing points can be integrated in one optical fiber solving the interconnection problem and avoiding any electromagnetical interference (EMI). Many new sensing applications also require flexible or stretchable sensing foils which can be attached to or wrapped around irregularly shaped objects such as robot fingers and car bumpers or which can even be applied in biomedical applications where a sensor is fixed on a human body. The use of these optical sensors however always implies the use of a light-source, detectors and electronic circuitry to be coupled and integrated with these sensors. The coupling of these fibers with these light sources and detectors is a critical packaging problem and as it is well-known the costs for packaging, especially with optoelectronic components and fiber alignment issues are huge. The end goal of this embedded sensor is to create a flexible optical sensor integrated with (opto)electronic modules and control circuitry. To obtain this flexibility, one can embed the optical sensors and the driving optoelectronics in a stretchable polymer host material. In this article different embedding techniques for optical fiber sensors are described and characterized. Initial tests based on standard manufacturing processes such as molding and laser structuring are reported as well as a more advanced embedding technique based on soft lithography processing.

  9. Development of an alternating magnetic-field-assisted finishing process for microelectromechanical systems micropore x-ray optics.

    Science.gov (United States)

    Riveros, Raul E; Yamaguchi, Hitomi; Mitsuishi, Ikuyuki; Takagi, Utako; Ezoe, Yuichiro; Kato, Fumiki; Sugiyama, Susumu; Yamasaki, Noriko; Mitsuda, Kazuhisa

    2010-06-20

    X-ray astronomy research is often limited by the size, weight, complexity, and cost of functioning x-ray optics. Micropore optics promises an economical alternative to traditional (e.g., glass or foil) x-ray optics; however, many manufacturing difficulties prevent micropore optics from being a viable solution. Ezoe et al. introduced microelectromechanical systems (MEMS) micropore optics having curvilinear micropores in 2008. Made by either deep reactive ion etching or x-ray lithography, electroforming, and molding (LIGA), MEMS micropore optics suffer from high micropore sidewall roughness (10-30nmrms) which, by current standards, cannot be improved. In this research, a new alternating magnetic-field-assisted finishing process was developed using a mixture of ferrofluid and microscale abrasive slurry. A machine was built, and a set of working process parameters including alternating frequency, abrasive size, and polishing time was selected. A polishing experiment on a LIGA-fabricated MEMS micropore optic was performed, and a change in micropore sidewall roughness of 9.3+/-2.5nmrms to 5.7+/-0.7nmrms was measured. An improvement in x-ray reflectance was also seen. This research shows the feasibility and confirms the effects of this new polishing process on MEMS micropore optics.

  10. Development of an alternating magnetic-field-assisted finishing process for microelectromechanical systems micropore x-ray optics

    Energy Technology Data Exchange (ETDEWEB)

    Riveros, Raul E.; Yamaguchi, Hitomi; Mitsuishi, Ikuyuki; Takagi, Utako; Ezoe, Yuichiro; Kato, Fumiki; Sugiyama, Susumu; Yamasaki, Noriko; Mitsuda, Kazuhisa

    2010-06-20

    X-ray astronomy research is often limited by the size, weight, complexity, and cost of functioning x-ray optics. Micropore optics promises an economical alternative to traditional (e.g., glass or foil) x-ray optics; however, many manufacturing difficulties prevent micropore optics from being a viable solution. Ezoe et al. introduced microelectromechanical systems (MEMS) micropore optics having curvilinear micropores in 2008. Made by either deep reactive ion etching or x-ray lithography, electroforming, and molding (LIGA), MEMS micropore optics suffer from high micropore sidewall roughness (10-30nmrms) which, by current standards, cannot be improved. In this research, a new alternating magnetic-field-assisted finishing process was developed using a mixture of ferrofluid and microscale abrasive slurry. A machine was built, and a set of working process parameters including alternating frequency, abrasive size, and polishing time was selected. A polishing experiment on a LIGA-fabricated MEMS micropore optic was performed, and a change in micropore sidewall roughness of 9.3{+-}2.5nmrms to 5.7{+-}0.7nmrms was measured. An improvement in x-ray reflectance was also seen. This research shows the feasibility and confirms the effects of this new polishing process on MEMS micropore optics.

  11. Design and fabrication of diffractive optical elements with MATLAB

    National Research Council Canada - National Science Library

    Bhattacharya, Shanti (Professor in Optics); Vijayakumar, Anand

    2017-01-01

    ... their diffraction patterns using MATLAB. The fundamentals of fabrication techniques such as photolithography, electron beam lithography, and focused ion beam lithography with basic instructions for the beginner are presented...

  12. Application status and prospect of X-ray lithography technology

    International Nuclear Information System (INIS)

    Xie Changqing; Chen Dapeng; Liu Ming; Ye Tianchun; Yi Futing

    2004-01-01

    Because of its many merits, such as high resolution, large depth of focus, large field size, high throughput, large process latitude, easy extendibility to 50 nm and below ground rule, and so on, the Proximity X-ray Lithography (PXL) is very attractive for the 100 nm and smaller ground rule integrated circuit manufacturing. In this paper, the international research and development status of PXL is briefly introduced firstly, and both its application status and prospect in nanoelectronics research, Monolithic Microwave Integrated Circuits (MMIC) production and silicon-based Ultra Large Scale Integrated Circuits (ULSIC) production are described, and the recent research progress in home PXL is also presented briefly. (authors)

  13. Double-layer imprint lithography on wafers and foils from the submicrometer to the millimeter scale

    NARCIS (Netherlands)

    Moonen, P.F.; Yakimets, I.; Peter, M.; Meinders, E.R.; Huskens, J.

    2011-01-01

    In this paper, a thermal imprint technique, double-layer nanoimprint lithography (dlNIL), is introduced, allowing complete filling of features in the dimensional range of submicrometer to millimeter. The imprinting and filling quality of dlNIL was studied on Si substrates as a model system and

  14. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    International Nuclear Information System (INIS)

    Pérez, Noemí; Tavera, Txaber; Rodríguez, Ainara; Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M.

    2012-01-01

    Highlights: ► Arrays of hollow-core sub-micrometric structures are fabricated. ► Laser interference lithography is used for the pattering of the resist sacrificial layer. ► The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 μm 2 . ► These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 μm 2 . The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  15. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    Science.gov (United States)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  16. Rapid manufacturing of low-noise membranes for nanopore sensors by trans-chip illumination lithography

    International Nuclear Information System (INIS)

    Janssen, Xander J A; Jonsson, Magnus P; Plesa, Calin; Soni, Gautam V; Dekker, Cees; Dekker, Nynke H

    2012-01-01

    In recent years, the concept of nanopore sensing has matured from a proof-of-principle method to a widespread, versatile technique for the study of biomolecular properties and interactions. While traditional nanopore devices based on a nanopore in a single layer membrane supported on a silicon chip can be rapidly fabricated using standard microfabrication methods, chips with additional insulating layers beyond the membrane region can provide significantly lower noise levels, but at the expense of requiring more costly and time-consuming fabrication steps. Here we present a novel fabrication protocol that overcomes this issue by enabling rapid and reproducible manufacturing of low-noise membranes for nanopore experiments. The fabrication protocol, termed trans-chip illumination lithography, is based on illuminating a membrane-containing wafer from its backside such that a photoresist (applied on the wafer’s top side) is exposed exclusively in the membrane regions. Trans-chip illumination lithography permits the local modification of membrane regions and hence the fabrication of nanopore chips containing locally patterned insulating layers. This is achieved while maintaining a well-defined area containing a single thin membrane for nanopore drilling. The trans-chip illumination lithography method achieves this without relying on separate masks, thereby eliminating time-consuming alignment steps as well as the need for a mask aligner. Using the presented approach, we demonstrate rapid and reproducible fabrication of nanopore chips that contain small (12 μm × 12 μm) free-standing silicon nitride membranes surrounded by insulating layers. The electrical noise characteristics of these nanopore chips are shown to be superior to those of simpler designs without insulating layers and comparable in quality to more complex designs that are more challenging to fabricate. (paper)

  17. Improved longitudinal magneto-optic Kerr effect signal contrast from nanomagnets with dielectric coatings

    Science.gov (United States)

    Holiday, L. F.; Gibson, U. J.

    2006-12-01

    We report on the use of dielectric coatings to improve the contrast of longitudinal magneto-optic Kerr effect signals from submicron magnetic structures. Electron-beam lithography was used to define disks in 22 nm thick Ni films deposited on Si substrates. The structures were measured in four configurations: as-deposited, through a fused silica prism using index-matching fluid, coated with ZnS, and using a prism on top of the ZnS layer. The modified samples show up to 20 times improvement in the MOKE contrast due to admittance matching to the magnetic material and suppression of the substrate reflectance. The behavior is successfully predicted by a model that includes the magneto-optic response of the nickel layer and accounts for the fraction of the beam intercepted by the magnetic structure.

  18. Process strategies for ultra-deep x-ray lithography at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Mancini, D.C.; Moldovan, N.; Divan, R.; De Carlo, F.; Yaeger, J.

    2001-01-01

    For the past five years, we have been investigating and advancing processing capabilities for deep x-ray lithography (DXRL) using synchrotron radiation from a bending magnet at the Advanced Photon Source (APS), with an emphasis on ultra-deep structures (1mm to 1cm thick). The use of higher-energy x-rays has presented many challenges in developing optimal lithographic techniques for high-aspect ratio structures: mask requirements, resist preparation, exposure, development, and post-processing. Many problems are more severe for high-energy exposure of thicker films than for sub-millimeter structures and affect resolution, processing time, adhesion, damage, and residue. A number of strategies have been created to overcome the challenges and limitations of ultra-deep x-ray lithography (UDXRL), that have resulted in the current choices for mask, substrate, and process flow at the APS. We describe our current process strategies for UDXRL, how they address the challenges presented, and their current limitations. We note especially the importance of the process parameters for use of the positive tone resist PMMA for UDXRL, and compare to the use of negative tone resists such as SU-8 regarding throughput, resolution, adhesion, damage, and post-processing.

  19. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    Science.gov (United States)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  20. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    Science.gov (United States)

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  2. Optimized design for the scattering with angular limitation in projection electron-beam lithography based electron projection system

    International Nuclear Information System (INIS)

    Xiu, K.; Gibson, J. M.

    2000-01-01

    We investigate the design for a scattering with angular limitation in projection electron-beam lithography (SCALPEL) based electron projection system with a demagnification of -4. By a ''field-flip'' process we can construct a doublet in which the magnetic field has a flat feature in most of the optic column but opposite sign at two sides connected by a sharp transition region. Such a theoretical model can give a near zero chromatic aberration of rotation and much smaller field curvature and astigmatism. Compared with the conventional doublet, the total image blur caused by aberrations at 1/√(2) mm off-axis distance and 1.5 mrad semiangle aperture at the mask side is about only 24 nm for a column length of 400 mm. A shorter column, less than the current 400 mm, is also favored for further reducing the total aberration. These guarantee that we can choose a much larger aperture angle (compared with present 0.5 mrad) and beam current density in such a SCALPEL projection system to achieve higher throughput while still maintaining current resolution. A practical issue for possible magnetic lens design is also discussed. (c) 2000 American Vacuum Society

  3. Formation of isolated carbon nanofibers with hot-wire CVD using nanosphere lithography as catalyst patterning technique

    NARCIS (Netherlands)

    Houweling, Z.S.; Verlaan, V.; ten Grotenhuis, G.T.; Schropp, R.E.I.

    2008-01-01

    Recently the site-density control of carbon nanotubes (CNTs) has attracted much attention as this has become critical for its many applications. To obtain an ordered array of catalyst nanoparticles with good monodispersity nanosphere lithography (NSL) is used. These nanoparticles are tested as

  4. Compact electron storage ring JESCOS with normalconducting or superconducting magnets for X-ray lithography

    International Nuclear Information System (INIS)

    Anton, F.; Klein, U.; Krischel, D.; Anderberg, B.

    1992-01-01

    The layouts of a normal conducting electron storage ring and a storage ring with superconducting bending magnets are presented. The storage rings have a critical wavelength of 1 nm and are designed as compact sources for X-ray lithography. Each ring fits into a shielded room with a diameter of 14 m. (author) 3 refs.; 5 figs.; 1 tab

  5. Review on Micro- and Nanolithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Werayut Srituravanich

    2012-01-01

    Full Text Available This article reviews major micro- and nanolithography techniques and their applications from commercial micro devices to emerging applications in nanoscale science and engineering. Micro- and nanolithography has been the key technology in manufacturing of integrated circuits and microchips in the semiconductor industry. Such a technology is also sparking a magnificent transformation of nanotechnology. The lithography techniques including photolithography, electron beam lithography, focused ion beam lithography, soft lithography, nanoimprint lithography and scanning probe lithography are discussed. Furthermore, their applications are reviewed and summarized into four major areas: electronics and microsystems, medical and biotech, optics and photonics, and environment and energy harvesting.

  6. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  7. Near-field scanning optical microscopy based nanostructuring of glass

    International Nuclear Information System (INIS)

    Chimmalgi, A; Hwang, D J; Grigoropoulos, C P

    2007-01-01

    Nanofabrication, at lateral resolutions beyond the capability of conventional optical lithography techniques, is demonstrated here. Femtosecond laser was used in conjunction with Near-field Scanning Optical Microscopes (NSOMs) to nanostructure thin metal films. Also, the possibility of using these nanostructured metal films as masks to effectively transfer the pattern to the underlying substrate by wet etching process is shown. Two different optical nearfiled processing schemes were studied for near-field nanostructuring. In the first scheme, local field enhancement in the near-field of a scanning probe microscope (SPM) probe tip irradiated with femtosecond laser pulses was utilized (apertureless NSOM mode) and as a second approach, femtosecond laser beam was spatially confined by cantilevered NSOM fiber tip (apertured NOSM mode). The minimized heat- and shock-affected areas introduced during ultrafast laser based machining process, allows processing of even high conductivity thin metal films with minimized formation of any interfacial compounds between the metal films and the underlying substrate. Potential applications of this method may be in the fields of nanolithography, nanofluidics, nanoscale chemical and gas sensors, high-density data storage, nano-opto-electronics, as well as biotechnology related applications

  8. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    Science.gov (United States)

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  9. Fabrication of Diamond Based Sensors for Use in Extreme Environments

    Directory of Open Access Journals (Sweden)

    Gopi K. Samudrala

    2015-04-01

    Full Text Available Electrical and magnetic sensors can be lithographically fabricated on top of diamond substrates and encapsulated in a protective layer of chemical vapor deposited single crystalline diamond. This process when carried out on single crystal diamond anvils employed in high pressure research is termed as designer diamond anvil fabrication. These designer diamond anvils allow researchers to study electrical and magnetic properties of materials under extreme conditions without any possibility of damaging the sensing elements. We describe a novel method for the fabrication of designer diamond anvils with the use of maskless lithography and chemical vapor deposition in this paper. This method can be utilized to produce diamond based sensors which can function in extreme environments of high pressures, high and low temperatures, corrosive and high radiation conditions. We demonstrate applicability of these diamonds under extreme environments by performing electrical resistance measurements during superconducting transition in rare earth doped iron-based compounds under high pressures to 12 GPa and low temperatures to 10 K.

  10. Characteristics of a single-channel superconducting flux flow transistor fabricated by an AFM modification technique

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Seokcheol [Jeonnam Regional Innovation Agency, 1000 Namak-Ri, Samhyang-Myun, Muan-Gun, Jeollanam-Do 534-700 (Korea, Republic of)], E-mail: suntrac@jina.re.kr; Kim, Seong-Jong [Mokpo Maritime University, Chukkyo-Dong, Mokpo City, Cheonnam 530-729 (Korea, Republic of)

    2007-11-01

    The demand for high performance, integrity, and miniaturization in the area of electronic and mechanic devices has drawn interest in the fabrication of nanostructures. However, it is difficult to fabricate the channel with nano-scale using a conventional photography techniques. AFM anodization technique is a maskless process and effective method to overcome the difficulty in fabricating a nano-scale channel. In this paper, we first present a new fabrication of a single-channel SFFT using a selective oxidation process induced by an AFM probe. The modified channel was investigated by electron probe microanalyzer (EPMA) to find the compositional variation of the transformed region. In order to confirm the operation of a single-channel SFFT, we measured the voltage-current characteristics at the temperature of liquid nitrogen by an I-V automatic measurement system. Our results indicate that the single-channel SFFT having effect as a weak link is effectively fabricated by an AFM lithography process.

  11. Characteristics of a single-channel superconducting flux flow transistor fabricated by an AFM modification technique

    International Nuclear Information System (INIS)

    Ko, Seokcheol; Kim, Seong-Jong

    2007-01-01

    The demand for high performance, integrity, and miniaturization in the area of electronic and mechanic devices has drawn interest in the fabrication of nanostructures. However, it is difficult to fabricate the channel with nano-scale using a conventional photography techniques. AFM anodization technique is a maskless process and effective method to overcome the difficulty in fabricating a nano-scale channel. In this paper, we first present a new fabrication of a single-channel SFFT using a selective oxidation process induced by an AFM probe. The modified channel was investigated by electron probe microanalyzer (EPMA) to find the compositional variation of the transformed region. In order to confirm the operation of a single-channel SFFT, we measured the voltage-current characteristics at the temperature of liquid nitrogen by an I-V automatic measurement system. Our results indicate that the single-channel SFFT having effect as a weak link is effectively fabricated by an AFM lithography process

  12. The impact of 14-nm photomask uncertainties on computational lithography solutions

    Science.gov (United States)

    Sturtevant, John; Tejnil, Edita; Lin, Tim; Schultze, Steffen; Buck, Peter; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-04-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.

  13. Accurate lithography simulation model based on convolutional neural networks

    Science.gov (United States)

    Watanabe, Yuki; Kimura, Taiki; Matsunawa, Tetsuaki; Nojima, Shigeki

    2017-07-01

    Lithography simulation is an essential technique for today's semiconductor manufacturing process. In order to calculate an entire chip in realistic time, compact resist model is commonly used. The model is established for faster calculation. To have accurate compact resist model, it is necessary to fix a complicated non-linear model function. However, it is difficult to decide an appropriate function manually because there are many options. This paper proposes a new compact resist model using CNN (Convolutional Neural Networks) which is one of deep learning techniques. CNN model makes it possible to determine an appropriate model function and achieve accurate simulation. Experimental results show CNN model can reduce CD prediction errors by 70% compared with the conventional model.

  14. Mechanical design of SXLS (Superconducting X-ray Lithography Source) radio-frequency cavity

    Energy Technology Data Exchange (ETDEWEB)

    Mortazavi, P.; Sharma, S.; Keane, J.; Thomas, M.

    1989-01-01

    This paper presents the mechanical design of a Radio-Frequency (RF) cavity to be used on a compact storage ring for Superconducting X-ray Lithography Source (SXLS). Various design features of this cavity are discussed, including basic geometrical configuration, structural design, initial and operational tuning, vacuum multipactoring, power window, and damping of higher order modes. A second application of this cavity design for beam life extension in an existing storage ring is also described. 2 refs., 6 figs.

  15. Mechanical design of SXLS [Superconducting X-ray Lithography Source] radio-frequency cavity

    International Nuclear Information System (INIS)

    Mortazavi, P.; Sharma, S.; Keane, J.; Thomas, M.

    1989-01-01

    This paper presents the mechanical design of a Radio-Frequency (RF) cavity to be used on a compact storage ring for Superconducting X-ray Lithography Source (SXLS). Various design features of this cavity are discussed, including basic geometrical configuration, structural design, initial and operational tuning, vacuum multipactoring, power window, and damping of higher order modes. A second application of this cavity design for beam life extension in an existing storage ring is also described. 2 refs., 6 figs

  16. Selective binding of oligonucleotide on TiO{sub 2} surfaces modified by swift heavy ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Vicente Pérez-Girón, J. [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Emerging Viruses Department Heinrich Pette Institute, Hamburg 20251 (Germany); Hirtz, M. [Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology - KIT, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); McAtamney, C.; Bell, A.P. [Advanced Microscopy Laboratory, CRANN, Trinity College Dublin, Dublin 2 (Ireland); Antonio Mas, J. [Laboratorio de Genómica del Centro de Apoyo Tecnológico, Universidad Rey Juan Carlos, Campus de Alcorcón 28922, Madrid (Spain); Jaafar, M. [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Departamento de Física de la Materia Condensada, Facultad de Ciencias, Universidad Autónoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Luis, O. de [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Departamento de Bioquímica, Fisiología y Genética Molecular, Facultad de Ciencias de la Salud, Universidad Rey Juan Carlos, Campus de Alcorcón, 28922 Madrid (Spain); Fuchs, H. [Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology - KIT, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Physical Institute and Center for Nanotechnology (CeNTech), Wilhelm-Klemm-Straße 10, University of Münster (Germany); and others

    2014-11-15

    We have used swift heavy-ion beam based lithography to create patterned bio-functional surfaces on rutile TiO{sub 2} single crystals. The applied lithography method generates a permanent and well defined periodic structure of micrometre sized square holes having nanostructured TiO{sub 2} surfaces, presenting different physical and chemical properties compared to the surrounding rutile single crystal surface. On the patterned substrates selective binding of oligonucleotides molecules is possible at the surfaces of the holes. This immobilisation process is only being controlled by UV light exposure. The patterned transparent substrates are compatible with fluorescence detection techniques, are mechanically robust, have a high tolerance to extreme chemical and temperature environments, and apparently do not degrade after ten cycles of use. These qualities make the patterned TiO{sub 2} substrates useful for potential biosensor applications.

  17. Lithography-Free Fabrication of Reconfigurable Substrate Topography For Contact Guidance

    Science.gov (United States)

    Pholpabu, Pitirat; Kustra, Stephen; Wu, Haosheng; Balasubramanian, Aditya; Bettinger, Christopher J.

    2014-01-01

    Mammalian cells detect and respond to topographical cues presented in natural and synthetic biomaterials both in vivo and in vitro. Micro- and nano-structures influence the adhesion, morphology, proliferation, migration, and differentiation of many phenotypes. Although the mechanisms that underpin cell-topography interactions remain elusive, synthetic substrates with well-defined micro- and nano-structures are important tools to elucidate the origin of these responses. Substrates with reconfigurable topography are desirable because programmable cues can be harmonized with dynamic cellular responses. Here we present a lithography-free fabrication technique that can reversibly present topographical cues using an actuation mechanism that minimizes the confounding effects of applied stimuli. This method utilizes strain-induced buckling instabilities in bi-layer substrate materials with rigid uniform silicon oxide membranes that are thermally deposited on elastomeric substrates. The resulting surfaces are capable of reversible of substrates between three distinct states: flat substrates (A = 1.53 ± 0.55 nm, Rms = 0.317 ± 0.048 nm); parallel wavy grating arrays (A|| = 483.6 ± 7.8 nm and λ|| = 4.78 ± 0.16 μm); perpendicular wavy grating arrays (A⊥ = 429.3 ± 5.8 nm; λ⊥ = 4.95 ± 0.36 μm). The cytoskeleton dynamics of 3T3 fibroblasts in response to these surfaces was measured using optical microscopy. Fibroblasts cultured on dynamic substrates that are switched from flat to topographic features (FLAT-WAVY) exhibit a robust and rapid change in gross morphology as measured by a reduction in circularity from 0.30 ± 0.13 to 0.15 ± 0.08 after 5 min. Conversely, dynamic substrate sequences of FLAT-WAVY-FLAT do not significantly alter the gross steady-state morphology. Taken together, substrates that present topographic structures reversibly can elucidate dynamic aspects of cell-topography interactions. PMID:25468368

  18. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    Science.gov (United States)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  19. Thin film and multilayer optics for XUV spectral domain (1 nm to 60 nm)

    International Nuclear Information System (INIS)

    Delmotte, Franck

    2010-02-01

    The XUV spectral domain (1-60 nm wavelength range) has experienced rapid growth in recent years. On one side, the sources (synchrotron radiation, harmonic generation, x-ray laser, free-electron laser...) require ever more efficient optics, on the other hand, applications (diagnostics of hot plasma, solar physics, x-ray microscopy, EUV lithography, x-ray analysis...) provide new constraints on the design of multilayer stacks. The multilayer mirrors are the only way to achieve efficient optics operating at non-grazing incidence angles in this spectral range. Our work within the team XUV Optics at Laboratoire Charles Fabry de l'Institut d'Optique focuses on the study of materials in thin layers correlated to the study of optical properties of multilayers. The objective is to achieve new multilayer components previously unavailable in the XUV domain, through a better understanding of physical phenomena in these nano-layer stacks. We show through several examples of how we have managed both to improve the performance of multilayer mirrors in a broad spectral range, and secondly, to develop new optical functions: beam splitters, broadband mirrors, dual-band mirrors or phase compensation mirrors. (author)

  20. Fabrication and characterization of one- and two-dimensional regular patterns produced employing multiple exposure holographic lithography

    DEFF Research Database (Denmark)

    Tamulevičius, S.; Jurkevičiute, A.; Armakavičius, N.

    2017-01-01

    In this paper we describe fabrication and characterization methods of two-dimensional periodic microstructures in photoresist with pitch of 1.2 urn and lattice constant 1.2-4.8 μm, formed using two-beam multiple exposure holographic lithography technique. The regular structures were recorded empl...