WorldWideScience

Sample records for optical mask metrology

  1. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  2. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  3. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  4. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  5. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  6. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  7. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  8. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  9. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  10. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  11. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  12. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  13. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  14. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  15. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  16. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  17. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  18. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  19. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  20. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  1. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    Science.gov (United States)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  2. Metrology of reflection optics for synchrotron radiation

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1985-09-01

    Recent years have seen an almost explosive growth in the number of beam lines on new and existing synchrotron radiation facilities throughout the world. The need for optical components to utilize the unique characteristics of synchrotron radiation has increased accordingly. Unfortunately, the technology to manufacture and measure the large, smooth, exotic optical surfaces required to focus and steer the synchrotron radiation beam has not progressed as rapidly as the operational demands on these components. Most companies do not wish to become involved with a project that requires producing a single, very expensive, aspheric optic with surface roughness and figure tolerances that are beyond their capabilities to measure. This paper will review some of the experiences of the National Synchrotron Light Source in procuring grazing incidence optical components over the past several years. We will review the specification process - how it is related to the function of the optic, and how it relates to the metrology available during the manufacturing process and after delivery to the user's laboratory. We will also discuss practical aspects of our experience with new technologies, such as single point diamond turning of metal mirrors and the use of SiC as a mirror material. Recent advances in metrology instrumentation have the potential to move the measurement of surface figure and finish from the research laboratory into the optical shop, which should stimulate growth and interest in the manufacturing of optics to meet the needs of the synchrotron radiation user community

  3. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  4. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  5. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  6. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  7. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  8. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  9. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  10. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  11. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  12. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  13. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  14. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  15. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    International Nuclear Information System (INIS)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.; Domning, Edward E.; McKinney, Wayne R.; Morrison, Gregory Y.; Smith, Brian V.; Yashchuk, Valeriy V.

    2008-01-01

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performance and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation

  16. Forum metrology 2009: control of optics, targets and optical analyzers; Forum metrologie 2009: controle des optiques, cibles et analyseurs optiques

    Energy Technology Data Exchange (ETDEWEB)

    Desenne, D.; Andre, R.

    2010-07-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  17. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  18. Development for 2D pattern quantification method on mask and wafer

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Toyoda, Yasutaka; Wang, Zhigang

    2010-03-01

    We have developed the effective method of mask and silicon 2-dimensional metrology. The aim of this method is evaluating the performance of the silicon corresponding to Hotspot on a mask. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. 2-dimensional Shape quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. On the other hand, there is roughness in the silicon shape made from a mass-production line. Moreover, there is variation in the silicon shape. For this reason, quantification of silicon shape is important, in order to estimate the performance of a pattern. In order to quantify, the same shape is equalized in two dimensions. And the method of evaluating based on the shape is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. It is possible to analyze variability of the edge of the same position with high precision. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and

  19. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  20. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  1. Dimensional quality control of Ti-Ni dental file by optical coordinate metrology and computed tomography

    DEFF Research Database (Denmark)

    Yagüe-Fabra, J.A.; Tosello, Guido; Ontiveros, S.

    2014-01-01

    Endodontic dental files usually present complex 3D geometries, which make the complete measurement of the component very challenging with conventional micro metrology tools. Computed Tomography (CT) can represent a suitable alternative solution to micro metrology tools based on optical and tactile...... techniques. However, the establishment of CT systems traceability when measuring 3D complex geometries is still an open issue. In this work, to verify the quality of the CT dimensional measurements, the dental file has been measured both with a μCT system and an optical CMM (OCMM). The uncertainty...

  2. Automatic pattern localization across layout database and photolithography mask

    Science.gov (United States)

    Morey, Philippe; Brault, Frederic; Beisser, Eric; Ache, Oliver; Röth, Klaus-Dieter

    2016-03-01

    Advanced process photolithography masks require more and more controls for registration versus design and critical dimension uniformity (CDU). The distribution of the measurement points should be distributed all over the whole mask and may be denser in areas critical to wafer overlay requirements. This means that some, if not many, of theses controls should be made inside the customer die and may use non-dedicated patterns. It is then mandatory to access the original layout database to select patterns for the metrology process. Finding hundreds of relevant patterns in a database containing billions of polygons may be possible, but in addition, it is mandatory to create the complete metrology job fast and reliable. Combining, on one hand, a software expertise in mask databases processing and, on the other hand, advanced skills in control and registration equipment, we have developed a Mask Dataprep Station able to select an appropriate number of measurement targets and their positions in a huge database and automatically create measurement jobs on the corresponding area on the mask for the registration metrology system. In addition, the required design clips are generated from the database in order to perform the rendering procedure on the metrology system. This new methodology has been validated on real production line for the most advanced process. This paper presents the main challenges that we have faced, as well as some results on the global performances.

  3. Study of shape evaluation for mask and silicon using large field of view

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2010-09-01

    We have developed a highly integrated method of mask and silicon metrology. The aim of this integration is evaluating the performance of the silicon corresponding to Hotspot on a mask. It can use the mask shape of a large field, besides. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. As an optimal solution to these issues, we provide a DFM solution that extracts 2-dimensional data for a more realistic and error-free simulation by reproducing accurately the contour of the actual mask, in addition to the simulation results from the mask data. On the other hand, there is roughness in the silicon form made from a mass-production line. Moreover, there is variation in the silicon form. For this reason, quantification of silicon form is important, in order to estimate the performance of a pattern. In order to quantify, the same form is equalized in two dimensions. And the method of evaluating based on the form is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and silicon) and is adaptable to following fields of mask quality management. •Discrimination of nuisance defects for fine pattern. •Determination of two-dimensional variability of

  4. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  5. Geodesy and metrology with a transportable optical clock

    Science.gov (United States)

    Grotti, Jacopo; Koller, Silvio; Vogt, Stefan; Häfner, Sebastian; Sterr, Uwe; Lisdat, Christian; Denker, Heiner; Voigt, Christian; Timmen, Ludger; Rolland, Antoine; Baynes, Fred N.; Margolis, Helen S.; Zampaolo, Michel; Thoumany, Pierre; Pizzocaro, Marco; Rauf, Benjamin; Bregolin, Filippo; Tampellini, Anna; Barbieri, Piero; Zucco, Massimo; Costanzo, Giovanni A.; Clivati, Cecilia; Levi, Filippo; Calonico, Davide

    2018-05-01

    Optical atomic clocks, due to their unprecedented stability1-3 and uncertainty3-6, are already being used to test physical theories7,8 and herald a revision of the International System of Units9,10. However, to unlock their potential for cross-disciplinary applications such as relativistic geodesy11, a major challenge remains: their transformation from highly specialized instruments restricted to national metrology laboratories into flexible devices deployable in different locations12-14. Here, we report the first field measurement campaign with a transportable 87Sr optical lattice clock12. We use it to determine the gravity potential difference between the middle of a mountain and a location 90 km away, exploiting both local and remote clock comparisons to eliminate potential clock errors. A local comparison with a 171Yb lattice clock15 also serves as an important check on the international consistency of independently developed optical clocks. This campaign demonstrates the exciting prospects for transportable optical clocks.

  6. Adhesive Bonding for Optical Metrology Systems in Space Applications

    International Nuclear Information System (INIS)

    Gohlke, Martin; Schuldt, Thilo; Braxmaier, Claus; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis

    2015-01-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10 -15 range for longer integration times. The EM setup was thermally cycled and vibration tested. (paper)

  7. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    Science.gov (United States)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  8. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  9. Generic distortion model for metrology under optical microscopes

    Science.gov (United States)

    Liu, Xingjian; Li, Zhongwei; Zhong, Kai; Chao, YuhJin; Miraldo, Pedro; Shi, Yusheng

    2018-04-01

    For metrology under optical microscopes, lens distortion is the dominant source of error. Previous distortion models and correction methods mostly rely on the assumption that parametric distortion models require a priori knowledge of the microscopes' lens systems. However, because of the numerous optical elements in a microscope, distortions can be hardly represented by a simple parametric model. In this paper, a generic distortion model considering both symmetric and asymmetric distortions is developed. Such a model is obtained by using radial basis functions (RBFs) to interpolate the radius and distortion values of symmetric distortions (image coordinates and distortion rays for asymmetric distortions). An accurate and easy to implement distortion correction method is presented. With the proposed approach, quantitative measurement with better accuracy can be achieved, such as in Digital Image Correlation for deformation measurement when used with an optical microscope. The proposed technique is verified by both synthetic and real data experiments.

  10. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  11. Measurement range of phase retrieval in optical surface and wavefront metrology

    International Nuclear Information System (INIS)

    Brady, Gregory R.; Fienup, James R.

    2009-01-01

    Phase retrieval employs very simple data collection hardware and iterative algorithms to determine the phase of an optical field. We have derived limitations on phase retrieval, as applied to optical surface and wavefront metrology, in terms of the speed of beam (i.e., f-number or numerical aperture) and amount of aberration using arguments based on sampling theory and geometrical optics. These limitations suggest methodologies for expanding these ranges by increasing the complexity of the measurement arrangement, the phase-retrieval algorithm, or both. We have simulated one of these methods where a surface is measured at unusual conjugates

  12. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  13. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  14. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  15. Present status of metrology of electro-optical surveillance systems

    Science.gov (United States)

    Chrzanowski, K.

    2017-10-01

    There has been a significant progress in equipment for testing electro-optical surveillance systems over the last decade. Modern test systems are increasingly computerized, employ advanced image processing and offer software support in measurement process. However, one great challenge, in form of relative low accuracy, still remains not solved. It is quite common that different test stations, when testing the same device, produce different results. It can even happen that two testing teams, while working on the same test station, with the same tested device, produce different results. Rapid growth of electro-optical technology, poor standardization, limited metrology infrastructure, subjective nature of some measurements, fundamental limitations from laws of physics, tendering rules and advances in artificial intelligence are major factors responsible for such situation. Regardless, next decade should bring significant improvements, since improvement in measurement accuracy is needed to sustain fast growth of electro-optical surveillance technology.

  16. Laboratory demonstration of an optical vortex mask coronagraph using photonic crystal

    Science.gov (United States)

    Murakami, N.; Baba, N.; Ise, A.; Sakamoto, M.; Oka, K.

    2010-10-01

    Photonic crystal, artificial periodic nanostructure, is an attractive device for constructing focal-plane phase-mask coronagraphs such as segmented phase masks (four-quadrant, eight-octant, and 4N-segmented ones) and an optical vortex mask (OVM), because of its extremely small manufacturing defect. Recently, speckle-noise limited contrast has been demonstrated for two monochromatic lasers by using the eight-octant phase-mask made of the photonic crystal (Murakami et al. 2010, ApJ, 714, 772). We applied the photonic-crystal device to the OVM coronagraph. The OVM is more advantageous over the segmented phase masks because it does not have discontinuities other than a central singular point and provides a full on-sky field of view. For generating an achromatic optical vortex, we manufactured an axially-symmetric half-wave plate (ASHWP). It is expected that a size of the manufacturing defect due to the central singularity is an order of several hundreds nanometers. The ASHWP is placed between two circular polarizers for modulating a Pancharatnam phase. A continuous spiral phase modulation is then implemented achromatically. We carried out preliminary laboratory demonstration of the OVM coronagraph using two monochromatic lasers as a model star (wavelengths of 532 nm and 633 nm). We report a principle of the achromatic optical-vortex generation, and results of the laboratory demonstration of the OVM coronagraph.

  17. LISA Pathfinder: Optical Metrology System monitoring during operations

    Science.gov (United States)

    Audley, Heather E.; LISA Pathfinder Collaboration

    2017-05-01

    The LISA Pathfinder (LPF) mission has demonstrated excellent performance. In addition to having surpassed the main mission goals, data has been collected from the various subsystems throughout the duration of the mission. This data is a valuable resource, both for a more complete understanding of the LPF satellite and the differential acceleration measurements, as well as for the design of the future Laser Interferometer Space Antenna (LISA) mission. Initial analysis of the Optical Metrology System (OMS) data was performed as part of daily system monitoring, and more in-depth analyses are ongoing. This contribution presents an overview of these activities along with an introduction to the OMS.

  18. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  19. Fabrication, performance, and figure metrology of epoxy-replicated aluminum foils for hard x-ray focusing multilayer-coated segmented conical optics

    DEFF Research Database (Denmark)

    Jimenez-Garate, M.A.; Craig, W.W.; Hailey, C.J.

    2000-01-01

    We fabricated x-ray mirrors for hard x-ray (greater than or equal to 10 keV) telescopes using multilayer coatings and an improved epoxy-replicated aluminum foil (ERAF) nonvacuum technology. The ERAF optics have similar to1 arcmin axial figure half-power diameter (HPD) and passed environmental...... telescope HPD, we designed a figure metrology system and a new mounting technique. We describe a cylindrical metrology system built for fast axial and roundness figure measurement of hard x-ray conical optics. These developments lower cost and improve the optics performance of the HEFT (high-energy focusing...

  20. Photodeposited diffractive optical elements of computer generated masks

    International Nuclear Information System (INIS)

    Mirchin, N.; Peled, A.; Baal-Zedaka, I.; Margolin, R.; Zagon, M.; Lapsker, I.; Verdyan, A.; Azoulay, J.

    2005-01-01

    Diffractive optical elements (DOE) were synthesized on plastic substrates using the photodeposition (PD) technique by depositing amorphous selenium (a-Se) films with argon lasers and UV spectra light. The thin films were deposited typically onto polymethylmethacrylate (PMMA) substrates at room temperature. Scanned beam and contact mask modes were employed using computer-designed DOE lenses. Optical and electron micrographs characterize the surface details. The films were typically 200 nm thick

  1. Metrological characterization methods for confocal chromatic line sensors and optical topography sensors

    Science.gov (United States)

    Seppä, Jeremias; Niemelä, Karri; Lassila, Antti

    2018-05-01

    The increasing use of chromatic confocal technology for, e.g. fast, in-line optical topography, and measuring thickness, roughness and profiles implies a need for the characterization of various aspects of the sensors. Single-point, line and matrix versions of chromatic confocal technology, encoding depth information into wavelength, have been developed. Of these, line sensors are particularly suitable for in-line process measurement. Metrological characterization and development of practical methods for calibration and checking is needed for new optical methods and devices. Compared to, e.g. tactile methods, optical topography measurement techniques have limitations related to light wavelength and coherence, optical properties of the sample including reflectivity, specularity, roughness and colour, and definition of optical versus mechanical surfaces. In this work, metrological characterization methods for optical line sensors were developed for scale magnification and linearity, sensitivity to sample properties, and dynamic characteristics. An accurate depth scale calibration method using a single prototype groove depth sample was developed for a line sensor and validated with laser-interferometric sample tracking, attaining (sub)micrometre level or better than 0.1% scale accuracy. Furthermore, the effect of different surfaces and materials on the measurement and depth scale was studied, in particular slope angle, specularity and colour. In addition, dynamic performance, noise, lateral scale and resolution were measured using the developed methods. In the case of the LCI1200 sensor used in this study, which has a 11.3 mm  ×  2.8 mm measurement range, the instrument depth scale was found to depend only minimally on sample colour, whereas measuring steeply sloped specular surfaces in the peripheral measurement area, in the worst case, caused a somewhat larger relative sample-dependent change (1%) in scale.

  2. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  3. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  4. Fringe pattern analysis for optical metrology theory, algorithms, and applications

    CERN Document Server

    Servin, Manuel; Padilla, Moises

    2014-01-01

    The main objective of this book is to present the basic theoretical principles and practical applications for the classical interferometric techniques and the most advanced methods in the field of modern fringe pattern analysis applied to optical metrology. A major novelty of this work is the presentation of a unified theoretical framework based on the Fourier description of phase shifting interferometry using the Frequency Transfer Function (FTF) along with the theory of Stochastic Process for the straightforward analysis and synthesis of phase shifting algorithms with desired properties such

  5. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  6. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  7. Optical metrology alignment and impact on the measurement performance of the LISA Technology Package

    Energy Technology Data Exchange (ETDEWEB)

    Hirth, M; Fichter, W; Brandt, N; Gerardi, D [iFR, Universitaet Stuttgart, Pfaffenwaldring 7a, 70569 Stuttgart (Germany); Schleicher, A [Astrium GmbH, 88039 Friedrichshafen (Germany); Wanner, G, E-mail: marc.hirth@ifr.uni-stuttgart.d [Albert Einstein Institut, Callinstrasse 38, 30167 Hannover (Germany)

    2009-03-01

    Aside from LISA Pathfinder's top-level acceleration requirement, there is a stringent independent requirement for the accuracy of the optical metrology system. In case of a perfectly aligned metrology system (optical bench and test masses) it should rather be independent of residual displacement jitter due to control. However, this ideal case will not be achieved as mechanical tolerances and uncertainties lead to a direct impact of test mass and spacecraft displacement jitter on the optical measurement accuracy. In this paper, we present a strategy how to cover these effects for a systematic requirement breakdown. We use a simplified nonlinear geometrical model for the differential distance measurement of the test masses which is linearized and linked to the equations of motion for both the spacecraft and the two test masses. This leads from test mass relative displacement to a formulation in terms of applied force/torque and thus allows to distinguish the absolute motion of each of the three bodies. It further shows how motions in each degree of freedom couple linearly into the optical measurement via DC misalignments of the laser beam and the test masses. This finally allows for deriving requirements on the alignment accuracy of components and on permittable closed-loop acceleration noise. In the last part a budget for the expected measurement performance is compiled from simulations as no measurement data is available yet.

  8. Optical metrology alignment and impact on the measurement performance of the LISA Technology Package

    International Nuclear Information System (INIS)

    Hirth, M; Fichter, W; Brandt, N; Gerardi, D; Schleicher, A; Wanner, G

    2009-01-01

    Aside from LISA Pathfinder's top-level acceleration requirement, there is a stringent independent requirement for the accuracy of the optical metrology system. In case of a perfectly aligned metrology system (optical bench and test masses) it should rather be independent of residual displacement jitter due to control. However, this ideal case will not be achieved as mechanical tolerances and uncertainties lead to a direct impact of test mass and spacecraft displacement jitter on the optical measurement accuracy. In this paper, we present a strategy how to cover these effects for a systematic requirement breakdown. We use a simplified nonlinear geometrical model for the differential distance measurement of the test masses which is linearized and linked to the equations of motion for both the spacecraft and the two test masses. This leads from test mass relative displacement to a formulation in terms of applied force/torque and thus allows to distinguish the absolute motion of each of the three bodies. It further shows how motions in each degree of freedom couple linearly into the optical measurement via DC misalignments of the laser beam and the test masses. This finally allows for deriving requirements on the alignment accuracy of components and on permittable closed-loop acceleration noise. In the last part a budget for the expected measurement performance is compiled from simulations as no measurement data is available yet.

  9. Microlens array processor with programmable weight mask and direct optical input

    Science.gov (United States)

    Schmid, Volker R.; Lueder, Ernst H.; Bader, Gerhard; Maier, Gert; Siegordner, Jochen

    1999-03-01

    We present an optical feature extraction system with a microlens array processor. The system is suitable for online implementation of a variety of transforms such as the Walsh transform and DCT. Operating with incoherent light, our processor accepts direct optical input. Employing a sandwich- like architecture, we obtain a very compact design of the optical system. The key elements of the microlens array processor are a square array of 15 X 15 spherical microlenses on acrylic substrate and a spatial light modulator as transmissive mask. The light distribution behind the mask is imaged onto the pixels of a customized a-Si image sensor with adjustable gain. We obtain one output sample for each microlens image and its corresponding weight mask area as summation of the transmitted intensity within one sensor pixel. The resulting architecture is very compact and robust like a conventional camera lens while incorporating a high degree of parallelism. We successfully demonstrate a Walsh transform into the spatial frequency domain as well as the implementation of a discrete cosine transform with digitized gray values. We provide results showing the transformation performance for both synthetic image patterns and images of natural texture samples. The extracted frequency features are suitable for neural classification of the input image. Other transforms and correlations can be implemented in real-time allowing adaptive optical signal processing.

  10. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  11. Image-based overlay and alignment metrology through optically opaque media with sub-surface probe microscopy

    Science.gov (United States)

    van Es, Maarten H.; Mohtashami, Abbas; Piras, Daniele; Sadeghian, Hamed

    2018-03-01

    Nondestructive subsurface nanoimaging through optically opaque media is considered to be extremely challenging and is essential for several semiconductor metrology applications including overlay and alignment and buried void and defect characterization. The current key challenge in overlay and alignment is the measurement of targets that are covered by optically opaque layers. Moreover, with the device dimensions moving to the smaller nodes and the issue of the so-called loading effect causing offsets between between targets and product features, it is increasingly desirable to perform alignment and overlay on product features or so-called on-cell overlay, which requires higher lateral resolution than optical methods can provide. Our recently developed technique known as SubSurface Ultrasonic Resonance Force Microscopy (SSURFM) has shown the capability for high-resolution imaging of structures below a surface based on (visco-)elasticity of the constituent materials and as such is a promising technique to perform overlay and alignment with high resolution in upcoming production nodes. In this paper, we describe the developed SSURFM technique and the experimental results on imaging buried features through various layers and the ability to detect objects with resolution below 10 nm. In summary, the experimental results show that the SSURFM is a potential solution for on-cell overlay and alignment as well as detecting buried defects or voids and generally metrology through optically opaque layers.

  12. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  13. Radiation effects on optical components of a laser radar sensor designed for remote metrology in ITER

    International Nuclear Information System (INIS)

    Menon, M.M.; Grann, E.B.; Slotwinski, A.

    1997-09-01

    A frequency modulated laser radar is being developed for in-vessel metrology and viewing of plasma-facing surfaces. Some optical components of this sensor must withstand intense gamma radiation (3 x 10 6 rad/h) during operation. The authors have tested the effect of radiation on a silica core polarization maintaining optical fiber and on TeO 2 crystals at doses up to ∼ 10 9 rad. Additional tests are planned for evaluating the performance of a complete acousto-optic (AO) scanning device. The progress made in these tests is also described

  14. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  15. SU-8 negative photoresist for optical mask manufacturing

    Science.gov (United States)

    Bogdanov, Alexei L.

    2000-06-01

    The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e-beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU- 8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent- based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 micrometer design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied.

  16. Comparison of cloud optical depth and cloud mask applying BRDF model-based background surface reflectance

    Science.gov (United States)

    Kim, H. W.; Yeom, J. M.; Woo, S. H.

    2017-12-01

    Over the thin cloud region, satellite can simultaneously detect the reflectance from thin clouds and land surface. Since the mixed reflectance is not the exact cloud information, the background surface reflectance should be eliminated to accurately distinguish thin cloud such as cirrus. In the previous research, Kim et al (2017) was developed the cloud masking algorithm using the Geostationary Ocean Color Imager (GOCI), which is one of significant instruments for Communication, Ocean, and Meteorology Satellite (COMS). Although GOCI has 8 spectral channels including visible and near infra-red spectral ranges, the cloud masking has quantitatively reasonable result when comparing with MODIS cloud mask (Collection 6 MYD35). Especially, we noticed that this cloud masking algorithm is more specialized in thin cloud detections through the validation with Cloud-Aerosol Lidar and Infrared Pathfinder Satellite Observation (CALIPSO) data. Because this cloud masking method was concentrated on eliminating background surface effects from the top-of-atmosphere (TOA) reflectance. Applying the difference between TOA reflectance and the bi-directional reflectance distribution function (BRDF) model-based background surface reflectance, cloud areas both thick cloud and thin cloud can be discriminated without infra-red channels which were mostly used for detecting clouds. Moreover, when the cloud mask result was utilized as the input data when simulating BRDF model and the optimized BRDF model-based surface reflectance was used for the optimized cloud masking, the probability of detection (POD) has higher value than POD of the original cloud mask. In this study, we examine the correlation between cloud optical depth (COD) and its cloud mask result. Cloud optical depths mostly depend on the cloud thickness, the characteristic of contents, and the size of cloud contents. COD ranges from less than 0.1 for thin clouds to over 1000 for the huge cumulus due to scattering by droplets. With

  17. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  18. Improving the surface metrology accuracy of optical profilers by using multiple measurements

    Science.gov (United States)

    Xu, Xudong; Huang, Qiushi; Shen, Zhengxiang; Wang, Zhanshan

    2016-10-01

    The performance of high-resolution optical systems is affected by small angle scattering at the mid-spatial-frequency irregularities of the optical surface. Characterizing these irregularities is, therefore, important. However, surface measurements obtained with optical profilers are influenced by additive white noise, as indicated by the heavy-tail effect observable on their power spectral density (PSD). A multiple-measurement method is used to reduce the effects of white noise by averaging individual measurements. The intensity of white noise is determined using a model based on the theoretical PSD of fractal surface measurements with additive white noise. The intensity of white noise decreases as the number of times of multiple measurements increases. Using multiple measurements also increases the highest observed spatial frequency; this increase is derived and calculated. Additionally, the accuracy obtained using multiple measurements is carefully studied, with the analysis of both the residual reference error after calibration, and the random errors appearing in the range of measured spatial frequencies. The resulting insights on the effects of white noise in optical profiler measurements and the methods to mitigate them may prove invaluable to improve the quality of surface metrology with optical profilers.

  19. Optimized phase mask to realize retro-reflection reduction for optical systems

    Science.gov (United States)

    He, Sifeng; Gong, Mali

    2017-10-01

    Aiming at the threats to the active laser detection systems of electro-optical devices due to the cat-eye effect, a novel solution is put forward to realize retro-reflection reduction in this paper. According to the demands of both cat-eye effect reduction and the image quality maintenance of electro-optical devices, a symmetric phase mask is achieved from a stationary phase method and a fast Fourier transform algorithm. Then, based on a comparison of peak normalized cross-correlation (PNCC) between the different defocus parameters, the optimal imaging position can be obtained. After modification with the designed phase mask, the cat-eye effect peak intensity can be reduced by two orders of magnitude while maintaining good image quality and high modulation transfer function (MTF). Furthermore, a practical design example is introduced to demonstrate the feasibility of our proposed approach.

  20. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  1. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  2. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  3. Some answers to new challenges in optical metrology

    Science.gov (United States)

    Osten, W.

    2008-09-01

    The visible trend in the implementation of new technologies and creation of new products is the continuous reduction of feature sizes. However, in the same way as the feature sizes are decreasing, the theoretical and practical constraints of making them and ensuring their quality are increasing. Consequently, modern production and inspection technologies are confronted with a bundle of challenges. An important barrier for optical imaging and sensing is the diffraction limited lateral resolution. The observation of this physical limitation is of increasing importance, not only for microscopic techniques but also for the application of 3D-measurement techniques on wafer scale level. A further challenge is the reliable detection of imperfections and material faults within the production chain. This means in-line metrology/defectoscopy is a must for future production systems. Only the real-time feedback of the inspection results into the production process can contribute to a consistent quality assurance in processes with high cost risk. Moreover the reliable measurement of free form surfaces, both technical and optical, the assurance of the traceability and the certified assessment of the uncertainty of the measurement results are ongoing challenges. The challenges and the physical limitations are addressed here by new approaches for testing semiconductor structures with enhanced resolution, the measurement of aspheric lenses with increased flexibility and the inspection of micro components with improved traceability.

  4. Cryptographic analysis on the key space of optical phase encryption algorithm based on the design of discrete random phase mask

    Science.gov (United States)

    Lin, Chao; Shen, Xueju; Li, Zengyan

    2013-07-01

    The key space of phase encryption algorithm using discrete random phase mask is investigated by numerical simulation in this paper. Random phase mask with finite and discrete phase levels is considered as the core component in most practical optical encryption architectures. The key space analysis is based on the design criteria of discrete random phase mask. The role of random amplitude mask and random phase mask in optical encryption system is identified from the perspective of confusion and diffusion. The properties of discrete random phase mask in a practical double random phase encoding scheme working in both amplitude encoding (AE) and phase encoding (PE) modes are comparably analyzed. The key space of random phase encryption algorithm is evaluated considering both the encryption quality and the brute-force attack resistibility. A method for enlarging the key space of phase encryption algorithm is also proposed to enhance the security of optical phase encryption techniques.

  5. Editorial: 3DIM-DS 2015: Optical image processing in the context of 3D imaging, metrology, and data security

    Science.gov (United States)

    Alfalou, Ayman

    2017-02-01

    Following the first International Symposium on 3D Imaging, Metrology, and Data Security (3DIM-DS) held in Shenzhen during september 2015, this special issue gathers a series of articles dealing with the main topics discussed during this symposium. These topics highlighted the importance of studying complex data treatment systems and intensive calculations designed for high dimensional imaging and metrology for which high image quality and high transmission speed become critical issues in a number of technological applications. A second purpose was to celebrate the International Year of Light by emphasizing the important role of optics in actual information processing systems.

  6. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  7. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  8. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  9. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  10. Statistical metrology - measurement and modeling of variation for advanced process development and design rule generation

    International Nuclear Information System (INIS)

    Boning, Duane S.; Chung, James E.

    1998-01-01

    Advanced process technology will require more detailed understanding and tighter control of variation in devices and interconnects. The purpose of statistical metrology is to provide methods to measure and characterize variation, to model systematic and random components of that variation, and to understand the impact of variation on both yield and performance of advanced circuits. Of particular concern are spatial or pattern-dependencies within individual chips; such systematic variation within the chip can have a much larger impact on performance than wafer-level random variation. Statistical metrology methods will play an important role in the creation of design rules for advanced technologies. For example, a key issue in multilayer interconnect is the uniformity of interlevel dielectric (ILD) thickness within the chip. For the case of ILD thickness, we describe phases of statistical metrology development and application to understanding and modeling thickness variation arising from chemical-mechanical polishing (CMP). These phases include screening experiments including design of test structures and test masks to gather electrical or optical data, techniques for statistical decomposition and analysis of the data, and approaches to calibrating empirical and physical variation models. These models can be integrated with circuit CAD tools to evaluate different process integration or design rule strategies. One focus for the generation of interconnect design rules are guidelines for the use of 'dummy fill' or 'metal fill' to improve the uniformity of underlying metal density and thus improve the uniformity of oxide thickness within the die. Trade-offs that can be evaluated via statistical metrology include the improvements to uniformity possible versus the effect of increased capacitance due to additional metal

  11. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  12. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  13. CONFERENCE NOTE: CETO—Centro de Ciências e Tecnologias Opticas, Trends in Optical Fibre Metrology and Standards

    Science.gov (United States)

    1994-01-01

    Summer School, 27 June to 8 July 1994, Viana do Castelo, Hotel do Parque, Portugal Optical fibres, with their extremely low transmission loss, untapped bandwidth and controllable dispersion, dominate a broad range of technologies in which applications must respond to the increasing constraints of today's specifications as well as envisage future requirements. Optical fibres dominate communications systems. In the area of sensors, fibre optics will be fully exploited for their immunity to EMI, their high sensitivity and their large dynamic range. The maturity of single mode optical technology has led to intensive R&D of a range of components based on the advantages of transmission characteristics and signal processing. Specifications and intercompatibility requests for the new generation of both analogue and digital fibre optical components and systems has created a demand for sophisticated measuring techniques based on unique and complex instruments. In recent years there has been a signification evolution in response to the explosion of applications and the tightening of specifications. These developments justify a concerted effort to focus on trends in optical fibre metrology and standards. Objective The objective of this school is to provide a progressive and comprehensive presentation of current issues concerning passive and active optical fibre characterization and measurement techniques. Passive fibre components support a variety of developments in optical fibre systems and will be discussed in terms of relevance and standards. Particular attention will be paid to devices for metrological purposes such as reference fibres and calibration artefacts. The characterization and testing of optical fibre amplifiers, which have great potential in telecommunications, data distribution networks and as a system part in instrumentation, will be covered. Methods of measurement and means of calibration with traceability will be discussed, together with the characterization

  14. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  15. Optical effects of shadow masks on short circuit current of organic photovoltaic devices.

    Science.gov (United States)

    Lin, Chi-Feng; Lin, Bing-Hong; Liu, Shun-Wei; Hsu, Wei-Feng; Zhang, Mi; Chiu, Tien-Lung; Wei, Mau-Kuo; Lee, Jiun-Haw

    2012-03-21

    In this paper, we have employed different shadow masks attached on top of organic photovoltaic (OPV) devices to study the optical effects of the former on the short circuit current (J(SC)). To rule out possible lateral electrical conduction and simplify the optical effects inside the device, a small-molecular heterojunction OPV device with a clear donor/acceptor interface was employed with a hole extraction layer exhibiting high resistance intentionally. Careful calibration with a shadow mask was employed. By attaching two layers of opaque masks in combination with a suitable holder design to shield the light from the edges and backside, the value of J(SC) approached that of the dark current, even under 1-sun radiation. With different illumination areas, we found that the photons illuminating the non-active region of the device contributed to 40% of the J(SC) by optical effect within the width of about 1 mm around the active region. When illuminating the non-active area with 12 mm to the active area, a 5.6 times improvement in the J(SC) was observed when the incident angle was 75°. With the introduction of a microstructured film onto the OPV device and an increase in the reflection from the non-active region, a 15% enhancement of the J(SC) compared to the control device was achieved.

  16. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  17. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  18. Digital chaos-masked optical encryption scheme enhanced by two-dimensional key space

    Science.gov (United States)

    Liu, Ling; Xiao, Shilin; Zhang, Lu; Bi, Meihua; Zhang, Yunhao; Fang, Jiafei; Hu, Weisheng

    2017-09-01

    A digital chaos-masked optical encryption scheme is proposed and demonstrated. The transmitted signal is completely masked by interference chaotic noise in both bandwidth and amplitude with analog method via dual-drive Mach-Zehnder modulator (DDMZM), making the encrypted signal analog, noise-like and unrecoverable by post-processing techniques. The decryption process requires precise matches of both the amplitude and phase between the cancellation and interference chaotic noises, which provide a large two-dimensional key space with the help of optical interference cancellation technology. For 10-Gb/s 16-quadrature amplitude modulation (QAM) orthogonal frequency division multiplexing (OFDM) signal over the maximum transmission distance of 80 km without dispersion compensation or inline amplifier, the tolerable mismatch ranges of amplitude and phase/delay at the forward error correction (FEC) threshold of 3.8×10-3 are 0.44 dB and 0.08 ns respectively.

  19. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  20. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  1. Advanced optical modeling of TiN metal hard mask for scatterometric critical dimension metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten

    2017-03-01

    The majority of scatterometric production control models assume constant optical properties of the materials and only dimensional parameters are allowed to vary. However, this assumption, especially in case of thin-metal films, negatively impacts model precision and accuracy. In this work we focus on optical modeling of the TiN metal hardmask for scatterometry applications. Since the dielectric function of TiN exhibits thickness dependence, we had to take this fact into account. Moreover, presence of the highly absorbing films influences extracted thicknesses of dielectric layers underneath the metal films. The later phenomenon is often not reflected by goodness of fit. We show that accurate optical modeling of metal is essential to achieve desired scatterometric model quality for automatic process control in microelectronic production. Presented modeling methodology can be applied to other TiN applications such as diffusion barriers and metal gates as well as for other metals used in microelectronic manufacturing for all technology nodes.

  2. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  3. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  4. Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

    Science.gov (United States)

    Ashe, Brian; Deverich, Christina; Rabidoux, Paul A.; Peck, Barbara; Petrillo, Karen E.; Angelopoulos, Marie; Huang, Wu-Song; Moreau, Wayne M.; Medeiros, David R.

    2002-03-01

    The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.

  5. Dimensional metrology of lab-on-a-chip internal structures: a comparison of optical coherence tomography with confocal fluorescence microscopy.

    Science.gov (United States)

    Reyes, D R; Halter, M; Hwang, J

    2015-07-01

    The characterization of internal structures in a polymeric microfluidic device, especially of a final product, will require a different set of optical metrology tools than those traditionally used for microelectronic devices. We demonstrate that optical coherence tomography (OCT) imaging is a promising technique to characterize the internal structures of poly(methyl methacrylate) devices where the subsurface structures often cannot be imaged by conventional wide field optical microscopy. The structural details of channels in the devices were imaged with OCT and analyzed with an in-house written ImageJ macro in an effort to identify the structural details of the channel. The dimensional values obtained with OCT were compared with laser-scanning confocal microscopy images of channels filled with a fluorophore solution. Attempts were also made using confocal reflectance and interferometry microscopy to measure the channel dimensions, but artefacts present in the images precluded quantitative analysis. OCT provided the most accurate estimates for the channel height based on an analysis of optical micrographs obtained after destructively slicing the channel with a microtome. OCT may be a promising technique for the future of three-dimensional metrology of critical internal structures in lab-on-a-chip devices because scans can be performed rapidly and noninvasively prior to their use. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  6. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  7. In-die photomask registration and overlay metrology with PROVE using 2D correlation methods

    Science.gov (United States)

    Seidel, D.; Arnz, M.; Beyer, D.

    2011-11-01

    According to the ITRS roadmap, semiconductor industry drives the 193nm lithography to its limits, using techniques like double exposure, double patterning, mask-source optimization and inverse lithography. For photomask metrology this translates to full in-die measurement capability for registration and critical dimension together with challenging specifications for repeatability and accuracy. Especially, overlay becomes more and more critical and must be ensured on every die. For this, Carl Zeiss SMS has developed the next generation photomask registration and overlay metrology tool PROVE® which serves the 32nm node and below and which is already well established in the market. PROVE® features highly stable hardware components for the stage and environmental control. To ensure in-die measurement capability, sophisticated image analysis methods based on 2D correlations have been developed. In this paper we demonstrate the in-die capability of PROVE® and present corresponding measurement results for shortterm and long-term measurements as well as the attainable accuracy for feature sizes down to 85nm using different illumination modes and mask types. Standard measurement methods based on threshold criteria are compared with the new 2D correlation methods to demonstrate the performance gain of the latter. In addition, mask-to-mask overlay results of typical box-in-frame structures down to 200nm feature size are presented. It is shown, that from overlay measurements a reproducibility budget can be derived that takes into account stage, image analysis and global effects like mask loading and environmental control. The parts of the budget are quantified from measurement results to identify critical error contributions and to focus on the corresponding improvement strategies.

  8. Remote metrology by comparative digital holography

    International Nuclear Information System (INIS)

    Baumbach, Torsten; Osten, Wolfgang; Kopylow, Christoph von; Jueptner, Werner

    2006-01-01

    A method for the remote comparison of objects with regard to their shape or response to a load is presented. The method allows interferometric sensitivity for comparing objects with different microstructure. In contrast to the well-known incoherent techniques based on inverse fringe projection this new approach uses the coherent optical wave field of the master object as a mask for the illumination of the sample object. The coherent mask is created by digital holography to allow instant access to the complete optical information of the master object at any place desired. The mask is reconstructed by a spatial light modulator (SLM). The optical reconstruction of digital holograms with SLM technology allows modification of reconstructed wavefronts with respect to improvement of image quality, the skilled introduction of additional information about the object (augmented reality), and the alignment of the master and test object

  9. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  10. Influence of optical fiber location behind an apodized phase mask on Bragg grating reflection efficiencies at Bragg wavelength and its harmonics

    Science.gov (United States)

    Osuch, Tomasz; Jaroszewicz, Zbigniew

    2017-01-01

    An apodized fiber Bragg grating formation using a phase mask with variable duty cycle is numerically analyzed. In particular, an impact of position of an optical fiber behind the phase mask with Gaussian apodization profile on Bragg grating reflection efficiencies at Bragg wavelength and its harmonics is extensively studied. It is shown that reflection efficiency of each harmonic strongly depends on the optical fiber location with respect to the adjacent Talbot planes during the grating inscription. An analytical formula for calculation such periodical changes of reflection strength is proposed. It is also proved, that the smaller optical fiber diameter the higher fluctuations of reflectivity for particular harmonic occur. Results presented for such general case (i.e. phase mask with variable duty cycle with all non-zero diffraction orders) directly correspond to less complex structures, such as uniform phase masks and those with variable groove depth. They are also useful in optimization of Bragg wavelength and harmonic reflection efficiencies as well as in deep understanding of apodized FBG formation using aforementioned phase masks.

  11. Large-area parallel near-field optical nanopatterning of functional materials using microsphere mask

    Energy Technology Data Exchange (ETDEWEB)

    Chen, G.X. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Hong, M.H. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)], E-mail: Hong_Minghui@dsi.a-star.edu.sg; Lin, Y. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Wang, Z.B. [Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Ng, D.K.T. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Xie, Q. [Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Tan, L.S. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Chong, T.C. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)

    2008-01-31

    Large-area parallel near-field optical nanopatterning on functional material surfaces was investigated with KrF excimer laser irradiation. A monolayer of silicon dioxide microspheres was self-assembled on the sample surfaces as the processing mask. Nanoholes and nanospots were obtained on silicon surfaces and thin silver films, respectively. The nanopatterning results were affected by the refractive indices of the surrounding media. Near-field optical enhancement beneath the microspheres is the physical origin of nanostructure formation. Theoretical calculation was performed to study the intensity of optical field distributions under the microspheres according to the light scattering model of a sphere on the substrate.

  12. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  13. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  14. Optical metrology tools for the Virgo projet

    Science.gov (United States)

    Loriette, V.

    For more than thirty years the search for gravitationnal waves, predicted by Einstein's relativistic theory of gravitation, has been an intense research field in experimental as well as theoretical physics. Today, with the constant advance of technology in optics, lasers, data analysis and processing, ... a promising way of directly detecting gravitationnal waves with earth-based instruments is optical interferometry. Before the end of this century many experiments will be carried on in Australia, Europe, Japan and the United States to detect the passage of a gravitationnal wave through giant Michelson-type interferometers. The effects predicted are so small, (a gravitationnal wave changes the length of three kilometer long arms by one thousandth of a fermi) that the need for “perfect” optical components is a key to the success of these experiments. Still a few years ago it would have been impossible to make optical components that would satisfy the required specifications for such interferometric detectors. For nearly ten years constant R&D efforts in optical coating manufacturing, optical material fabrication and optical metrology, allow us today to make such components. This text is intended to describe the field of optical metrology as it is needed for the testing of optical parts having performances far beyond than everything previously made. The first chapter is an introduction to gravitationnal waves, their sources and their effects on detectors. Starting by newtonian mechanics we jump rapidly to the general theory of relativity and describe particular solutions of Einstein's equations in the case of weak gravitationnal fields, which are periodic perturbations of the space-time metric in the form of plane waves, the so-called gravitationnal waves. We present various candidate sources, terrestrial and extra-terrestrial and give a short description of the two families of detectors: resonnant bars and optical interferometers. The second part of this chapter

  15. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  16. Towards a Uniform Metrological Assessment of Grating-Based Optical Fiber Sensors: From Refractometers to Biosensors.

    Science.gov (United States)

    Chiavaioli, Francesco; Gouveia, Carlos A J; Jorge, Pedro A S; Baldini, Francesco

    2017-06-21

    A metrological assessment of grating-based optical fiber sensors is proposed with the aim of providing an objective evaluation of the performance of this sensor category. Attention was focused on the most common parameters, used to describe the performance of both optical refractometers and biosensors, which encompassed sensitivity, with a distinction between volume or bulk sensitivity and surface sensitivity, resolution, response time, limit of detection, specificity (or selectivity), reusability (or regenerability) and some other parameters of generic interest, such as measurement uncertainty, accuracy, precision, stability, drift, repeatability and reproducibility. Clearly, the concepts discussed here can also be applied to any resonance-based sensor, thus providing the basis for an easier and direct performance comparison of a great number of sensors published in the literature up to now. In addition, common mistakes present in the literature made for the evaluation of sensor performance are highlighted, and lastly a uniform performance assessment is discussed and provided. Finally, some design strategies will be proposed to develop a grating-based optical fiber sensing scheme with improved performance.

  17. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  18. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  19. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  20. Automated hotspot analysis with aerial image CD metrology for advanced logic devices

    Science.gov (United States)

    Buttgereit, Ute; Trautzsch, Thomas; Kim, Min-ho; Seo, Jung-Uk; Yoon, Young-Keun; Han, Hak-Seung; Chung, Dong Hoon; Jeon, Chan-Uk; Meyers, Gary

    2014-09-01

    Continuously shrinking designs by further extension of 193nm technology lead to a much higher probability of hotspots especially for the manufacturing of advanced logic devices. The CD of these potential hotspots needs to be precisely controlled and measured on the mask. On top of that, the feature complexity increases due to high OPC load in the logic mask design which is an additional challenge for CD metrology. Therefore the hotspot measurements have been performed on WLCD from ZEISS, which provides the benefit of reduced complexity by measuring the CD in the aerial image and qualifying the printing relevant CD. This is especially of advantage for complex 2D feature measurements. Additionally, the data preparation for CD measurement becomes more critical due to the larger amount of CD measurements and the increasing feature diversity. For the data preparation this means to identify these hotspots and mark them automatically with the correct marker required to make the feature specific CD measurement successful. Currently available methods can address generic pattern but cannot deal with the pattern diversity of the hotspots. The paper will explore a method how to overcome those limitations and to enhance the time-to-result in the marking process dramatically. For the marking process the Synopsys WLCD Output Module was utilized, which is an interface between the CATS mask data prep software and the WLCD metrology tool. It translates the CATS marking directly into an executable WLCD measurement job including CD analysis. The paper will describe the utilized method and flow for the hotspot measurement. Additionally, the achieved results on hotspot measurements utilizing this method will be presented.

  1. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    Czech Academy of Sciences Publication Activity Database

    Řeřucha, Šimon; Yacoot, A.; Pham, Minh Tuan; Čížek, Martin; Hucl, Václav; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 28, č. 4 (2017), s. 1-11, č. článku 045204. ISSN 0957-0233 R&D Projects: GA ČR GB14-36681G; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01; GA TA ČR TE01020233 Institutional support: RVO:68081731 Keywords : optical metrology * DBR laser diode * frequency stabilization * laser interferometry * dimensional metrology * iodine stabilization * displacement measurement Subject RIV: BH - Optics, Masers, Lasers OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.585, year: 2016

  2. Optical metrology

    CERN Document Server

    Gåsvik, Kjell J

    2003-01-01

    New material on computerized optical processes, computerized ray tracing, and the fast Fourier transform, Bibre-Bragg sensors, and temporal phase unwrapping.* New introductory sections to all chapters.* Detailed discussion on lasers and laser principles, including an introduction to radiometry and photometry.* Thorough coverage of the CCD camera.

  3. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  4. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  5. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  6. Context-based virtual metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  7. Poisson-Spot Intensity Reduction with a Partially-Transparent Petal-Shaped Optical Mask

    Science.gov (United States)

    Shiri, Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of Poisson's spot, also known as the spot of Arago, formed along the optical axis in the geometrical shadow behind an obstruction, has been known since the 18th century. The presence of this spot can best be described as the consequence of constructive interference of light waves diffracted on the edge of the obstruction where its central position can··be determined by the symmetry of the object More recently, the elimination of this spot has received attention in the fields of particle physics, high-energy lasers, astronomy and lithography. In this paper, we introduce a novel, partially transparent petaled mask shape that suppresses the bright spot by up to 10 orders of magnitude in intensity, with powerful applications to many of the above fields. The optimization technique formulated in this design can identify mask shapes having partial transparency only near the petal tips.

  8. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  9. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  10. Reconstruction of mechanically recorded sound from an edison cylinder using three dimensional non-contact optical surface metrology

    Energy Technology Data Exchange (ETDEWEB)

    Fadeyev, V.; Haber, C.; Maul, C.; McBride, J.W.; Golden, M.

    2004-04-20

    Audio information stored in the undulations of grooves in a medium such as a phonograph disc record or cylinder may be reconstructed, without contact, by measuring the groove shape using precision optical metrology methods and digital image processing. The viability of this approach was recently demonstrated on a 78 rpm shellac disc using two dimensional image acquisition and analysis methods. The present work reports the first three dimensional reconstruction of mechanically recorded sound. The source material, a celluloid cylinder, was scanned using color coded confocal microscopy techniques and resulted in a faithful playback of the recorded information.

  11. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  12. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  13. Enhancement of security using structured phase masked in optical image encryption on Fresnel transform domain

    Science.gov (United States)

    Yadav, Poonam Lata; Singh, Hukum

    2018-05-01

    To enhance the security in optical image encryption system and to protect it from the attackers, this paper proposes new digital spiral phase mask based on Fresnel Transform. In this cryptosystem the Spiral Phase Mask (SPM) used is a hybrid of Fresnel Zone Plate (FZP) and Radial Hilbert Mask (RHM) which makes the key strong and enhances the security. The different keys used for encryption and decryption purposed make the system much more secure. Proposed scheme uses various structured phase mask which increases the key space also it increases the number of parameters which makes it difficult for the attackers to exactly find the key to recover the original image. We have also used different keys for encryption and decryption purpose to make the system much more secure. The strength of the proposed cryptosystem has been analyzed by simulating on MATLAB 7.9.0(R2008a). Mean Square Errors (MSE) and Peak Signal to Noise Ratio (PSNR) are calculated for the proposed algorithm. The experimental results are provided to highlight the effectiveness and sustainability of proposed cryptosystem and to prove that the cryptosystem is secure for usage.

  14. A pattern-based method to automate mask inspection files

    Science.gov (United States)

    Kamal Baharin, Ezni Aznida Binti; Muhsain, Mohamad Fahmi Bin; Ahmad Ibrahim, Muhamad Asraf Bin; Ahmad Noorhani, Ahmad Nurul Ihsan Bin; Sweis, Jason; Lai, Ya-Chieh; Hurat, Philippe

    2017-03-01

    Mask inspection is a critical step in the mask manufacturing process in order to ensure all dimensions printed are within the needed tolerances. This becomes even more challenging as the device nodes shrink and the complexity of the tapeout increases. Thus, the amount of measurement points and their critical dimension (CD) types are increasing to ensure the quality of the mask. In addition to the mask quality, there is a significant amount of manpower needed when the preparation and debugging of this process are not automated. By utilizing a novel pattern search technology with the ability to measure and report match region scan-line (edge) measurements, we can create a flow to find, measure and mark all metrology locations of interest and provide this automated report to the mask shop for inspection. A digital library is created based on the technology product and node which contains the test patterns to be measured. This paper will discuss how these digital libraries will be generated and then utilized. As a time-critical part of the manufacturing process, this can also reduce the data preparation cycle time, minimize the amount of manual/human error in naming and measuring the various locations, reduce the risk of wrong/missing CD locations, and reduce the amount of manpower needed overall. We will also review an example pattern and how the reporting structure to the mask shop can be processed. This entire process can now be fully automated.

  15. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  16. System for automatic gauge block length measurement optimized for secondary length metrology

    Czech Academy of Sciences Publication Activity Database

    Buchta, Zdeněk; Šarbort, Martin; Čížek, Martin; Hucl, Václav; Řeřucha, Šimon; Pikálek, Tomáš; Dvořáčková, Š.; Dvořáček, F.; Kůr, J.; Konečný, P.; Weigl, M.; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 49, JULY (2017), s. 322-331 ISSN 0141-6359 R&D Projects: GA TA ČR(CZ) TA03010663; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : low-coherence interferometry * laser interferometry * Gauge block * metrology Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 2.237, year: 2016

  17. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  18. Optical modeling of Fresnel zoneplate microscopes

    International Nuclear Information System (INIS)

    Naulleau, Patrick P.; Mochi, Iacopo; Goldberg, Kenneth A.

    2011-01-01

    Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.

  19. Criterion Validation Testing of Clinical Metrology Instruments for Measuring Degenerative Joint Disease Associated Mobility Impairment in Cats.

    Science.gov (United States)

    Gruen, Margaret E; Griffith, Emily H; Thomson, Andrea E; Simpson, Wendy; Lascelles, B Duncan X

    2015-01-01

    Degenerative joint disease and associated pain are common in cats, particularly in older cats. There is a need for treatment options, however evaluation of putative therapies is limited by a lack of suitable, validated outcome measures that can be used in the target population of client owned cats. The objectives of this study were to evaluate low-dose daily meloxicam for the treatment of pain associated with degenerative joint disease in cats, and further validate two clinical metrology instruments, the Feline Musculoskeletal Pain Index (FMPI) and the Client Specific Outcome Measures (CSOM). Sixty-six client owned cats with degenerative joint disease and owner-reported impairments in mobility were screened and enrolled into a double-masked, placebo-controlled, randomized clinical trial. Following a run-in baseline period, cats were given either placebo or meloxicam for 21 days, then in a masked washout, cats were all given placebo for 21 days. Subsequently, cats were given the opposite treatment, placebo or meloxicam, for 21 days. Cats wore activity monitors throughout the study, owners completed clinical metrology instruments following each period. Activity counts were increased in cats during treatment with daily meloxicam (pdegenerative joint disease.

  20. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  1. Comparison of optical beam smoothing techniques for inertial confinement fusion and improvement of smoothing by the use of zero-correlation masks

    International Nuclear Information System (INIS)

    Lehmberg, R. H.; Rothenberg, J. E.

    2000-01-01

    We present analytic theory and numerical simulations comparing the optical beam smoothing capabilities of the smoothing by spectral dispersion (SSD) technique using random temporal phase modulation, with that of the induced spatial incoherence technique. The analytic theory provides a simple formula for the SSD mode spectrum in the usual case where the phase mask at the focusing lens is random, and its asymptotic limit quantitatively relates the long wavelength mode smoothing to the width of the angular dispersion. With parameters and phase aberration relevant to the National Ignition Facility beams, the SSD simulations show that the large long wavelength components, which are also found in earlier simulations, can be significantly reduced by replacing the independent random phase masks in each pair of adjacent beams by a conjugate pair of zero-correlation masks. These simulations suggest that one can combine zero-correlation masks with random temporal phase modulation and multiple color cycles to achieve SSD smoothing approaching the optical bandwidth limit at all spatial frequencies, without using large angular dispersions. (c) 2000 American Institute of Physics

  2. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  3. Manufacturing and metrology for IR conformal windows and domes

    Science.gov (United States)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  4. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  5. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  6. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  7. Metrology for Fuel Cell Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Stocker, Michael [National Inst. of Standards and Technology, Gaithersburg, MD (United States); Stanfield, Eric [National Inst. of Standards and Technology, Gaithersburg, MD (United States)

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. The objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.

  8. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  9. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  10. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  11. Binary phase masks on self-developing photopolymers: the technique for formation and testing in an optical correlator

    International Nuclear Information System (INIS)

    Yezhov, P V; Il'in, O A; Smirnova, T N; Tikhonov, E A

    2003-01-01

    Binary phase masks (PMs) of size 256x256 cells with a random distribution of elements, formed on the self-developing FPK-488 photopolymer, are studied. The masks were prepared by the projection method using amplitude transparencies. The phase shift between the mask elements corresponding to the regions of the amplitude transparency with the optical density D = 0 and 2 was (0.85±0.05)π at the wavelength of 0.633 μm. Holographic matched filters were recorded for PMs obtained. The diffraction efficiency of holographic matched PM filters was 40 %. The signal-to-noise ratio for recognition signals for PMs in the Vander Lugt correlator was 20 dB. The normalised power density of the recognition signal is studied as a function of the rotation angle of a PM in the input plane of the Vander Lugt correlator. (laser applications and other topics in quantum electronics)

  12. Ultrabroadband optical chirp linearization for precision metrology applications.

    Science.gov (United States)

    Roos, Peter A; Reibel, Randy R; Berg, Trenton; Kaylor, Brant; Barber, Zeb W; Babbitt, Wm Randall

    2009-12-01

    We demonstrate precise linearization of ultrabroadband laser frequency chirps via a fiber-based self-heterodyne technique to enable extremely high-resolution, frequency-modulated cw laser-radar (LADAR) and a wide range of other metrology applications. Our frequency chirps cover bandwidths up to nearly 5 THz with frequency errors as low as 170 kHz, relative to linearity. We show that this performance enables 31-mum transform-limited LADAR range resolution (FWHM) and 86 nm range precisions over a 1.5 m range baseline. Much longer range baselines are possible but are limited by atmospheric turbulence and fiber dispersion.

  13. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  14. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  15. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  16. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  17. Laser damage in optical components: metrology, statistical and photo-induced analysis of precursor centres

    International Nuclear Information System (INIS)

    Gallais, L.

    2002-11-01

    This thesis deals with laser damage phenomena for nanosecond pulses, in optical components such as glasses, dielectric and metallic thin films. Firstly, a work is done on the laser damage metrology, in order to obtain accurate and reliable measurement of laser-induced damage probabilities, with a rigorous control of test parameters. Then, with the use of a specific model, we find densities of laser damage precursors in the case of bulk glasses (few tens by (100μm) 3 ) and in the case of glass surfaces (one precursor by μm 3 ). Our analysis is associated to morphology studies by Atomic Force Microscope to discuss about precursor nature and damage process. Influence of wavelength (from 355 to 1064 nm) and cumulated shots is also studied. Simulations are performed to study initiation mechanisms on these inclusions. This work gives an estimation of complex index and size of the precursor, which permits to discuss about possible detection by non-destructive tools. (author)

  18. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    Science.gov (United States)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  19. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  20. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  1. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  2. Fundamental performance determining factors of the ultrahigh-precision space-borne optical metrology system for the LISA Pathfinder mission

    Science.gov (United States)

    Hechenblaikner, Gerald; Flatscher, Reinhold

    2013-05-01

    The LISA Pathfinder mission to space employs an optical metrology system (OMS) at its core to measure the distance and attitude between two freely floating test-masses to picometer and nanorad accuracy, respectively, within the measurement band of [1 mHz, 30 mHz]. The OMS is based upon an ultra-stable optical bench with 4 heterodyne interferometers from which interference signals are read-out and processed by a digital phase-meter. Laser frequency noise, power fluctuations and optical path-length variations are suppressed to uncritical levels by dedicated control loops so that the measurement performance approaches the sensor limit imposed by the phasemeter. The system design is such that low frequency common mode noise which affects the read-out phase of all four interferometers is generally well suppressed by subtraction of a reference phase from the other interferometer signals. However, high frequency noise directly affects measurement performance and its common mode rejection depends strongly on the relative signal phases. We discuss how the data from recent test campaigns point towards high frequency phase noise as a likely performance limiting factor which explains some important performance features.

  3. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  4. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  5. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  6. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  7. The At-Wavelength Metrology Facility at BESSY-II

    Directory of Open Access Journals (Sweden)

    Franz Schäfers

    2016-02-01

    Full Text Available The At-Wavelength Metrology Facility at BESSY-II is dedicated to short-term characterization of novel UV, EUV and XUV optical elements, such as diffraction gratings, mirrors, multilayers and nano-optical devices like reflection zone plates. It consists of an Optics Beamline PM-1 and a Reflectometer in a clean-room hutch as a fixed end station. The bending magnet Beamline is a Plane Grating Monochromator beamline (c-PGM equipped with an SX700 monochromator. The beamline is specially tailored for efficient high-order suppression and stray light reduction. The versatile 11-axes UHV-Reflectometer can house life-sized optical elements, which are fully adjustable and of which the reflection properties can be measured in the full incidence angular range as well as in the full azimuthal angular range to determine polarization properties.

  8. TSOM Method for Nanoelectronics Dimensional Metrology

    International Nuclear Information System (INIS)

    Attota, Ravikiran

    2011-01-01

    Through-focus scanning optical microscopy (TSOM) is a relatively new method that transforms conventional optical microscopes into truly three-dimensional metrology tools for nanoscale to microscale dimensional analysis. TSOM achieves this by acquiring and analyzing a set of optical images collected at various focus positions going through focus (from above-focus to under-focus). The measurement resolution is comparable to what is possible with typical light scatterometry, scanning electron microscopy (SEM) and atomic force microscopy (AFM). TSOM method is able to identify nanometer scale difference, type of the difference and magnitude of the difference between two nano/micro scale targets using a conventional optical microscope with visible wavelength illumination. Numerous industries could benefit from the TSOM method--such as the semiconductor industry, MEMS, NEMS, biotechnology, nanomanufacturing, data storage, and photonics. The method is relatively simple and inexpensive, has a high throughput, provides nanoscale sensitivity for 3D measurements and could enable significant savings and yield improvements in nanometrology and nanomanufacturing. Potential applications are demonstrated using experiments and simulations.

  9. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    Energy Technology Data Exchange (ETDEWEB)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sawhney, Kawal [Diamond Light Source Ltd., Harwell Science and Innovation Campus, Didcot, Oxfordshire OX11 0DE (United Kingdom)

    2016-05-15

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  10. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    International Nuclear Information System (INIS)

    Alcock, Simon G.; Nistea, Ioana; Sawhney, Kawal

    2016-01-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  11. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad.

    Science.gov (United States)

    Alcock, Simon G; Nistea, Ioana; Sawhney, Kawal

    2016-05-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM's autocollimator adds into the overall measured value of the mirror's slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  12. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  13. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  14. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  15. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  16. True-color 3D surface metrology for additive manufacturing using interference microscopy

    OpenAIRE

    DiSciacca, Jack; Gomez, Carlos; Thompson, Adam; Lawes, Simon; Leach, Richard; Colonna de Lega, Xavier; de Groot, Peter

    2017-01-01

    Coherence scanning interferometry (CSI) is widely used for surface topography characterisation. With the ability to measure both rough surfaces with the high slopes and optical finishes, CSI has made contibutions in fields from industrial machining to optical fabrication and polishing [1,2]. While the low coherence sources for CSI are typically broadband and suitable for color imaging, the metrology is usually performed without regards for the color information [3]. We present color surface t...

  17. Complex Pupil Masks for Aberrated Imaging of Closely Spaced Objects

    Science.gov (United States)

    Reddy, A. N. K.; Sagar, D. K.; Khonina, S. N.

    2017-12-01

    Current approach demonstrates the suppression of optical side-lobes and the contraction of the main lobe in the composite image of two object points of the optical system under the influence of defocusing effect when an asymmetric phase edges are imposed over the apodized circular aperture. The resolution of two point sources having different intensity ratio is discussed in terms of the modified Sparrow criterion, functions of the degree of coherence of the illumination, the intensity difference and the degree of asymmetric phase masking. Here we have introduced and explored the effects of focus aberration (defect-of-focus) on the two-point resolution of the optical systems. Results on the aberrated composite image of closely spaced objects with amplitude mask and asymmetric phase masks forms a significant contribution in astronomical and microscopic observations.

  18. Metrology for Freeform Optics

    Data.gov (United States)

    National Aeronautics and Space Administration — Science requirements for optical instrumentation are requiring larger fields of view and faster f-numbers to complete their objectives.   Additionally, opportunities...

  19. Optically secured information retrieval using two authenticated phase-only masks.

    Science.gov (United States)

    Wang, Xiaogang; Chen, Wen; Mei, Shengtao; Chen, Xudong

    2015-10-23

    We propose an algorithm for jointly designing two phase-only masks (POMs) that allow for the encryption and noise-free retrieval of triple images. The images required for optical retrieval are first stored in quick-response (QR) codes for noise-free retrieval and flexible readout. Two sparse POMs are respectively calculated from two different images used as references for authentication based on modified Gerchberg-Saxton algorithm (GSA) and pixel extraction, and are then used as support constraints in a modified double-phase retrieval algorithm (MPRA), together with the above-mentioned QR codes. No visible information about the target images or the reference images can be obtained from each of these authenticated POMs. This approach allows users to authenticate the two POMs used for image reconstruction without visual observation of the reference images. It also allows user to friendly access and readout with mobile devices.

  20. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  1. Impulse attack-free four random phase mask encryption based on a 4-f optical system.

    Science.gov (United States)

    Kumar, Pramod; Joseph, Joby; Singh, Kehar

    2009-04-20

    Optical encryption methods based on double random phase encryption (DRPE) have been shown to be vulnerable to different types of attacks. The Fourier plane random phase mask (RPM), which is the most important key, can be cracked with a single impulse function attack. Such an attack is viable because the Fourier transform of a delta function is a unity function. Formation of a unity function can be avoided if RPMs are placed in front of both lenses in a 4-f optical setup, thereby protecting the DRPE from an impulse attack. We have performed numerical simulations to verify the proposed scheme. Resistance of this scheme is checked against the brute force and the impulse function attacks. The experimental results validate the feasibility of the scheme.

  2. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  3. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  4. Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution

    NARCIS (Netherlands)

    Bijster, R.J.F.; Sadeghian Marnani, H.; van Keulen, A.; Sanchez, M.I.; Ukraintsev, V.A.

    2016-01-01

    Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of

  5. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  6. Preface: The 5th International Workshop on X-ray Mirror Design, Fabrication, and Metrology

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, Lahsen [Argonne National Laboratory, 9700 South Cass Avenue, Lemont, Illinois 60439 (United States); Goldberg, Kenneth; Yashchuk, Valeriy V. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2016-05-15

    Recent developments in synchrotron storage rings and free-electron laser-based x-ray sources with ever-increasing brightness and coherent flux have pushed x-ray optics requirements to new frontiers. This Special Topic gathers a set of articles derived from a subset of the key presentations of the International Workshop on X-ray Mirrors Fabrication (IWXM-2015) and Metrology held at Lawrence Berkley National Laboratory, Berkeley, California, USA, July 14–16, 2015. The workshop objective was to report on recent progress in x-ray synchrotron radiation mirrors fabrication as well as on new developments in related metrology tools and methods.

  7. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  8. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  9. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  10. Generation of mask patterns for diffractive optical elements using MathematicaTM

    International Nuclear Information System (INIS)

    OShea, D.C.

    1996-01-01

    The generation of binary and grayscale masks used in the fabrication of diffractive optical elements is usually performed using a proprietary piece of software or a computer-aided drafting package. Once the pattern is computed or designed, it must be output to a plotting or imaging system that will produce a reticle plate. This article describes a number of short Mathematica modules that can be used to generate binary and grayscale patterns in a PostScript-compatible format. Approaches to ensure that the patterns are directly related to the function of the element and the design wavelength are discussed. A procedure to preserve the scale of the graphic output when it is transferred to another application is given. Examples of surfaces for a 100 mm effective focal length lens and an Alvarez surface are given. copyright 1996 American Institute of Physics

  11. Past and future challenges from a display mask writer perspective

    Science.gov (United States)

    Ekberg, Peter; von Sydow, Axel

    2012-06-01

    Since its breakthrough, the liquid crystal technology has continued to gain momentum and the LCD is today the dominating display type used in desktop monitors, television sets, mobile phones as well as other mobile devices. To improve production efficiency and enable larger screen sizes, the LCD industry has step by step increased the size of the mother glass used in the LCD manufacturing process. Initially the mother glass was only around 0.1 m2 large, but with each generation the size has increased and with generation 10 the area reaches close to 10 m2. The increase in mother glass size has in turn led to an increase in the size of the photomasks used - currently the largest masks are around 1.6 × 1.8 meters. A key mask performance criterion is the absence of "mura" - small systematic errors captured only by the very sensitive human eye. To eliminate such systematic errors, special techniques have been developed by Micronic Mydata. Some mura suppressing techniques are described in this paper. Today, the race towards larger glass sizes has come to a halt and a new race - towards higher resolution and better image quality - is ongoing. The display mask is therefore going through a change that resembles what the semiconductor mask went through some time ago: OPC features are introduced, CD requirements are increasing sharply and multi tone masks (MTMs) are widely used. Supporting this development, Micronic Mydata has introduced a number of compensation methods in the writer, such as Z-correction, CD map and distortion control. In addition, Micronic Mydata MMS15000, the world's most precise large area metrology tool, has played an important role in improving mask placement quality and is briefly described in this paper. Furthermore, proposed specifications and system architecture concept for a new generation mask writers - able to fulfill future image quality requirements - is presented in this paper. This new system would use an AOD/AOM writing engine and be

  12. Video encryption using chaotic masks in joint transform correlator

    Science.gov (United States)

    Saini, Nirmala; Sinha, Aloka

    2015-03-01

    A real-time optical video encryption technique using a chaotic map has been reported. In the proposed technique, each frame of video is encrypted using two different chaotic random phase masks in the joint transform correlator architecture. The different chaotic random phase masks can be obtained either by using different iteration levels or by using different seed values of the chaotic map. The use of different chaotic random phase masks makes the decryption process very complex for an unauthorized person. Optical, as well as digital, methods can be used for video encryption but the decryption is possible only digitally. To further enhance the security of the system, the key parameters of the chaotic map are encoded using RSA (Rivest-Shamir-Adleman) public key encryption. Numerical simulations are carried out to validate the proposed technique.

  13. Video encryption using chaotic masks in joint transform correlator

    International Nuclear Information System (INIS)

    Saini, Nirmala; Sinha, Aloka

    2015-01-01

    A real-time optical video encryption technique using a chaotic map has been reported. In the proposed technique, each frame of video is encrypted using two different chaotic random phase masks in the joint transform correlator architecture. The different chaotic random phase masks can be obtained either by using different iteration levels or by using different seed values of the chaotic map. The use of different chaotic random phase masks makes the decryption process very complex for an unauthorized person. Optical, as well as digital, methods can be used for video encryption but the decryption is possible only digitally. To further enhance the security of the system, the key parameters of the chaotic map are encoded using RSA (Rivest–Shamir–Adleman) public key encryption. Numerical simulations are carried out to validate the proposed technique. (paper)

  14. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  15. Water cooled metal optics for the Advanced Light Source

    International Nuclear Information System (INIS)

    McKinney, W.R.; Irick, S.C.; Lunt, D.L.J.

    1991-01-01

    The program for providing water cooled metal optics for the Advanced Light Source at Berkeley is reviewed with respect to fabrication and metrology of the surfaces. Materials choices, surface figure and smoothness specifications, and metrology systems for measuring the plated metal surfaces are discussed. Results from prototype mirrors and grating blanks will be presented, which show exceptionally low microroughness and mid-period error. We will briefly describe out improved version of the Long Trace Profiler, and its importance to out metrology program. We have completely redesigned the mechanical, optical and computational parts of the profiler system with the cooperation of Peter Takacs of Brookhaven, Continental Optical, and Baker Manufacturing. Most important is that one of our profilers is in use at the vendor to allow testing during fabrication. Metrology from the first water cooled mirror for an ALS beamline is presented as an example. The preplating processing and grinding and polishing were done by Tucson Optical. We will show significantly better surface microroughness on electroless nickel, over large areas, than has been reported previously

  16. Overlay improvement methods with diffraction based overlay and integrated metrology

    Science.gov (United States)

    Nam, Young-Sun; Kim, Sunny; Shin, Ju Hee; Choi, Young Sin; Yun, Sang Ho; Kim, Young Hoon; Shin, Si Woo; Kong, Jeong Heung; Kang, Young Seog; Ha, Hun Hwan

    2015-03-01

    To accord with new requirement of securing more overlay margin, not only the optical overlay measurement is faced with the technical limitations to represent cell pattern's behavior, but also the larger measurement samples are inevitable for minimizing statistical errors and better estimation of circumstance in a lot. From these reasons, diffraction based overlay (DBO) and integrated metrology (IM) were mainly proposed as new approaches for overlay enhancement in this paper.

  17. SU-E-T-603: Analysis of Optical Tracked Head Inter-Fraction Movements Within Masks to Access Intracranial Immobilization Techniques in Proton Therapy

    International Nuclear Information System (INIS)

    Hsi, W; Zeidan, O

    2014-01-01

    Purpose: We present a quantitative methodology utilizing an optical tracking system for monitoring head inter-fraction movements within brain masks to assess the effectiveness of two intracranial immobilization techniques. Methods and Materials: A 3-point-tracking method was developed to measure the mask location for a treatment field at each fraction. Measured displacement of mask location to its location at first fraction is equivalent to the head movement within the mask. Head movements for each of treatment fields were measured over about 10 fractions at each patient for seven patients; five treated in supine and two treated in prone. The Q-fix Base-of-Skull head frame was used in supine while the CIVCO uni-frame baseplate was used in prone. Displacements of recoded couch position of each field post imaging at each fraction were extracted for those seven patients. Standard deviation (S.D.) of head movements and couch displacements was scored for statistical analysis. Results: The accuracy of 3PtTrack method was within 1.0 mm by phantom measurements. Patterns of head movement and couch displacement were similar for patients treated in either supine or prone. In superior-inferior direction, mean value of scored standard deviations over seven patients were 1.6 mm and 3.4 mm for the head movement and the couch displacement, respectively. The result indicated that the head movement combined with a loose fixation between the mask-to-head frame results large couch displacements for each patient, and also large variation between patients. However, the head movement is the main cause for the couch displacement with similar magnitude of around 1.0 mm in anterior-posterior and lateral directions. Conclusions: Optical-tracking methodology independently quantifying head movements could improve immobilization devices by correctly acting on causes for head motions within mask. A confidence in the quality of intracranial immobilization techniques could be more efficient by

  18. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  19. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  20. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  1. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  2. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  3. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  4. Optical metrology for analysis of lobster-eye x-ray optics

    International Nuclear Information System (INIS)

    Irving, Thomas H.K.; Peele, Andrew G.; Nugent, Keith A.

    2003-01-01

    A new method that uses optical microscopy to determine the physical structure of lobster-eye x-ray optics is described. This approach offers the ability to predict x-ray performance without having to take an x-ray measurement. An overlapping series of images of the entrance and exit faces of an optic are obtained and examined by purpose-built software. A 24-parameter description of each channel is obtained from which a quantitative analysis of all the major optic defects, except surface roughness, is performed. Results for a planar lobster-eye optic are used to illustrate this technique and discuss its abilities as well as directions for future enhancements

  5. The at-wavelength metrology facility for UV- and XUV-reflection and diffraction optics at BESSY-II

    Science.gov (United States)

    Schäfers, F.; Bischoff, P.; Eggenstein, F.; Erko, A.; Gaupp, A.; Künstner, S.; Mast, M.; Schmidt, J.-S.; Senf, F.; Siewert, F.; Sokolov, A.; Zeschke, Th.

    2016-01-01

    A technology center for the production of high-precision reflection gratings has been established. Within this project a new optics beamline and a versatile reflectometer for at-wavelength characterization of UV- and XUV-reflection gratings and other (nano-) optical elements has been set up at BESSY-II. The Plane Grating Monochromator beamline operated in collimated light (c-PGM) is equipped with an SX700 monochromator, of which the blazed gratings (600 and 1200 lines mm−1) have been recently exchanged for new ones of improved performance produced in-house. Over the operating range from 10 to 2000 eV this beamline has very high spectral purity achieved by (i) a four-mirror arrangement of different coatings which can be inserted into the beam at different angles and (ii) by absorber filters for high-order suppression. Stray light and scattered radiation is removed efficiently by double sets of in situ exchangeable apertures and slits. By use of in- and off-plane bending-magnet radiation the beamline can be adjusted to either linear or elliptical polarization. One of the main features of a novel 11-axes reflectometer is the possibility to incorporate real life-sized gratings. The samples are adjustable within six degrees of freedom by a newly developed UHV-tripod system carrying a load up to 4 kg, and the reflectivity can be measured between 0 and 90° incidence angle for both s- and p-polarization geometry. This novel powerful metrology facility has gone into operation recently and is now open for external users. First results on optical performance and measurements on multilayer gratings will be presented here. PMID:26698047

  6. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  7. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  8. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  9. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  10. New twist in the optical schematic of surface slope measuring long trace profiler

    Science.gov (United States)

    Nikitin, Sergey M.; Gevorkyan, Gevork S.; McKinney, Wayne R.; Lacey, Ian; Takacs, Peter Z.; Yashchuk, Valeriy V.

    2017-09-01

    The advents of fully coherent free electron lasers and diffraction limited synchrotron storage ring sources of x-rays are catalyzing the development of new, ultra-high accuracy metrology methods. To fully exploit the potential of these sources, metrology needs to be capable of determining the figure of an optical element with sub-nanometer height accuracy. Currently, the two most prevalent slope measuring instruments used for characterization of x-ray optics are the auto-collimator based nanometer optical measuring device (NOM) and the long trace profiler (LTP) using pencil beam interferometry (PBI). These devices have been consistently improved upon by the x-ray optics metrology community, but appear to be approaching their metrological limits. Here, we revise the traditional optical schematic of the LTP. We experimentally show that, for the level of accuracy desired for metrology with state-of-the-art x-ray optics, the Dove prism in the LTP reference channel appears to be one of the major sources of instrumental error. Therefore, we suggest returning back to the original PBI LTP schematics with no Dove prism in the reference channel. In this case, the optimal scanning strategies [Yashchuk, Rev. Sci. Instrum. 80, 115101 (2009)] used to suppress the instrumental drift error have to be used to suppress a possible drift error associated with laser beam pointing instability. We experimentally and by numerical simulation demonstrate the usefulness of the suggested approach for measurements with x-ray optics with both face up and face down orientations.

  11. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  12. 3D wide field-of-view Gabor-domain optical coherence microscopy advancing real-time in-vivo imaging and metrology

    Science.gov (United States)

    Canavesi, Cristina; Cogliati, Andrea; Hayes, Adam; Tankam, Patrice; Santhanam, Anand; Rolland, Jannick P.

    2017-02-01

    Real-time volumetric high-definition wide-field-of-view in-vivo cellular imaging requires micron-scale resolution in 3D. Compactness of the handheld device and distortion-free images with cellular resolution are also critically required for onsite use in clinical applications. By integrating a custom liquid lens-based microscope and a dual-axis MEMS scanner in a compact handheld probe, Gabor-domain optical coherence microscopy (GD-OCM) breaks the lateral resolution limit of optical coherence tomography through depth, overcoming the tradeoff between numerical aperture and depth of focus, enabling advances in biotechnology. Furthermore, distortion-free imaging with no post-processing is achieved with a compact, lightweight handheld MEMS scanner that obtained a 12-fold reduction in volume and 17-fold reduction in weight over a previous dual-mirror galvanometer-based scanner. Approaching the holy grail of medical imaging - noninvasive real-time imaging with histologic resolution - GD-OCM demonstrates invariant resolution of 2 μm throughout a volume of 1 x 1 x 0.6 mm3, acquired and visualized in less than 2 minutes with parallel processing on graphics processing units. Results on the metrology of manufactured materials and imaging of human tissue with GD-OCM are presented.

  13. Optical proximity correction for anamorphic extreme ultraviolet lithography

    Science.gov (United States)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.

  14. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  15. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  16. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    Science.gov (United States)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  17. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  18. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  19. Partially Transparent Petaled Mask/Occulter for Visible-Range Spectrum

    Science.gov (United States)

    Shiri, Ron Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of the Poisson Spot, also known as the spot of Arago, has been known since the 18th century. This spot is the consequence of constructive interference of light diffracted by the edge of the obstacle where the central position can be determined by symmetry of the object. More recently, many NASA missions require the suppression of this spot in the visible range. For instance, the exoplanetary missions involving space telescopes require telescopes to image the planetary bodies orbiting central stars. For this purpose, the starlight needs to be suppressed by several orders of magnitude in order to image the reflected light from the orbiting planet. For the Earth-like planets, this suppression needs to be at least ten orders of magnitude. One of the common methods of suppression involves sharp binary petaled occulters envisioned to be placed many thousands of miles away from the telescope blocking the starlight. The suppression of the Poisson Spot by binary sharp petal tips can be problematic when the thickness of the tips becomes smaller than the wavelength of the incident beam. First they are difficult to manufacture and also it invalidates the laws of physical optics. The proposed partially transparent petaled masks/occulters compensate for this sharpness with transparency along the surface of the petals. Depending on the geometry of the problem, this transparency can be customized such that only a small region of the petal is transparent and the remaining of the surface is opaque. This feature allows easy fabrication of this type of occultation device either as a mask or occulter. A partially transparent petaled mask/ occulter has been designed for the visible spectrum range. The mask/occulter can suppress the intensity along the optical axis up to ten orders of magnitude. The design process can tailor the mask shape, number of petals, and transparency level to the near-field and farfield diffraction region. The mask/occulter can be used in space

  20. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  1. Research on volume metrology method of large vertical energy storage tank based on internal electro-optical distance-ranging method

    Science.gov (United States)

    Hao, Huadong; Shi, Haolei; Yi, Pengju; Liu, Ying; Li, Cunjun; Li, Shuguang

    2018-01-01

    A Volume Metrology method based on Internal Electro-optical Distance-ranging method is established for large vertical energy storage tank. After analyzing the vertical tank volume calculation mathematical model, the key processing algorithms, such as gross error elimination, filtering, streamline, and radius calculation are studied for the point cloud data. The corresponding volume values are automatically calculated in the different liquids by calculating the cross-sectional area along the horizontal direction and integrating from vertical direction. To design the comparison system, a vertical tank which the nominal capacity is 20,000 m3 is selected as the research object, and there are shown that the method has good repeatability and reproducibility. Through using the conventional capacity measurement method as reference, the relative deviation of calculated volume is less than 0.1%, meeting the measurement requirements. And the feasibility and effectiveness are demonstrated.

  2. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  3. Acylinder and freeform optical manufacturing

    Science.gov (United States)

    Fess, Edward; Wolfs, Frank; DeFisher, Scott; Ross, James

    2015-10-01

    Aspheric cylinders have the ability to improve optical performance over standard cylindrical surfaces. Over the last several years there has also been development into the application and functionality of utilizing freeform surfaces to improve optical performance. Freeforms have the ability to not only improve image quality over a greater field of view, but can open up the design space of an optical system making it more compact. Freeform geometries, much like aspheric cylinders, may not have an axis of rotation to spin the optic about during manufacturing. This leads to costly fabrication processes and custom metrology set ups, which may inhibit their use. Over the last several years, OptiPro Systems has developed and optimized our eSX grinding, UFF and USF polishing, UltraSurf metrology, and ProSurf software programming technologies to make the processing of these complex geometries much easier and deterministic. In this paper we will discuss the challenges associated with manufacturing complex shapes like aspheric cylinders as well as freeform geometries, and how several technologies working together can overcome them. The technologies focus on metrology feedback to a grinding and polishing machine that is controlled through an iterative computer aided manufacturing software system. We will also present examples of these hard to manufacture shapes with results.

  4. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  5. A novel OPC method to reduce mask volume with yield-aware dissection

    International Nuclear Information System (INIS)

    Xie Chunlei; Chen Ye; Shi Zheng

    2013-01-01

    Growing data volume of masks tremendously increases manufacture cost. The cost increase is partially due to the complicated optical proximity corrections applied on mask design. In this paper, a yield-aware dissection method is presented. Based on the recognition of yield related mask context, the dissection result provides sufficient degrees of freedom to keep fidelity on critical sites while still retaining the frugality of modified designs. Experiments show that the final mask volume using the new method is reduced to about 50% of the conventional method. (semiconductor technology)

  6. Enabling optical metrology on small 5×5μm2 in-cell targets to support flexible sampling and higher order overlay and CD control for advanced logic devices nodes

    Science.gov (United States)

    Salerno, Antonio; de la Fuente, Isabel; Hsu, Zack; Tai, Alan; Chang, Hammer; McNamara, Elliott; Cramer, Hugo; Li, Daoping

    2018-03-01

    In next generation Logic devices, overlay control requirements shrink to sub 2.5nm level on-product overlay. Historically on-product overlay has been defined by the overlay capability of after-develop in-scribe targets. However, due to design and dimension, the after development metrology targets are not completely representative for the final overlay of the device. In addition, they are confined to the scribe-lane area, which limits the sampling possibilities. To address these two issues, metrology on structures matching the device structure and which can be sampled with high density across the device is required. Conventional after-etch CDSEM techniques on logic devices present difficulties in discerning the layers of interest, potential destructive charging effects and finally, they are limited by the long measurement times[1] [2] [3] . All together, limit the sampling densities and making CDSEM less attractive for control applications. Optical metrology can overcome most of these limitations. Such measurement, however, does require repetitive structures. This requirement is not fulfilled by logic devices, as the features vary in pitch and CD over the exposure field. The solution is to use small targets, with a maximum pad size of 5x5um2 , which can easily be placed in the logic cell area. These targets share the process and architecture of the device features of interest, but with a modified design that replicates as close as possible the device layout, allowing for in-device metrology for both CD and Overlay. This solution enables measuring closer to the actual product feature location and, not being limited to scribe-lanes, it opens the possibility of higher-density sampling schemes across the field. In summary, these targets become the facilitator of in-device metrology (IDM), that is, enabling the measurements both in-device Overlay and the CD parameters of interest and can deliver accurate, high-throughput, dense and after-etch measurements for Logic

  7. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  8. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  9. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  10. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  11. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  12. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  13. A phase mask fiber grating and sensing applications

    Directory of Open Access Journals (Sweden)

    Preecha P. Yupapin

    2003-09-01

    Full Text Available This paper presents an investigation of a fabricated fiber grating device characteristics and its applications, using a phase mask writing technique. The use of a most common UV phase laser (KrF eximer laser, with high intensity light source was focussed to the phase mask for writing on a fiber optic sample. The device (i.e. grating characteristic especially, in sensing application, was investigated. The possibility of using such device for temperature and strain sensors is discussed.

  14. Unconditional violation of the shot-noise limit in photonic quantum metrology

    Science.gov (United States)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  15. A novel micromachined shadow mask system with self-alignment and gap control capability

    International Nuclear Information System (INIS)

    Hong, Jung Moo; Zou Jun

    2008-01-01

    We present a novel micromachined shadow mask system, which is capable of accurate self-alignment and mask-substrate gap control. The shadow mask system consists of a silicon shadow mask and a silicon carrier wafer with pyramidal cavities fabricated with bulk micromachining. Self-alignment and gap control of the shadow mask and the fabrication substrate can readily be achieved by using matching pairs of pyramidal cavities and steel spheres placed between. The layer-to-layer alignment accuracy of the new shadow mask system has been experimentally characterized and verified using both optical and atomic force microscopic measurements. As an application of this new shadow mask system, an organic thin-film transistor (OTFT) using pentacene as the semiconductor layer has been successfully fabricated and tested

  16. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  17. A primary mirror metrology system for the GMT

    Science.gov (United States)

    Rakich, A.

    2016-07-01

    The Giant Magellan Telescope (GMT)1 is a 25 m "doubly segmented" telescope composed of seven 8.4 m "unit Gregorian telescopes", on a common mount. Each primary and secondary mirror segment will ideally lie on the geometrical surface of the corresponding rotationally symmetrical full aperture optical element. Therefore, each primary and conjugated secondary mirror segment will feed a common instrument interface, their focal planes co-aligned and cophased. First light with a subset of four unit telescopes is currently scheduled for 2022. The project is currently considering an important aspect of the assembly, integration and verification (AIV) phase of the project. This paper will discuss a dedicated system to directly characterize the on-sky performance of the M1 segments, independently of the M2 subsystem. A Primary Mirror Metrology System (PMS) is proposed. The main purpose of this system will be to he4lp determine the rotation axis of an instrument rotator (the Gregorian Instrument Rotator or GIR in this case) and then to characterize the deflections and deformations of the M1 segments with respect to this axis as a function of gravity and temperature. The metrology system will incorporate a small (180 mm diameter largest element) prime focus corrector (PFC) that simultaneously feeds a risk reduction during AIV; it allows an on-sky characterization of the primary mirror segments and cells, without the complications of other optical elements. The PMS enables a very useful alignment strategy that constrains each primary mirror segments' optical axes to follow the GIR axis to within a few arc seconds. An additional attractive feature of the incorporation of the PMS into the AIV plan, is that it allows first on-sky telescope operations to occur with a system of considerably less optical and control complexity than the final doubly segmented Gregorian telescope configuration. This paper first discusses the strategic rationale for a PMS. Next the system itself is

  18. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    Science.gov (United States)

    Rerucha, Simon; Yacoot, Andrew; Pham, Tuan M.; Cizek, Martin; Hucl, Vaclav; Lazar, Josef; Cip, Ondrej

    2017-04-01

    We demonstrated that an iodine stabilized distributed Bragg reflector (DBR) diode based laser system lasing at a wavelength in close proximity to λ =633 nm could be used as an alternative laser source to the helium-neon lasers in both scientific and industrial metrology. This yields additional advantages besides the optical frequency stability and coherence: inherent traceability, wider optical frequency tuning range, higher output power and high frequency modulation capability. We experimentally investigated the characteristics of the laser source in two major steps: first using a wavelength meter referenced to a frequency comb controlled with a hydrogen maser and then on an interferometric optical bench testbed where we compared the performance of the laser system with that of a traditional frequency stabilized He-Ne laser. The results indicate that DBR diode laser system provides a good laser source for applications in dimensional (nano)metrology, especially in conjunction with novel interferometric detection methods exploiting high frequency modulation or multiaxis measurement systems.

  19. Quantum optics

    National Research Council Canada - National Science Library

    Agarwal, G. S

    2013-01-01

    ..., quantum metrology, spin squeezing, control of decoherence and many other key topics. Readers are guided through the principles of quantum optics and their uses in a wide variety of areas including quantum information science and quantum mechanics...

  20. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  1. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  2. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    International Nuclear Information System (INIS)

    Maas, D. J.; Herfst, R.; Veldhoven, E. van; Fliervoet, T.; Meessen, J.; Vaenkatesan, V.; Sadeghian, H.

    2015-01-01

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays

  3. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    Science.gov (United States)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  4. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    International Nuclear Information System (INIS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-01-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes. (paper)

  5. A decade of innovation with laser speckle metrology

    Science.gov (United States)

    Ettemeyer, Andreas

    2003-05-01

    Speckle Pattern Interferometry has emerged from the experimental substitution of holographic interferometry to become a powerful problem solving tool in research and industry. The rapid development of computer and digital imaging techniques in combination with minaturization of the optical equipment led to new applications which had not been anticipated before. While classical holographic interferometry had always required careful consideration of the environmental conditions such as vibration, noise, light, etc. and could generally only be performed in the optical laboratory, it is now state of the art, to handle portable speckle measuring equipment at almost any place. During the last decade, the change in design and technique has dramatically influenced the range of applications of speckle metrology and opened new markets. The integration of recent research results into speckle measuring equipment has led to handy equipment, simplified the operation and created high quality data output.

  6. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  7. Gamma camera with reflectivity mask

    International Nuclear Information System (INIS)

    Stout, K.J.

    1980-01-01

    In accordance with the present invention there is provided a radiographic camera comprising: a scintillator; a plurality of photodectors positioned to face said scintillator; a plurality of masked regions formed upon a face of said scintillator opposite said photdetectors and positioned coaxially with respective ones of said photodetectors for decreasing the amount of internal reflection of optical photons generated within said scintillator. (auth)

  8. Nonarteritic ischemic optic neuropathy secondary to severe ocular hypertension masked by interface fluid in a post-LASIK eye.

    Science.gov (United States)

    Pham, Mai T; Peck, Rachel E; Dobbins, Kendall R B

    2013-06-01

    We report a case of ischemic optic neuropathy arising from elevated intraocular pressure (IOP) masked by interface fluid in a post-laser in situ keratomileusis (LASIK) eye. A 51-year-old man, who had had LASIK 6 years prior to presentation, sustained blunt trauma to the left eye that resulted in a hyphema and ocular hypertension. Elevated IOP resulted in accumulation of fluid in the stromal bed-LASIK flap interface, leading to underestimation of IOP when measured centrally over the flap. After days of unrecognized ocular hypertension, ischemic optic neuropathy developed. To our knowledge, this is the first reported case of ischemic optic neuropathy resulting from underestimated IOP measurements in a post-LASIK patient. It highlights the inaccuracy of IOP measurements in post-LASIK eyes and a vision-threatening potential complication. No author has a financial or proprietary interest in any material or method mentioned. Copyright © 2013 ASCRS and ESCRS. Published by Elsevier Inc. All rights reserved.

  9. Metrological-grade tunable coherent source in the mid-infrared for molecular precision spectroscopy

    Science.gov (United States)

    Insero, G.; Clivati, C.; D'Ambrosio, D.; Cancio Pastor, P.; Verde, M.; Schunemann, P. G.; Zondy, J.-J.; Inguscio, M.; Calonico, D.; Levi, F.; De Natale, P.; Santambrogio, G.; Borri, S.

    2018-02-01

    We report on a metrological-grade mid-IR source with a 10-14 short-term instability for high-precision spectroscopy. Our source is based on the combination of a quantum cascade laser and a coherent radiation obtained by difference-frequency generation in an orientation-patterned gallium phosphide (OP-GaP) crystal. The pump and signal lasers are locked to an optical frequency comb referenced to the primary frequency standard via an optical fiber link. We demonstrate the robustness of the apparatus by measuring a vibrational transition around 6 μm on a metastable state of CO molecuels with 11 digits of precision.

  10. Fibre optic sensors in pressurized water reactor alternators

    International Nuclear Information System (INIS)

    Favennec, J.M.; Piguet, M.

    1994-01-01

    Measurement in the electrical engine environment (alternator, transformer...) is identified as one of the two main applications of fibre optic sensors within EDF; the other application niche is the monitoring of civil works (dams, containment building of nuclear reactors...). At the EDF Research and Development Division, temperature and vibration fibre optic sensors were evaluated by the Metrology Service, since their use is under consideration for alternator monitoring. For alternator stator thermal monitoring, the BERTIN company developed a fibre optic sensor network. The optic coding technique is based on broadband source spectral modulation; the sensors are interrogated sequentially by electronic commutation. For alternator stator vibration monitoring, a fibre optic accelerometer was developed in the frame of a manufacturers and universities consortium supported by the French Research and Technology Ministry. The accelerometer is of cantilever beam type and its networking is possible by chromatic multiplexing. The Metrology Service evaluated these temperature and vibration sensors in order to verify their metrological characteristics (bias error, hysteresis, repeatability, resolution, noise, amplitude linearity, response time, frequency response, etc.) and to test their behaviour in harsh alternator environmental conditions (pressure, vibrations and temperature). Ageing and accidental condition resistance tests were also carried out. Temperature sensor test results were very satisfactory. An eight-sensor BERTIN prototype was installed on the Tricastin 1 alternator during the september 1993 nuclear station periodic stop. On the contrary, the accelerometers presented deficient metrological characteristics (shorter span than foreseen, low repeatability...). They need some improvements and could not be installed on alternators. (authors). 5 refs., 8 figs

  11. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  12. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  13. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  14. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  15. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    dependant permeation of a pure substance through a membrane into a stream of pre-purified matrix gas and subsequent dilution to required amount fractions. All relevant parameters are fully traceable to SI-units. Extractive optical analysers can be connected directly to both, stationary and mobile systems for calibration. Moreover, the resulting gas mixture can also be pressurised into coated cylinders by cryo-filling. The mobile system as well as these cylinders can be applied for calibrations of optical instruments in other laboratories and in the field. In addition, an SI-traceable dilution system based on a cascade of critical orifices has been established to dilute NH3 mixtures in the order of μmol/mol stored in cylinders. It is planned to apply this system to calibrate and re-sample gas mixtures in cylinders due to its very economical gas use. Here we present insights into the development of said infrastructure and results performance tests. Moreover, we include results of the study on adsorption/desorption effects in dry as well as humidified matrix gas into the discussion on the generation of reference gas mixtures. Acknowledgement: This work was supported by the European Metrology Research Programme (EMRP). The EMRP is jointly funded by the EMRP participating countries within EURAMET and the European Union.

  16. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  17. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  18. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  19. Synthesis of functional nanomaterials via colloidal mask templating and glancing angle deposition (GLAD)”

    DEFF Research Database (Denmark)

    Dolatshahi-Pirouz, Alireza; Jensen, Thomas Bo; Vorup-Jensen, Thomas

    2010-01-01

    We present a simple method for the fabrication of separated brush-like networks with both improved optical and biological properties. The brush networks were fabricated by combing the glancing angle deposition (GLAD) technique with colloidal mask templating. By changing the deposition angle durin...... of multifunctional nanomaterials which could have potential impact on devices where both controlled optical properties and cell surface interactions are needed (for instance controlled cell adhesion on optical biosensor materials).......We present a simple method for the fabrication of separated brush-like networks with both improved optical and biological properties. The brush networks were fabricated by combing the glancing angle deposition (GLAD) technique with colloidal mask templating. By changing the deposition angle during...

  20. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  1. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  2. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  3. Dual frequency comb metrology with one fiber laser

    Science.gov (United States)

    Zhao, Xin; Takeshi, Yasui; Zheng, Zheng

    2016-11-01

    Optical metrology techniques based on dual optical frequency combs have emerged as a hotly studied area targeting a wide range of applications from optical spectroscopy to microwave and terahertz frequency measurement. Generating two sets of high-quality comb lines with slightly different comb-tooth spacings with high mutual coherence and stability is the key to most of the dual-comb schemes. The complexity and costs of such laser sources and the associated control systems to lock the two frequency combs hinder the wider adoption of such techniques. Here we demonstrate a very simple and rather different approach to tackle such a challenge. By employing novel laser cavity designs in a mode-locked fiber laser, a simple fiber laser setup could emit dual-comb pulse output with high stability and good coherence between the pulse trains. Based on such lasers, comb-tooth-resolved dual-comb optical spectroscopy is demonstrated. Picometer spectral resolving capability could be realized with a fiber-optic setup and a low-cost data acquisition system and standard algorithms. Besides, the frequency of microwave signals over a large range can be determined based on a simple setup. Our results show the capability of such single-fiber-laser-based dual-comb scheme to reduce the complexity and cost of dual-comb systems with excellent quality for different dual-comb applications.

  4. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  5. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  6. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  7. 64nm pitch metal1 double patterning metrology: CD and OVL control by SEMCD, image based overlay and diffraction based overlay

    Science.gov (United States)

    Ducoté, Julien; Dettoni, Florent; Bouyssou, Régis; Le-Gratiet, Bertrand; Carau, Damien; Dezauzier, Christophe

    2015-03-01

    Patterning process control of advanced nodes has required major changes over the last few years. Process control needs of critical patterning levels since 28nm technology node is extremely aggressive showing that metrology accuracy/sensitivity must be finely tuned. The introduction of pitch splitting (Litho-Etch-Litho-Etch) at 14FDSOInm node requires the development of specific metrologies to adopt advanced process control (for CD, overlay and focus corrections). The pitch splitting process leads to final line CD uniformities that are a combination of the CD uniformities of the two exposures, while the space CD uniformities are depending on both CD and OVL variability. In this paper, investigations of CD and OVL process control of 64nm minimum pitch at Metal1 level of 14FDSOI technology, within the double patterning process flow (Litho, hard mask etch, line etch) are presented. Various measurements with SEMCD tools (Hitachi), and overlay tools (KT for Image Based Overlay - IBO, and ASML for Diffraction Based Overlay - DBO) are compared. Metrology targets are embedded within a block instanced several times within the field to perform intra-field process variations characterizations. Specific SEMCD targets were designed for independent measurement of both line CD (A and B) and space CD (A to B and B to A) for each exposure within a single measurement during the DP flow. Based on those measurements correlation between overlay determined with SEMCD and with standard overlay tools can be evaluated. Such correlation at different steps through the DP flow is investigated regarding the metrology type. Process correction models are evaluated with respect to the measurement type and the intra-field sampling.

  8. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  9. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  10. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  11. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  12. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  13. Plant equipment services with laser metrology

    International Nuclear Information System (INIS)

    Hayes, J.H.; Kreitman, P.J.

    1995-01-01

    A new industrial metrology process is now being applied to support PWR Nuclear Plant Steam Generator Replacement Projects. The method uses laser tracking interferometry to perform as built surveys of existing and replacement plant equipment. This method provides precision data with a minimum of setup when compared to alternative methods available. In addition there is no post processing required to ascertain validity. The data is obtained quickly, processed in real time and displayed during the survey in the desired coordinate system. These capabilities make this method of industrial measure ideal for various data acquisition needs throughout the power industry, from internal/external equipment templating to area mapping. Laser tracking interferometry is an improvement on the present use of optical instruments and surveying technique. In order to describe the laser tracking interferometry measurement process, previous methods of templating and surveying are first reviewed

  14. Optical image hiding based on interference

    Science.gov (United States)

    Zhang, Yan; Wang, Bo

    2009-11-01

    Optical image processing has been paid a lot of attentions recently due to its large capacitance and fast speed. Many image encryption and hiding technologies have been proposed based on the optical technology. In conventional image encryption methods, the random phase masks are usually used as encryption keys to encode the images into random white noise distribution. However, this kind of methods requires interference technology such as holography to record complex amplitude. Furthermore, it is vulnerable to attack techniques. The image hiding methods employ the phase retrieve algorithm to encode the images into two or more phase masks. The hiding process is carried out within a computer and the images are reconstructed optically. But the iterative algorithms need a lot of time to hide the image into the masks. All methods mentioned above are based on the optical diffraction of the phase masks. In this presentation, we will propose a new optical image hiding method based on interference. The coherence lights pass through two phase masks and are combined by a beam splitter. Two beams interfere with each other and the desired image appears at the pre-designed plane. Two phase distribution masks are designed analytically; therefore, the hiding speed can be obviously improved. Simulation results are carried out to demonstrate the validity of the new proposed methods.

  15. Mounting for Fabrication, Metrology, and Assembly of Full Shell Grazing Incidence Optics

    Science.gov (United States)

    Roche, Jacqueline M.; Gubarev, Mikhail V.; O'Dell, Stephen L.; Kolodziejczak, Jeffery; Weisskopf, Martin C.; Ramsey, Brian D.; Elsner, Ronald F.

    2014-01-01

    Future x-ray telescopes will likely require lightweight mirrors to attain the large collecting areas needed to accomplish the science objectives. Understanding and demonstrating processes now is critical to achieving sub-arcsecond performance in the future. Consequently, designs not only of the mirrors but of fixtures for supporting them during fabrication, metrology, handling, assembly, and testing must be adequately modeled and verified. To this end, MSFC is using finite-element modeling to study the effects of mounting on full-shell grazing-incidence mirrors, during all processes leading to flight mirror assemblies. Here we report initial results of this study.

  16. Image hiding using optical interference

    Science.gov (United States)

    Zhang, Yan; Wang, Weining

    2010-09-01

    Optical image encryption technology has attracted a lot of attentions due to its large capacitance and fast speed. In conventional image encryption methods, the random phase masks are used as encryption keys to encode the images into white noise distribution. Therefore, this kind of methods requires interference technology to record complex amplitude and is vulnerable to attack techniques. The image hiding methods which employ the phase retrieve algorithm to encode the images into two or more phase masks are proposed, the hiding process is carried out within a computer using iterative algorithm. But the iterative algorithms are time consumed. All method mentioned above are based on the optical diffraction of the phase masks. In this presentation, a new optical image hiding method based on optical interference is proposed. The coherence lights which pass through two phase masks are combined by a beam splitter. Two beams interfere with each other and the desired image appears at the pre-designed plane. Two phase distribution masks are design analytically; therefore, the hiding speed can be obviously improved. Simulation results are carried out to demonstrate the novelty of the new proposed methods. This method can be expanded for double images hiding.

  17. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    Science.gov (United States)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  18. State preparation for quantum information science and metrology

    International Nuclear Information System (INIS)

    Samblowski, Aiko

    2012-01-01

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  19. State preparation for quantum information science and metrology

    Energy Technology Data Exchange (ETDEWEB)

    Samblowski, Aiko

    2012-06-08

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  20. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  1. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  2. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  3. A Millimetre-Wave Cuboid Solid Immersion Lens with Intensity-Enhanced Amplitude Mask Apodization

    Science.gov (United States)

    Yue, Liyang; Yan, Bing; Monks, James N.; Dhama, Rakesh; Wang, Zengbo; Minin, Oleg V.; Minin, Igor V.

    2018-03-01

    Photonic jet is a narrow, highly intensive, weak-diverging beam propagating into a background medium and can be produced by a cuboid solid immersion lens (SIL) in both transmission and reflection modes. Amplitude mask apodization is an optical method to further improve the spatial resolution of a SIL imaging system via reduction of waist size of photonic jet, but always leading to intensity loss due to central masking of the incoming plane wave. In this letter, we report a particularly sized millimetre-wave cuboid SIL with the intensity-enhanced amplitude mask apodization for the first time. It is able to simultaneously deliver extra intensity enhancement and waist narrowing to the produced photonic jet. Both numerical simulation and experimental verification of the intensity-enhanced apodization effect are demonstrated using a copper-masked Teflon cuboid SIL with 22-mm side length under radiation of a plane wave with 8-mm wavelength. Peak intensity enhancement and the lateral resolution of the optical system increase by about 36.0% and 36.4% in this approach, respectively.

  4. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  5. Fabrication of fiber optic long period gratings operating at the phase matching turning point using an amplitude mask

    Science.gov (United States)

    Hromadka, J.; Correia, R.; Korposh, S.

    2016-05-01

    A fast method for the fabrication of the long period gratings (LPG) optical fibres operating at or near the phase matching turning point (PMTP) with the period of 109.0, 109.5 and 110.0 μm based on an amplitude mask writing system is described. The proposed system allows fabricating 3 cm long LPG sensors operating at PMPT within 20 min that is approximately 8 times faster than point-by-point approach. The reproducibility of the fabrication process was thoroughly studied. The response of the fabricated LPGs to the external change of the refractive index was investigated using water and methanol.

  6. Through-focus scanning optical microscopy (TSOM) with adaptive optics

    Science.gov (United States)

    Lee, Jun Ho; Park, Gyunam; Jeong, Junhee; Park, Chris

    2018-03-01

    Through-focus optical microscopy (TSOM) with nanometer-scale lateral and vertical sensitivity levels matching those of scanning electron microscopy has been demonstrated to be useful both for 3D inspections and metrology assessments. In 2014, funded by two private companies (Nextin/Samsung Electronics) and the Korea Evaluation Institute of Industrial Technology (KEIT), a research team from four universities in South Korea set out to investigate core technologies for developing in-line TSOM inspection and metrology tools, with the respective teams focusing on optics implementation, defect inspection, computer simulation and high-speed metrology matching. We initially confirmed the reported validity of the TSOM operation through a computer simulation, after which we implemented the TSOM operation by throughfocus scanning of existing UV (355nm) and IR (800nm) inspection tools. These tools have an identical sampling distance of 150 nm but have different resolving distances (310 and 810 nm, respectively). We initially experienced some improvement in the defect inspection sensitivity level over TSV (through-silicon via) samples with 6.6 μm diameters. However, during the experiment, we noted sensitivity and instability issues when attempting to acquire TSOM images. As TSOM 3D information is indirectly extracted by differentiating a target TSOM image from reference TSOM images, any instability or mismatch in imaging conditions can result in measurement errors. As a remedy to such a situation, we proposed the application of adaptive optics to the TSOM operation and developed a closed-loop system with a tip/tilt mirror and a Shack-Hartmann sensor on an optical bench. We were able to keep the plane position within in RMS 0.4 pixel by actively compensating for any position instability which arose during the TSOM scanning process along the optical axis. Currently, we are also developing another TSOM tool with a deformable mirror instead of a tip/tilt mirror, in which case we

  7. Green binary and phase shifting mask

    Science.gov (United States)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  8. Remote metrology system (RMS) design concept

    International Nuclear Information System (INIS)

    1995-01-01

    A 3D remote metrology system (RMS) is needed to map the interior plasma-facing components of the International Thermonuclear Experimental Reactor (ITER). The performance and survival of these components within the reactor vessel are strongly dependent on their precise alignment and positioning with respect to the plasma edge. Without proper positioning and alignment, plasma-facing surfaces will erode rapidly. A RMS design involving Coleman Research Corporation (CRC) fiber optic coherent laser radar (CLR) technology is examined in this study. The fiber optic CLR approach was selected because its high precision should be able to meet the ITER 0.1 mm accuracy requirement and because the CLR's fiber optic implementation allows a 3D scanner to operate remotely from the RMS system's vulnerable components. This design study has largely verified that a fiber optic CLR based RMS can survive the ITER environment and map the ITER interior at the required accuracy at a one measurement/cm 2 density with a total measurement time of less than one hour from each of six or more vertically deployed measurement probes. The design approach employs a sealed and pressurized measurement probe which is attached with an umbilical spiral bellows conduit. This conduit bears fiber optic and electronic links plus a stream of air to lower the temperature in the interior of the probe. Lowering the probe temperature is desirable because probe electromechanical components which could survive the radiation environment often were not rated for the 200 C temperature. The tip of the probe whose outer shell has a flexible bellows joint can swivel in two degrees of freedom to allow mapping operations at each probe deployment level. This design study has concluded that the most successful scanner design will involve a hybrid AO beam deflector and mechanical scanner

  9. Remote metrology system (RMS) design concept

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-10-19

    A 3D remote metrology system (RMS) is needed to map the interior plasma-facing components of the International Thermonuclear Experimental Reactor (ITER). The performance and survival of these components within the reactor vessel are strongly dependent on their precise alignment and positioning with respect to the plasma edge. Without proper positioning and alignment, plasma-facing surfaces will erode rapidly. A RMS design involving Coleman Research Corporation (CRC) fiber optic coherent laser radar (CLR) technology is examined in this study. The fiber optic CLR approach was selected because its high precision should be able to meet the ITER 0.1 mm accuracy requirement and because the CLR`s fiber optic implementation allows a 3D scanner to operate remotely from the RMS system`s vulnerable components. This design study has largely verified that a fiber optic CLR based RMS can survive the ITER environment and map the ITER interior at the required accuracy at a one measurement/cm{sup 2} density with a total measurement time of less than one hour from each of six or more vertically deployed measurement probes. The design approach employs a sealed and pressurized measurement probe which is attached with an umbilical spiral bellows conduit. This conduit bears fiber optic and electronic links plus a stream of air to lower the temperature in the interior of the probe. Lowering the probe temperature is desirable because probe electromechanical components which could survive the radiation environment often were not rated for the 200 C temperature. The tip of the probe whose outer shell has a flexible bellows joint can swivel in two degrees of freedom to allow mapping operations at each probe deployment level. This design study has concluded that the most successful scanner design will involve a hybrid AO beam deflector and mechanical scanner.

  10. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  11. Fourier fringe analysis and its application to metrology of extreme physical phenomena: a review [Invited].

    Science.gov (United States)

    Takeda, Mitsuo

    2013-01-01

    The paper reviews a technique for fringe analysis referred to as Fourier fringe analysis (FFA) or the Fourier transform method, with a particular focus on its application to metrology of extreme physical phenomena. Examples include the measurement of extremely small magnetic fields with subfluxon sensitivity by electron wave interferometry, subnanometer wavefront evaluation of projection optics for extreme UV lithography, the detection of sub-Ångstrom distortion of a crystal lattice, and the measurement of ultrashort optical pulses in the femotsecond to attosecond range, which show how the advantages of FFA are exploited in these cutting edge applications.

  12. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  13. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  14. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  15. Plasma-Induced, Self-Masking, One-Step Approach to an Ultrabroadband Antireflective and Superhydrophilic Subwavelength Nanostructured Fused Silica Surface.

    Science.gov (United States)

    Ye, Xin; Shao, Ting; Sun, Laixi; Wu, Jingjun; Wang, Fengrui; He, Junhui; Jiang, Xiaodong; Wu, Wei-Dong; Zheng, Wanguo

    2018-04-25

    In this work, antireflective and superhydrophilic subwavelength nanostructured fused silica surfaces have been created by one-step, self-masking reactive ion etching (RIE). Bare fused silica substrates with no mask were placed in a RIE vacuum chamber, and then nanoscale fluorocarbon masks and subwavelength nanostructures (SWSs) automatically formed on these substrate after the appropriate RIE plasma process. The mechanism of plasma-induced self-masking SWS has been proposed in this paper. Plasma parameter effects on the morphology of SWS have been investigated to achieve perfect nanocone-like SWS for excellent antireflection, including process time, reactive gas, and pressure of the chamber. Optical properties, i.e., antireflection and optical scattering, were simulated by the finite difference time domain (FDTD) method. Calculated data agree well with the experiment results. The optimized SWS show ultrabroadband antireflective property (up to 99% from 500 to 1360 nm). An excellent improvement of transmission was achieved for the deep-ultraviolet (DUV) range. The proposed low-cost, highly efficient, and maskless method was applied to achieve ultrabroadband antireflective and superhydrophilic SWSs on a 100 mm optical window, which promises great potential for applications in the automotive industry, goggles, and optical devices.

  16. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  17. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  18. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  19. High-charge and multiple-star vortex coronagraphy from stacked vector vortex phase masks.

    Science.gov (United States)

    Aleksanyan, Artur; Brasselet, Etienne

    2018-02-01

    Optical vortex phase masks are now installed at many ground-based large telescopes for high-contrast astronomical imaging. To date, such instrumental advances have been restricted to the use of helical phase masks of the lowest even order, while future giant telescopes will require high-order masks. Here we propose a single-stage on-axis scheme to create high-order vortex coronagraphs based on second-order vortex phase masks. By extending our approach to an off-axis design, we also explore the implementation of multiple-star vortex coronagraphy. An experimental laboratory demonstration is reported and supported by numerical simulations. These results offer a practical roadmap to the development of future coronagraphic tools with enhanced performances.

  20. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  1. APPLICATION OF VORONOI DIAGRAM TO MASK-BASED INTERCEPTING PHASE-SPACE MEASUREMENTS

    Energy Technology Data Exchange (ETDEWEB)

    Halavanau, A. [Fermilab; Ha, G. [POSTECH

    2017-05-19

    Intercepting multi-aperture masks (e.g. pepper pot or multislit mask) combined with a downstream transversedensity diagnostics (e.g. based on optical transition radiation or employing scintillating media) are commonly used for characterizing the phase space of charged particle beams and the associated emittances. The required data analysis relies on precise calculation of the RMS sizes and positions of the beamlets originated from the mask which drifted up to the analyzing diagnostics. Voronoi diagram is an efficient method for splitting a plane into subsets according to the distances between given vortices. The application of the method to analyze data from pepper pot and multislit mask based measurement is validated via numerical simulation and applied to experimental data acquired at the Argonne Wakefield Accelerator (AWA) facility. We also discuss the application of the Voronoi diagrams to quantify transverselymodulated beams distortion.

  2. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts

  3. Metrological issues related to BRDF measurements around the specular direction in the particular case of glossy surfaces

    Science.gov (United States)

    Obein, Gaël.; Audenaert, Jan; Ged, Guillaume; Leloup, Frédéric B.

    2015-03-01

    Among the complete bidirectional reflectance distribution function (BRDF), visual gloss is principally related to physical reflection characteristics located around the specular reflection direction. This particular part of the BRDF is usually referred to as the specular peak. A good starting point for the physical description of gloss could be to measure the reflection properties around this specular peak. Unfortunately, such a characterization is not trivial, since for glossy surfaces the width of the specular peak can become very narrow (typically a full width at half maximum inferior to 0.5° is encountered). In result, new BRDF measurement devices with a very small solid angle of detection are being introduced. Yet, differences in the optical design of BRDF measurement instruments engender different measurement results for the same specimen, complicating direct comparison of the measurement results. This issue is addressed in this paper. By way of example, BRDF measurement results of two samples, one being matte and the other one glossy, obtained by use of two high level goniospectrophotometers with a different optical design, are described. Important discrepancies in the results of the glossy sample are discussed. Finally, luminance maps obtained from renderings with the acquired BRDF data are presented, exemplifying the large visual differences that might be obtained. This stresses the metrological aspects that must be known for using BRDF data. Indeed, the comprehension of parameters affecting the measurement results is an inevitable step towards progress in the metrology of surface gloss, and thus towards a better metrology of appearance in general.

  4. Gabor-domain optical coherence microscopy with integrated dual-axis MEMS scanner for fast 3D imaging and metrology

    Science.gov (United States)

    Canavesi, Cristina; Cogliati, Andrea; Hayes, Adam; Santhanam, Anand P.; Tankam, Patrice; Rolland, Jannick P.

    2015-10-01

    Fast, robust, nondestructive 3D imaging is needed for characterization of microscopic structures in industrial and clinical applications. A custom micro-electromechanical system (MEMS)-based 2D scanner system was developed to achieve 55 kHz A-scan acquisition in a Gabor-domain optical coherence microscopy (GD-OCM) instrument with a novel multilevel GPU architecture for high-speed imaging. GD-OCM yields high-definition volumetric imaging with dynamic depth of focusing through a bio-inspired liquid lens-based microscope design, which has no moving parts and is suitable for use in a manufacturing setting or in a medical environment. A dual-axis MEMS mirror was chosen to replace two single-axis galvanometer mirrors; as a result, the astigmatism caused by the mismatch between the optical pupil and the scanning location was eliminated and a 12x reduction in volume of the scanning system was achieved. Imaging at an invariant resolution of 2 μm was demonstrated throughout a volume of 1 × 1 × 0.6 mm3, acquired in less than 2 minutes. The MEMS-based scanner resulted in improved image quality, increased robustness and lighter weight of the system - all factors that are critical for on-field deployment. A custom integrated feedback system consisting of a laser diode and a position-sensing detector was developed to investigate the impact of the resonant frequency of the MEMS and the driving signal of the scanner on the movement of the mirror. Results on the metrology of manufactured materials and characterization of tissue samples with GD-OCM are presented.

  5. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  6. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  7. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  8. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  9. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  10. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  11. Digital Holography, a metrological tool for quantitative analysis: Trends and future applications

    Science.gov (United States)

    Paturzo, Melania; Pagliarulo, Vito; Bianco, Vittorio; Memmolo, Pasquale; Miccio, Lisa; Merola, Francesco; Ferraro, Pietro

    2018-05-01

    A review on the last achievements of Digital Holography is reported in this paper, showing that this powerful method can be a key metrological tool for the quantitative analysis and non-invasive inspection of a variety of materials, devices and processes. Nowadays, its range of applications has been greatly extended, including the study of live biological matter and biomedical applications. This paper overviews the main progresses and future perspectives of digital holography, showing new optical configurations and investigating the numerical issues to be tackled for the processing and display of quantitative data.

  12. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    aimed uncertainty is develop and characterise laser based optical spectrometric standards Evaluation and characterisation of the applicability of a newly developed open-path as well as of existing extractive measurement techniques as optical transfer standards according to metrological standards. To establish the transfer from high-accuracy standards to field applicable methods Employment of characterised exposure chambers as well as field sites for validation and comparison experiments to test and evaluate the performance of different instruments and measurement methods at ammonia amount fractions of the ambient air. The active exchange in workshops and inter-comparisons, publications in technical journals as well as presentations at relevant conferences and standardisation bodies will transfer the knowledge to stakeholders and end-users. The work has been carried out in the framework of the EMRP. The EMRP is jointly funded by the EMRP participating countries within EURAMET and the European Union.

  13. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  14. A universal quantum module for quantum communication, computation, and metrology

    Science.gov (United States)

    Hanks, Michael; Lo Piparo, Nicolò; Trupke, Michael; Schmiedmayer, Jorg; Munro, William J.; Nemoto, Kae

    2017-08-01

    In this work, we describe a simple module that could be ubiquitous for quantum information based applications. The basic modules comprises a single NV- center in diamond embedded in an optical cavity, where the cavity mediates interactions between photons and the electron spin (enabling entanglement distribution and efficient readout), while the nuclear spins constitutes a long-lived quantum memories capable of storing and processing quantum information. We discuss how a network of connected modules can be used for distributed metrology, communication and computation applications. Finally, we investigate the possible use of alternative diamond centers (SiV/GeV) within the module and illustrate potential advantages.

  15. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates

    Science.gov (United States)

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-01

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F =1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure—the local operations, the measurements, and the inequality—necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  16. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates.

    Science.gov (United States)

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-06

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F=1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure-the local operations, the measurements, and the inequality-necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  17. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  18. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  19. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  20. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  1. Advanced fabrication of optical materials

    International Nuclear Information System (INIS)

    Hed, P.P.; Blaedel, K.L.

    1986-01-01

    The fabrication of high-precision optical elements for new generations of high-power lasers requires a deterministic method of generating precision optical surfaces entailing considerably less time, skill, and money than present optical techniques. Such a process would use precision computer-controlled machinery with ongoing in situ metrology to generate precise optical surfaces. The implementation of deterministic processes requires a better understanding of the glass-grinding process, especially the control of ductile material removal. This project is intended to develop the basic knowledge needed to implement a computer-controlled optics-manufacturing methodology

  2. Quantum optics, what next?

    Science.gov (United States)

    Cirac, J. Ignacio; Kimble, H. Jeff

    2017-01-01

    Quantum optics is a well-established field that spans from fundamental physics to quantum information science. In the coming decade, areas including computation, communication and metrology are all likely to experience scientific and technological advances supported by this far-reaching research field.

  3. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  4. Progress in the specification of optical instruments for the measurement of surface form and texture

    Science.gov (United States)

    de Groot, Peter J.

    2014-05-01

    Specifications for confocal microscopes, optical interferometers and other methods of measuring areal surface topography can be confusing and misleading. The emerging ISO 25178 standards, together with the established international vocabulary of metrology, provide a foundation for improved specifications for 3D surface metrology instrumentation. The approach in this paper links instrument specifications to metrological characteristics that can influence a measurement, using consistent definitions of terms, and reference to verification procedures.

  5. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  6. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  7. Surface characterization protocol for precision aspheric optics

    Science.gov (United States)

    Sarepaka, RamaGopal V.; Sakthibalan, Siva; Doodala, Somaiah; Panwar, Rakesh S.; Kotaria, Rajendra

    2017-10-01

    In Advanced Optical Instrumentation, Aspherics provide an effective performance alternative. The aspheric fabrication and surface metrology, followed by aspheric design are complementary iterative processes for Precision Aspheric development. As in fabrication, a holistic approach of aspheric surface characterization is adopted to evaluate actual surface error and to aim at the deliverance of aspheric optics with desired surface quality. Precision optical surfaces are characterized by profilometry or by interferometry. Aspheric profiles are characterized by contact profilometers, through linear surface scans to analyze their Form, Figure and Finish errors. One must ensure that, the surface characterization procedure does not add to the resident profile errors (generated during the aspheric surface fabrication). This presentation examines the errors introduced post-surface generation and during profilometry of aspheric profiles. This effort is to identify sources of errors and is to optimize the metrology process. The sources of error during profilometry may be due to: profilometer settings, work-piece placement on the profilometer stage, selection of zenith/nadir points of aspheric profiles, metrology protocols, clear aperture - diameter analysis, computational limitations of the profiler and the software issues etc. At OPTICA, a PGI 1200 FTS contact profilometer (Taylor-Hobson make) is used for this study. Precision Optics of various profiles are studied, with due attention to possible sources of errors during characterization, with multi-directional scan approach for uniformity and repeatability of error estimation. This study provides an insight of aspheric surface characterization and helps in optimal aspheric surface production methodology.

  8. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  9. The end-to-end testbed of the optical metrology system on-board LISA Pathfinder

    Energy Technology Data Exchange (ETDEWEB)

    Steier, F; Cervantes, F Guzman; Marin, A F GarcIa; Heinzel, G; Danzmann, K [Max-Planck-Institut fuer Gravitationsphysik (Albert-Einstein-Institut) and Universitaet Hannover (Germany); Gerardi, D, E-mail: frank.steier@aei.mpg.d [EADS Astrium Satellites GmbH, Friedrichshafen (Germany)

    2009-05-07

    LISA Pathfinder is a technology demonstration mission for the Laser Interferometer Space Antenna (LISA). The main experiment on-board LISA Pathfinder is the so-called LISA Technology Package (LTP) which has the aim to measure the differential acceleration between two free-falling test masses with an accuracy of 3 x 10{sup -14} ms{sup -2} Hz{sup -1/2} between 1 mHz and 30 mHz. This measurement is performed interferometrically by the optical metrology system (OMS) on-board LISA Pathfinder. In this paper, we present the development of an experimental end-to-end testbed of the entire OMS. It includes the interferometer and its sub-units, the interferometer backend which is a phasemeter and the processing of the phasemeter output data. Furthermore, three-axes piezo-actuated mirrors are used instead of the free-falling test masses for the characterization of the dynamic behaviour of the system and some parts of the drag-free and attitude control system (DFACS) which controls the test masses and the satellite. The end-to-end testbed includes all parts of the LTP that can reasonably be tested on earth without free-falling test masses. At its present status it consists mainly of breadboard components. Some of those have already been replaced by engineering models of the LTP experiment. In the next steps, further engineering and flight models will also be inserted in this testbed and tested against well-characterized breadboard components. The presented testbed is an important reference for the unit tests and can also be used for validation of the on-board experiment during the mission.

  10. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  11. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  12. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  13. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  14. SU-F-J-18: Feasibility of Open Mask Immobilization with Optical Imaging Guidance (OIG) for H&N Radiotherapy

    International Nuclear Information System (INIS)

    Zhao, B; Maquilan, G; Anders, M; Jiang, S; Schwartz, D

    2016-01-01

    Purpose: Full face and neck thermoplastic masks provide standard-of-care immobilization for patients receiving H&N IMRT. However, these masks are uncomfortable and increase skin dose. The purpose of this pilot study was to investigate the feasibility and setup accuracy of open face and neck mask immobilization with OIG. Methods: Ten patients were consented and enrolled to this IRB-approved protocol. Patients were immobilized with open masks securing only forehead and chin. Standard IMRT to 60–70 Gy in 30 fractions were delivered in all cases. Patient simulation information, including isocenter location and CT skin contours, were imported to a commercial OIG system. On the first day of treatment, patients were initially set up to surface markings and then OIG referenced to face and neck skin regions of interest (ROI) localized on simulation CT images, followed by in-room CBCT. CBCTs were acquired at least weekly while planar OBI was acquired on the days without CBCT. Following 6D robotic couch correction with kV imaging, a new optical real-time surface image was acquired to track intrafraction motion and to serve as a reference surface for setup at the next treatment fraction. Therapists manually recorded total treatment time as well as couch shifts based on kV imaging. Intrafractional ROI motion tracking was automatically recorded. Results: Setup accuracy of OIG was compared with CBCT results. The setup error based on OIG was represented as a 6D shift (vertical/longitudinal/lateral/rotation/pitch/roll). Mean error values were −0.70±3.04mm, −0.69±2.77mm, 0.33±2.67 mm, −0.14±0.94 o, −0.15±1.10o and 0.12±0.82o, respectively for the cohort. Average treatment time was 24.1±9.2 minutes, comparable to standard immobilization. The amplitude of intrafractional ROI motion was 0.69±0.36 mm, driven primarily by respiratory neck motion. Conclusion: OGI can potentially provide accurate setup and treatment tracking for open face and neck immobilization. Study

  15. SU-F-J-18: Feasibility of Open Mask Immobilization with Optical Imaging Guidance (OIG) for H&N Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, B; Maquilan, G; Anders, M; Jiang, S; Schwartz, D [UT Southwestern Medical Center, Dallas, TX (United States)

    2016-06-15

    Purpose: Full face and neck thermoplastic masks provide standard-of-care immobilization for patients receiving H&N IMRT. However, these masks are uncomfortable and increase skin dose. The purpose of this pilot study was to investigate the feasibility and setup accuracy of open face and neck mask immobilization with OIG. Methods: Ten patients were consented and enrolled to this IRB-approved protocol. Patients were immobilized with open masks securing only forehead and chin. Standard IMRT to 60–70 Gy in 30 fractions were delivered in all cases. Patient simulation information, including isocenter location and CT skin contours, were imported to a commercial OIG system. On the first day of treatment, patients were initially set up to surface markings and then OIG referenced to face and neck skin regions of interest (ROI) localized on simulation CT images, followed by in-room CBCT. CBCTs were acquired at least weekly while planar OBI was acquired on the days without CBCT. Following 6D robotic couch correction with kV imaging, a new optical real-time surface image was acquired to track intrafraction motion and to serve as a reference surface for setup at the next treatment fraction. Therapists manually recorded total treatment time as well as couch shifts based on kV imaging. Intrafractional ROI motion tracking was automatically recorded. Results: Setup accuracy of OIG was compared with CBCT results. The setup error based on OIG was represented as a 6D shift (vertical/longitudinal/lateral/rotation/pitch/roll). Mean error values were −0.70±3.04mm, −0.69±2.77mm, 0.33±2.67 mm, −0.14±0.94 o, −0.15±1.10o and 0.12±0.82o, respectively for the cohort. Average treatment time was 24.1±9.2 minutes, comparable to standard immobilization. The amplitude of intrafractional ROI motion was 0.69±0.36 mm, driven primarily by respiratory neck motion. Conclusion: OGI can potentially provide accurate setup and treatment tracking for open face and neck immobilization. Study

  16. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

    Science.gov (United States)

    Lee, Kyung M.; Yedur, Sanjay; Henrichs, Sven; Tavassoli, Malahat; Baik, Kiho

    2007-03-01

    Evaluation of lithography process or stepper involves very large quantity of CD measurements and measurement time. In this paper, we report on a application of Scatterometry based metrology for evaluation of binary photomask lithography. Measurements were made on mask level with ODP scatterometer then on wafer with CD-SEM. 4 to 1 scaling from mask to wafer means 60nm line on wafer translates to 240nm on mask, easily measurable on ODP. Calculation of scatterometer profile information was performed by a in-situ library-based analysis (5sec/site). We characterized the CD uniformity, linearity, and metal film thickness uniformity. Results show that linearity measured from fixed-pitch, varying line/space ratio targets show good correlation to top-down CD-SEM with R2 of more than 0.99. ODP-SEM correlation results for variable pitch shows that careful examination of scatterometer profile results in order to obtain better correlation to CD SEM, since both tools react differently to the target profile variation. ODP results show that global CD distribution is clearly measurable with less outliers compared to CD SEM data. This is thought to be due to 'averaging' effect of scatterometer. The data show that Scatterometry provides a nondestructive and faster mean of characterizing lithography stepper performanceprofiles. APSM 1st level (before Cr removal) 'dual-space' CDs and EPSM rectangular contacts were also measured with and results demonstrates that Scatterometer is capable of measuring these targets with reasonable correlation to SEM.

  17. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  18. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  19. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  20. Coordinate Measuring Machine for Characterizing Conformal Optics

    National Research Council Canada - National Science Library

    Jacobs, Stephen

    2001-01-01

    ... Nanotech 150AG Aspharic Grinder and the Nanotecnnologv Systems Nanotech 5OOFG Freeform Generator. The unique and complex nature of these parts prevented them from being characterized with standard optical metrology instrumentation...

  1. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  2. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  3. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  4. Large optics for the National Ignition Facility

    Energy Technology Data Exchange (ETDEWEB)

    Baisden, P. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-01-12

    The National Ignition Facility (NIF) laser with its 192 independent laser beams is not only the world’s largest laser, it is also the largest optical system ever built. With its 192 independent laser beams, the NIF requires a total of 7648 large-aperture (meter-sized) optics. One of the many challenges in designing and building NIF has been to carry out the research and development on optical materials, optics design, and optics manufacturing and metrology technologies needed to achieve NIF’s high output energies and precision beam quality. This paper describes the multiyear, multi-supplier, development effort that was undertaken to develop the advanced optical materials, coatings, fabrication technologies, and associated process improvements necessary to manufacture the wide range of NIF optics. The optics include neodymium-doped phosphate glass laser amplifiers; fused silica lenses, windows, and phase plates; mirrors and polarizers with multi-layer, high-reflectivity dielectric coatings deposited on BK7 substrates; and potassium di-hydrogen phosphate crystal optics for fast optical switches, frequency conversion, and polarization rotation. Also included is a discussion of optical specifications and custom metrology and quality-assurance tools designed, built, and fielded at supplier sites to verify compliance with the stringent NIF specifications. In addition, a brief description of the ongoing program to improve the operational lifetime (i.e., damage resistance) of optics exposed to high fluence in the 351-nm (3ω) is provided.

  5. Large optics for the National Ignition Facility

    International Nuclear Information System (INIS)

    Baisden, P.

    2015-01-01

    The National Ignition Facility (NIF) laser with its 192 independent laser beams is not only the world's largest laser, it is also the largest optical system ever built. With its 192 independent laser beams, the NIF requires a total of 7648 large-aperture (meter-sized) optics. One of the many challenges in designing and building NIF has been to carry out the research and development on optical materials, optics design, and optics manufacturing and metrology technologies needed to achieve NIF's high output energies and precision beam quality. This paper describes the multiyear, multi-supplier, development effort that was undertaken to develop the advanced optical materials, coatings, fabrication technologies, and associated process improvements necessary to manufacture the wide range of NIF optics. The optics include neodymium-doped phosphate glass laser amplifiers; fused silica lenses, windows, and phase plates; mirrors and polarizers with multi-layer, high-reflectivity dielectric coatings deposited on BK7 substrates; and potassium di-hydrogen phosphate crystal optics for fast optical switches, frequency conversion, and polarization rotation. Also included is a discussion of optical specifications and custom metrology and quality-assurance tools designed, built, and fielded at supplier sites to verify compliance with the stringent NIF specifications. In addition, a brief description of the ongoing program to improve the operational lifetime (i.e., damage resistance) of optics exposed to high fluence in the 351-nm (3ω) is provided.

  6. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  7. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  8. Ray-tracing of shape metrology data of grazing incidence x-ray astronomy mirrors

    Science.gov (United States)

    Zocchi, Fabio E.; Vernani, Dervis

    2008-07-01

    A number of future X-ray astronomy missions (e.g. Simbol-X, eROSITA) plan to utilize high throughput grazing incidence optics with very lightweight mirrors. The severe mass specifications require a further optimization of the existing technology with the consequent need of proper optical numerical modeling capabilities for both the masters and the mirrors. A ray tracing code has been developed for the simulation of the optical performance of type I Wolter masters and mirrors starting from 2D and 3D metrology data. In particular, in the case of 2D measurements, a 3D data set is reconstructed on the basis of dimensional references and used for the optical analysis by ray tracing. In this approach, the actual 3D shape is used for the optical analysis, thus avoiding the need of combining the separate contributions of different 2D measurements that require the knowledge of their interactions which is not normally available. The paper describes the proposed approach and presents examples of application on a prototype engineering master in the frame of ongoing activities carried out for present and future X-ray missions.

  9. Complex metrology on 3D structures using multi-channel OCD

    Science.gov (United States)

    Kagalwala, Taher; Mahendrakar, Sridhar; Vaid, Alok; Isbester, Paul K.; Cepler, Aron; Kang, Charles; Yellai, Naren; Sendelbach, Matthew; Ko, Mihael; Ilgayev, Ovadia; Katz, Yinon; Tamam, Lilach; Osherov, Ilya

    2017-03-01

    Device scaling has not only driven the use of measurements on more complex structures, in terms of geometry, materials, and tighter ground rules, but also the need to move away from non-patterned measurement sites to patterned ones. This is especially of concern for very thin film layers that have a high thickness dependence on structure geometry or wafer pattern factor. Although 2-dimensional (2D) sites are often found to be sufficient for process monitoring and control of very thin films, sometimes 3D sites are required to further simulate structures within the device. The measurement of film thicknesses only a few atoms thick on complex 3D sites, however, are very challenging. Apart from measuring thin films on 3D sites, there is also a critical need to measure parameters on 3D sites, which are weak and less sensitive for OCD (Optical Critical Dimension) metrology, with high accuracy and precision. Thus, state-ofthe-art methods are needed to address such metrology challenges. This work introduces the concept of Enhanced OCD which uses various methods to improve the sensitivity and reduce correlations for weak parameters in a complex measurement. This work also describes how more channels of information, when used correctly, can improve the precision and accuracy of weak, non-sensitive or complex parameters of interest.

  10. Attenuated phase-shift mask (PSM) blanks for flat panel display

    Science.gov (United States)

    Kageyama, Kagehiro; Mochizuki, Satoru; Yamakawa, Hiroyuki; Uchida, Shigeru

    2015-10-01

    The fine pattern exposure techniques are required for Flat Panel display applications as smart phone, tablet PC recently. The attenuated phase shift masks (PSM) are being used for ArF and KrF photomask lithography technique for high end pattern Semiconductor applications. We developed CrOx based large size PSM blanks that has good uniformity on optical characteristics for FPD applications. We report the basic optical characteristics and uniformity, stability data of large sized CrOx PSM blanks.

  11. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  12. Figuring and Polishing Precision Optical Surfaces, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The requirements for cost effective manufacturing and metrology of large optical surfaces is instrumental for the success of future NASA programs such as LISA,...

  13. UltraForm Finisher Optical Mandrel Fabrication, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The requirements for cost effective manufacturing and metrology of normal incidence and grazing incidence X-Ray optical surfaces is instrumental for the success of...

  14. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  15. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  16. Double degree master program: Optical Design

    Science.gov (United States)

    Bakholdin, Alexey; Kujawinska, Malgorzata; Livshits, Irina; Styk, Adam; Voznesenskaya, Anna; Ezhova, Kseniia; Ermolayeva, Elena; Ivanova, Tatiana; Romanova, Galina; Tolstoba, Nadezhda

    2015-10-01

    Modern tendencies of higher education require development of master programs providing achievement of learning outcomes corresponding to quickly variable job market needs. ITMO University represented by Applied and Computer Optics Department and Optical Design and Testing Laboratory jointly with Warsaw University of Technology represented by the Institute of Micromechanics and Photonics at The Faculty of Mechatronics have developed a novel international master double-degree program "Optical Design" accumulating the expertise of both universities including experienced teaching staff, educational technologies, and experimental resources. The program presents studies targeting research and professional activities in high-tech fields connected with optical and optoelectronics devices, optical engineering, numerical methods and computer technologies. This master program deals with the design of optical systems of various types, assemblies and layouts using computer modeling means; investigation of light distribution phenomena; image modeling and formation; development of optical methods for image analysis and optical metrology including optical testing, materials characterization, NDT and industrial control and monitoring. The goal of this program is training a graduate capable to solve a wide range of research and engineering tasks in optical design and metrology leading to modern manufacturing and innovation. Variability of the program structure provides its flexibility and adoption according to current job market demands and personal learning paths for each student. In addition considerable proportion of internship and research expands practical skills. Some special features of the "Optical Design" program which implements the best practices of both Universities, the challenges and lessons learnt during its realization are presented in the paper.

  17. Comparison of the performance of the next generation of optical interferometers

    Science.gov (United States)

    Pisani, Marco; Yacoot, Andrew; Balling, Petr; Bancone, Nicola; Birlikseven, Cengiz; Çelik, Mehmet; Flügge, Jens; Hamid, Ramiz; Köchert, Paul; Kren, Petr; Kuetgens, Ulrich; Lassila, Antti; Bartolo Picotto, Gian; Şahin, Ersoy; Seppä, Jeremias; Tedaldi, Matthew; Weichert, Christoph

    2012-08-01

    Six European National Measurement Institutes (NMIs) have joined forces within the European Metrology Research Programme funded project NANOTRACE to develop the next generation of optical interferometers having a target uncertainty of 10 pm. These are needed for NMIs to provide improved traceable dimensional metrology that can be disseminated to the wider nanotechnology community, thereby supporting the growth in nanotechnology. Several approaches were followed in order to develop the interferometers. This paper briefly describes the different interferometers developed by the various partners and presents the results of a comparison of performance of the optical interferometers using an x-ray interferometer to generate traceable reference displacements.

  18. Development of an ultrasensitive interferometry system as a key to precision metrology applications

    Science.gov (United States)

    Gohlke, Martin; Schuldt, Thilo; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2009-06-01

    We present a symmetric heterodyne interferometer as a prototype of a highly sensitive translation and tilt measurement system. This compact optical metrology system was developed over the past several years by EADS Astrium (Friedrichshafen) in cooperation with the Humboldt-University (Berlin) and the university of applied science Konstanz (HTWG-Konstanz). The noise performance was tested at frequencies between 10-4 and 3 Hz, the noise levels are below 1 nm/Hz 1/2 for translation and below 1 μrad/Hz1/2, for tilt measurements. For frequencies higher than 10 mHz noise levels below 5pm/Hz1/2 and 4 nrad/Hz1/2 respectively, were demonstrated. Based on this highly sensitive metrology system we also developed a dilatometer for the characterization of the CTE (coefficient of thermal expansion) of various materials, i.e. CFRP (carbon fiber reinforced plastic) or Zerodur. The currently achieved sensitivity of these measurements is better than 10-7 K-1. Future planned applications of the interferometer include ultra-high-precision surface profiling and characterization of actuator noise in low-noise opto-mechanics setups. We will give an overview of the current experimental setup and the latest measurement results.

  19. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  20. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  1. The JWST/NIRCam Coronagraph: Mask Design and Fabrication

    Science.gov (United States)

    Krista, John E.; Balasubramanian, Kunjithapatha; Beichman, Charles A.; Echternach, Pierre M.; Green, Joseph J.; Liewer, Kurt M.; Muller, Richard E.; Serabyn, Eugene; Shaklan, Stuart B.; Trauger, John T.; hide

    2009-01-01

    The NIRCam instrument on the James Webb Space Telescope will provide coronagraphic imaging from lambda =1-5 microns of high contrast sources such as extrasolar planets and circumstellar disks. A Lyot coronagraph with a variety of circular and wedge-shaped occulting masks and matching Lyot pupil stops will be implemented. The occulters approximate grayscale transmission profiles using halftone binary patterns comprising wavelength-sized metal dots on anti-reflection coated sapphire substrates. The mask patterns are being created in the Micro Devices Laboratory at the Jet Propulsion Laboratory using electron beam lithography. Samples of these occulters have been successfully evaluated in a coronagraphic testbed. In a separate process, the complex apertures that form the Lyot stops will be deposited onto optical wedges. The NIRCam coronagraph flight components are expected to be completed this year.

  2. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  3. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  4. Method and apparatus for the electro-optic convolution of a one-dimensional signal

    International Nuclear Information System (INIS)

    1979-01-01

    Procedure for the electro-optic convolution of a signal and a filter function, whereby the one dimensional electro-optical signal would be portrayed as a line along which the clarity varies and whereby filter function is determined by one or more masks, whilst after each mask is placed a light detector, with which the light passing through the masks may be detected, whilst a one-dimensional portrayal of the signal along the masks will be developed, characterised in that a one dimensional portrayal of the signal, with the aid of an optical system in a direction across the line, will be enlarged, and that this enlarged signal in the direction of the line along the masks will be affected which the masks closing fields will contain, which are either fully transparent or are fully non-transparent. (Auth.)

  5. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  6. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  7. High energy laser optics manufacturing: a preliminary study

    International Nuclear Information System (INIS)

    Baird, E.D.

    1980-07-01

    This report presents concepts and methods, major conclusions, and major recommendations concerning the fabrication of high energy laser optics (HELO) that are to be machined by the Large Optics Diamond Turning Machine (LODTM) at the Lawrence Livermore National Laboratory (LLNL). Detailed discussions of concepts and methods proposed for metrological operations, polishing of reflective surfaces, mounting of optical components, construction of mirror substrates, and applications of coatings are included

  8. Lithography-based automation in the design of program defect masks

    Science.gov (United States)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  9. Athermalization of infrared dual field optical system based on wavefront coding

    Science.gov (United States)

    Jiang, Kai; Jiang, Bo; Liu, Kai; Yan, Peipei; Duan, Jing; Shan, Qiu-sha

    2017-02-01

    Wavefront coding is a technology which combination of the optical design and digital image processing. By inserting a phase mask closed to the pupil plane of the optical system the wavefront of the system is re-modulated. And the depth of focus is extended consequently. In reality the idea is same as the athermalization theory of infrared optical system. In this paper, an uncooled infrared dual field optical system with effective focal as 38mm/19mm, F number as 1.2 of both focal length, operating wavelength varying from 8μm to 12μm was designed. A cubic phase mask was used at the pupil plane to re-modulate the wavefront. Then the performance of the infrared system was simulated with CODEV as the environment temperature varying from -40° to 60°. MTF curve of the optical system with phase mask are compared with the outcome before using phase mask. The result show that wavefront coding technology can make the system not sensitive to thermal defocus, and then realize the athermal design of the infrared optical system.

  10. Intranet and Internet metrological workstation with photonic sensors and transmission

    Science.gov (United States)

    Romaniuk, Ryszard S.; Pozniak, Krzysztof T.; Dybko, Artur

    1999-05-01

    We describe in this paper a part of a telemetric network which consists of a workstation with photonic measurement and communication interfaces, structural fiber optic cabling (10/100BaseFX and CAN-FL), and photonic sensors with fiber optic interfaces. The station is equipped with direct photonic measurement interface and most common measuring standards converter (RS, GPIB) with fiber optic I/O CAN bus, O/E converters, LAN and modem ports. The station was connected to the Intranet (ipx/spx) and Internet (tcp/ip) with separate IP number and DNS, WINS names. Virtual measuring environment system program was written specially for such an Intranet and Internet station. The measurement system program communicated with the user via a Graphical User's Interface (GUI). The user has direct access to all functions of the measuring station system through appropriate layers of GUI: telemetric, transmission, visualization, processing, information, help and steering of the measuring system. We have carried out series of thorough simulation investigations and tests of the station using WWW subsystem of the Internet. We logged into the system through the LAN and via modem. The Internet metrological station works continuously under the address http://nms.ipe.pw.edu.pl/nms. The station and the system hear the short name NMS (from Network Measuring System).

  11. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  12. A new optical encryption system for image transformation

    Science.gov (United States)

    Yao, Shuyu; Chen, Linfei; Chang, Guojun; He, Bingyu

    2017-12-01

    This paper introduces a new optical image encryption system based on Fresnel diffraction and phase iterative algorithm, which can realize the conversion between different images. The method is based on the optical system of free space transmission, and uses the iterative phase retrieval algorithm to encode an image into two phase masks and a ciphertext. Unlike the existed methods, the ciphertext is a visible image, which can be used to achieve the conversion of one image to another image. In order to enhance the security, two phase masks are combined into a wide-scale phase mask by the double image cross pixel scrambling approach. In the decryption process, the wide-scale phase mask is re-decrypted into two random phase masks using a random shift matrix. The ciphertext and the first phase mask are placed on the input plane and the second random phase mask is placed on the transformation plane. The Fresnel diffraction principle can be used to obtain the plaintext information on the output plane. Theoretical analysis and simulation results show that the encryption system is feasible and quite safe.

  13. XUV synchrotron optical components for the Advanced Light Source: Summary of the requirements and the developmental program

    International Nuclear Information System (INIS)

    McKinney, W.; Irick, S.; Lunt, D.

    1992-07-01

    We give a brief summary of the requirements for water cooled optical components for the Advanced Light Source (ALS), a third generation synchrotron radiation source under construction at Lawrence Berkeley Laboratory (LBL). Materials choices, surface figure and smoothness specifications, and metrology systems for measuring the plated metal surfaces are discussed. Results from a finished water cooled copper alloy mirror will be used to demonstrate the state of the art in optical metrology with the Takacs Long Trace Profiler (LTP II)

  14. Deep sub-wavelength metrology for advanced defect classification

    Science.gov (United States)

    van der Walle, P.; Kramer, E.; van der Donck, J. C. J.; Mulckhuyse, W.; Nijsten, L.; Bernal Arango, F. A.; de Jong, A.; van Zeijl, E.; Spruit, H. E. T.; van den Berg, J. H.; Nanda, G.; van Langen-Suurling, A. K.; Alkemade, P. F. A.; Pereira, S. F.; Maas, D. J.

    2017-06-01

    Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.

  15. Formation of multiple focal spots using a high NA lens with a complex spiral phase mask

    Science.gov (United States)

    Lalithambigai, K.; Anbarasan, P. M.; Rajesh, K. B.

    2014-07-01

    The formation of a transversally polarized beam by transmitting a tightly focused double-ring-shaped azimuthally polarized beam through a complex spiral phase mask and high numerical aperture lens is presented based on vector diffraction theory. The generation of transversally polarized focal spot segment splitting and multiple focal spots is illustrated numerically. Moreover, we found that a properly designed complex spiral phase mask can move the focal spots along the optical axis in the z direction. Therefore, one can achieve a focal segment of two, three or multiple completely transversely polarized focal spots, which finds applications in optical trapping and in material processing technologies.

  16. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  17. Metrology and quality assurance for European XFEL long flat mirrors installation

    Science.gov (United States)

    Freijo Martín, Idoia; Vannoni, Maurizio; Sinn, Harald

    2017-06-01

    The European XFEL is a large-scale user facility under construction in Hamburg, Germany. It will provide a transversally fully coherent X-ray radiation with outstanding characteristics: high repetition rate (up to 2700 pulses with a 0.6 milliseconds long pulse train at 10Hz), short wavelength (down to 0.05 nm), short pulses (in the femtoseconds scale) and high average brilliance (1.6x1025 photons / s / mm2 / mrad2/ 0.1% bandwidth)1. Due to the short wavelength and high pulse energies, mirrors need to have a high-quality surface, have to be very long (1 m), and at the same time an effective cooling system has to be implemented. Matching these tight specifications and assessing them with high precision optical measurements is very challenging. The mirrors go through a complicated and long process, starting from classical polishing to deterministic polishing, ending with a special coating and a final metrology assessment inside their mechanical mounts just before the installation. The installation itself is also difficult for such big mirrors and needs special care. In this contribution we will explain how we implemented the installation process, how we used the metrology information to optimize the installation procedure and we will show some preliminary results with the first mirrors installed in the European XFEL beam transport.

  18. Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Jindal, Vibhu; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-09-01

    The new device architectures and materials being introduced for sub-10nm manufacturing, combined with the complexity of multiple patterning and the need for improved hotspot detection strategies, have pushed current wafer inspection technologies to their limits. In parallel, gaps in mask inspection capability are growing as new generations of mask technologies are developed to support these sub-10nm wafer manufacturing requirements. In particular, the challenges associated with nanoimprint and extreme ultraviolet (EUV) mask inspection require new strategies that enable fast inspection at high sensitivity. The tradeoffs between sensitivity and throughput for optical and e-beam inspection are well understood. Optical inspection offers the highest throughput and is the current workhorse of the industry for both wafer and mask inspection. E-beam inspection offers the highest sensitivity but has historically lacked the throughput required for widespread adoption in the manufacturing environment. It is unlikely that continued incremental improvements to either technology will meet tomorrow's requirements, and therefore a new inspection technology approach is required; one that combines the high-throughput performance of optical with the high-sensitivity capabilities of e-beam inspection. To support the industry in meeting these challenges SUNY Poly SEMATECH has evaluated disruptive technologies that can meet the requirements for high volume manufacturing (HVM), for both the wafer fab [1] and the mask shop. Highspeed massively parallel e-beam defect inspection has been identified as the leading candidate for addressing the key gaps limiting today's patterned defect inspection techniques. As of late 2014 SUNY Poly SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for defect inspection. A champion approach has been identified based on a multibeam technology from Carl Zeiss. This paper includes a discussion on the

  19. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  20. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  1. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  2. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  3. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  4. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  5. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  6. An elegant Breadboard of the optical bench for eLISA/NGO

    Science.gov (United States)

    d'Arcio, Luigi; Bogenstahl, Johanna; Diekmann, Christian; Fitzsimons, Ewan D.; Heinzel, Gerhard; Hogenhuis, Harm; Killow, Christian J.; Lieser, Maike; Nikolov, Susanne; Perreur-Lloyd, Michael; Pijnenburg, Joep; Robertson, David I.; Taylor, Alasdair; Tröbs, Michael; Ward, Harry; Weise, Dennis

    2017-11-01

    The Laser Interferometer Space Antenna, as well as its reformulated European-only evolution, the New Gravitational-Wave Observatory, both employ heterodyne laser interferometry on million kilometer scale arm lengths in a triangular spacecraft formation, to observe gravitational waves at frequencies between 3 × 10-5 Hz and 1 Hz. The Optical Bench as central payload element realizes both the inter-spacecraft as well as local laser metrology with respect to inertial proof masses, and provides further functions, such as point-ahead accommodation, acquisition sensing, transmit beam conditioning, optical power monitoring, and laser redundancy switching. These functions have been combined in a detailed design of an Optical Bench Elegant Breadboard, which is currently under assembly and integration. We present an overview of the realization and current performances of the Optical Bench subsystems, which employ ultraprecise piezo mechanism, ultrastable assembly techniques, and shot noise limited RF detection to achieve translation and tilt metrology at Picometer and Nanoradian noise levels.

  7. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  8. An optimized OPC and MDP flow for reducing mask write time and mask cost

    Science.gov (United States)

    Yang, Ellyn; Li, Cheng He; Park, Se Jin; Zhu, Yu; Guo, Eric

    2010-09-01

    In the process of optical proximity correction, layout edge or fragment is migrating to proper position in order to minimize edge placement error (EPE). During this fragment migration, several factors other than EPE can be also taken into account as a part of cost function for optimal fragment displacement. Several factors are devised in favor of OPC stability, which can accommodate room for high mask error enhancement factor (MEEF), lack of process window, catastrophic pattern failure such as pinch/bridge and improper fragmentation. As technology node becomes finer, there happens conflict between OPC accuracy and stability. Especially for metal layers, OPC has focused on the stability by loss of accurate OPC results. On this purpose, several techniques have been introduced, which are target smoothing, process window aware OPC, model-based retargeting and adaptive OPC. By utilizing those techniques, OPC enables more stabilized patterning, instead of realizing design target exactly on wafer. Inevitably, post-OPC layouts become more complicated because those techniques invoke additional edge, or fragments prior to correction or during OPC iteration. As a result, jogs of post OPC layer can be dramatically increased, which results in huge number of shot count after data fracturing. In other words, there is trade-off relationship between data complexity and various methods for OPC stability. In this paper, those relationships have been investigated with respect to several technology nodes. The mask shot count reduction is achieved by reducing the number of jogs with which EPE difference are within pre-specified value. The effect of jog smoothing on OPC output - in view of OPC performance and mask data preparation - was studied quantitatively for respective technology nodes.

  9. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  10. Picometre and nanoradian heterodyne interferometry and its application in dilatometry and surface metrology

    International Nuclear Information System (INIS)

    Schuldt, T; Kögel, H; Spannagel, R; Braxmaier, C; Gohlke, M; Peters, A; Johann, U; Weise, D

    2012-01-01

    A high-sensitivity heterodyne interferometer implementing differential wavefront sensing for tilt measurement was developed over the last few years. With this setup, using an aluminium breadboard and compact optical mounts with a beam height of 2 cm, noise levels less than 5 pm Hz −1/2 in translation and less than 10 nrad Hz −1/2 in tilt measurement, both for frequencies above 10 −2 Hz, have been demonstrated. Here, a new, compact and ruggedized interferometer setup utilizing a baseplate made of Zerodur, a thermally and mechanically highly stable glass ceramic with a coefficient of thermal expansion (CTE) of 2 × 10 −8 K −1 , is presented. The optical components are fixed to the baseplate using a specifically developed, easy-to-handle, assembly-integration technology based on a space-qualified two-component epoxy. While developed as a prototype for future applications aboard satellite space missions (such as Laser Interferometer Space Antenna), the interferometer is used in laboratory experiments for dilatometry and surface metrology. A first dilatometer setup with a demonstrated accuracy of 10 −7 K −1 in CTE measurement was realized. As it was seen that the accuracy is limited by the dimensional stability of the sample tube support, a new setup was developed utilizing Zerodur as structural material for the sample tube support. In another activity, the interferometer is used for characterization of high-quality mirror surfaces at the picometre level and for high-accuracy two-dimensional surface characterization in a prototype for industrial applications. In this paper, the corresponding designs, their realizations and first measurements of both applications in dilatometry and surface metrology are presented

  11. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  12. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  13. Development of a fully automated adaptive unsharp masking technique in digital chest radiograph

    International Nuclear Information System (INIS)

    Abe, Katsumi; Katsuragawa, Shigehiko; Sasaki, Yasuo

    1991-01-01

    We are developing a fully automated adaptive unsharp masking technique with various parameters depending on regional image features of a digital chest radiograph. A chest radiograph includes various regions such as lung fields, retrocardiac area and spine in which their texture patterns and optical densities are extremely different. Therefore, it is necessary to enhance image contrast of each region by each optimum parameter. First, we investigated optimum weighting factors and mask sizes of unsharp masking technique in a digital chest radiograph. Then, a chest radiograph is automatically divided into three segments, one for the lung field, one for the retrocardiac area, and one for the spine, by using histogram analysis of pixel values. Finally, high frequency components of the lung field and retrocardiac area are selectively enhanced with a small mask size and mild weighting factors which are previously determined as optimum parameters. In addition, low frequency components of the spine are enhanced with a large mask size and adequate weighting factors. This processed image shows excellent depiction of the lung field, retrocardiac area and spine simultaneously with optimum contrast. Our image processing technique may be useful for diagnosis of chest radiographs. (author)

  14. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  15. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    Science.gov (United States)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  16. Nano-optomechanics with optically levitated nanoparticles

    Science.gov (United States)

    Neukirch, Levi P.; Vamivakas, A. Nick

    2015-01-01

    Nano-optomechanics is a vibrant area of research that continues to push the boundary of quantum science and measurement technology. Recently, it has been realised that the optical forces experienced by polarisable nanoparticles can provide a novel platform for nano-optomechanics with untethered mechanical oscillators. Remarkably, these oscillators are expected to exhibit quality factors approaching ?. The pronounced quality factors are a direct result of the mechanical oscillator being freed from a supporting substrate. This review provides an overview of the basic optical physics underpinning optical trapping and optical levitation experiments, it discusses a number of experimental approaches to optical trapping and finally outlines possible applications of this nano-optomechanics modality in hybrid quantum systems and nanoscale optical metrology.

  17. Picometre displacement measurements using a differential Fabry-Perot optical interferometer and an x-ray interferometer

    Science.gov (United States)

    Çelik, Mehmet; Hamid, Ramiz; Kuetgens, Ulrich; Yacoot, Andrew

    2012-08-01

    X-ray interferometry is emerging as an important tool for dimensional nanometrology both for sub-nanometre measurement and displacement. It has been used to verify the performance of the next generation of displacement measuring optical interferometers within the European Metrology Research Programme project NANOTRACE. Within this project a more detailed set of comparison measurements between the x-ray interferometer and a dual channel Fabry-Perot optical interferometer (DFPI) have been made to demonstrate the capabilities of both instruments for picometre displacement metrology. The results show good agreement between the two instruments, although some minor differences of less than 5 pm have been observed.

  18. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  19. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  20. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  1. Development of graphene process control by industrial optical spectroscopy setup

    Science.gov (United States)

    Fursenko, O.; Lukosius, M.; Lupina, G.; Bauer, J.; Villringer, C.; Mai, A.

    2017-06-01

    The successful integration of graphene into microelectronic devices depends strongly on the availability of fast and nondestructive characterization methods of graphene grown by CVD on large diameter production wafers [1-3] which are in the interest of the semiconductor industry. Here, a high-throughput optical metrology method for measuring the thickness and uniformity of large-area graphene sheets is demonstrated. The method is based on the combination of spectroscopic ellipsometry and normal incidence reflectometry in UV-Vis wavelength range (200-800 nm) with small light spots ( 30 μm2) realized in wafer optical metrology tool. In the first step graphene layers were transferred on a SiO2/Si substrate in order to determine the optical constants of graphene by the combination of multi-angle ellipsometry and reflectometry. Then these data were used for the development of a process control recipe of CVD graphene on 200 mm Ge(100)/Si(100) wafers. The graphene layer quality was additionally monitored by Raman spectroscopy. Atomic force microscopy measurements were performed for micro topography evaluation. In consequence, a robust recipe for unambiguous thickness monitoring of all components of a multilayer film stack, including graphene, surface residuals or interface layer underneath graphene and surface roughness is developed. Optical monitoring of graphene thickness uniformity over a wafer has shown an excellent long term stability (s=0.004 nm) regardless of the growth of interfacial GeO2 and surface roughness. The sensitivity of the optical identification of graphene during microelectronic processing was evaluated. This optical metrology technique with combined data collection exhibit a fast and highly precise method allowing one an unambiguous detection of graphene after transferring as well as after the CVD deposition process on a Ge(100)/Si(100) wafer. This approach is well suited for industrial applications due to its repeatability and flexibility.

  2. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  3. Enabling Quantitative Optical Imaging for In-die-capable Critical Dimension Targets

    Science.gov (United States)

    Barnes, B.M.; Henn, M.-A.; Sohn, M. Y.; Zhou, H.; Silver, R. M.

    2017-01-01

    Dimensional scaling trends will eventually bring semiconductor critical dimensions (CDs) down to only a few atoms in width. New optical techniques are required to address the measurement and variability for these CDs using sufficiently small in-die metrology targets. Recently, Qin et al. [Light Sci Appl, 5, e16038 (2016)] demonstrated quantitative model-based measurements of finite sets of lines with features as small as 16 nm using 450 nm wavelength light. This paper uses simulation studies, augmented with experiments at 193 nm wavelength, to adapt and optimize the finite sets of features that work as in-die-capable metrology targets with minimal increases in parametric uncertainty. A finite element based solver for time-harmonic Maxwell's equations yields two- and three-dimensional simulations of the electromagnetic scattering for optimizing the design of such targets as functions of reduced line lengths, fewer number of lines, fewer focal positions, smaller critical dimensions, and shorter illumination wavelength. Metrology targets that exceeded performance requirements are as short as 3 μm for 193 nm light, feature as few as eight lines, and are extensible to sub-10 nm CDs. Target areas measured at 193 nm can be fifteen times smaller in area than current state-of-the-art scatterometry targets described in the literature. This new methodology is demonstrated to be a promising alternative for optical model-based in-die CD metrology. PMID:28757674

  4. Optical compensation device for chest film radiography

    Science.gov (United States)

    Gould, Robert G.; Hasegawa, Bruce H.; DeForest, Sherman E.; Schmidt, Gregory W.; Hier, Richard G.

    1990-07-01

    Although chest radiography is the most commonly performed radiographic examination and one of the most valuable and cost-effective studies in medicine it suffers from relatively high error rates in both missing pathology and false positive interpretations. Detectability of lung nodules and other structures in underpenetrated regions of the chest film can be improved by both exposure and optical compensation but current compensation systems require major capital cost or a significant change in normal clinical practice. A new optical compensation system called the " Intelligent X-Ray Illuminator" (IXI) automatically and virtually instantaneously generates a patient-specific optical unsharp mask that is projected directly on a radiograph. When a radiograph is placed on the IXI which looks much like a conventional viewbox it acquires a low-resolution electronic image of this film from which the film transmission is derived. The transmission information is inverted and blurred in an image processor to form an unsharp mask which is fed into a spatial light modulator (SLM) placed between a light source and the radiograph. The SLM tailors the viewbox luminance by decreasing illumination to underexposed (i. e. transmissive) areas of the radiograph presenting the observer with an optically unsharp-masked image. The IXI uses the original radiograph and will allow it to be viewed on demand with conventional (uniform illumination. Potentially the IXI could introduce the known beneficial aspects of optical unsharp masking into radiology at low capital

  5. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  6. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  7. Absolute surface reconstruction by slope metrology and photogrammetry

    Science.gov (United States)

    Dong, Yue

    Developing the manufacture of aspheric and freeform optical elements requires an advanced metrology method which is capable of inspecting these elements with arbitrary freeform surfaces. In this dissertation, a new surface measurement scheme is investigated for such a purpose, which is to measure the absolute surface shape of an object under test through its surface slope information obtained by photogrammetric measurement. A laser beam propagating toward the object reflects on its surface while the vectors of the incident and reflected beams are evaluated from the four spots they leave on the two parallel transparent windows in front of the object. The spots' spatial coordinates are determined by photogrammetry. With the knowledge of the incident and reflected beam vectors, the local slope information of the object surface is obtained through vector calculus and finally yields the absolute object surface profile by a reconstruction algorithm. An experimental setup is designed and the proposed measuring principle is experimentally demonstrated by measuring the absolute surface shape of a spherical mirror. The measurement uncertainty is analyzed, and efforts for improvement are made accordingly. In particular, structured windows are designed and fabricated to generate uniform scattering spots left by the transmitted laser beams. Calibration of the fringe reflection instrument, another typical surface slope measurement method, is also reported in the dissertation. Finally, a method for uncertainty analysis of a photogrammetry measurement system by optical simulation is investigated.

  8. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  9. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  10. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  11. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  12. Synthesis of functional nanomaterials via colloidal mask templating and glancing angle deposition (GLAD)”

    DEFF Research Database (Denmark)

    Dolatshahi-Pirouz, Alireza; Jensen, Thomas Bo; Vorup-Jensen, Thomas

    2010-01-01

    We present a simple method for the fabrication of separated brush-like networks with both improved optical and biological properties. The brush networks were fabricated by combing the glancing angle deposition (GLAD) technique with colloidal mask templating. By changing the deposition angle during...... indicate that localized surface plasmons are present on the GLAD templated surfaces while cell assays with monocyte cells showed an impaired attachment to the brush structures. Consequently, deposition by means of a colloidal mask templating is indeed a very tunable and suited technique for the fabrication...

  13. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  14. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  15. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  16. Enhacement of intrafield overlay using a design based metrology system

    Science.gov (United States)

    Jo, Gyoyeon; Ji, Sunkeun; Kim, Shinyoung; Kang, Hyunwoo; Park, Minwoo; Kim, Sangwoo; Kim, Jungchan; Park, Chanha; Yang, Hyunjo; Maruyama, Kotaro; Park, Byungjun

    2016-03-01

    As the scales of the semiconductor devices continue to shrink, accurate measurement and control of the overlay have been emphasized for securing more overlay margin. Conventional overlay analysis methods are based on the optical measurement of the overlay mark. However, the overlay data obtained from these optical methods cannot represent the exact misregistration between two layers at the circuit level. The overlay mismatch may arise from the size or pitch difference between the overlay mark and the real pattern. Pattern distortion, caused by CMP or etching, could be a source of the overlay mismatch as well. Another issue is the overlay variation in the real circuit pattern which varies depending on its location. The optical overlay measurement methods, such as IBO and DBO that use overlay mark on the scribeline, are not capable of defining the exact overlay values of the real circuit. Therefore, the overlay values of the real circuit need to be extracted to integrate the semiconductor device properly. The circuit level overlay measurement using CDSEM is time-consuming in extracting enough data to indicate overall trend of the chip. However DBM tool is able to derive sufficient data to display overlay tendency of the real circuit region with high repeatability. An E-beam based DBM(Design Based Metrology) tool can be an alternative overlay measurement method. In this paper, we are going to certify that the overlay values extracted from optical measurement cannot represent the circuit level overlay values. We will also demonstrate the possibility to correct misregistration between two layers using the overlay data obtained from the DBM system.

  17. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  18. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  19. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  20. Design, realization and testing of the nanomefos non-contact measurement machine for freeform optics

    NARCIS (Netherlands)

    Henselmans, R.; Cacace, L.; Rosielle, P.C.J.N.; Steinbuch, M.

    2008-01-01

    By applying freeform optics (figure 1) in high-end optical systems such as used in space, science and lithography applications, system performance can be improved while decreasing the system mass, size and number of required components (for instance [1]). The applicability of classical metrology

  1. Security-enhanced phase encryption assisted by nonlinear optical correlation via sparse phase

    International Nuclear Information System (INIS)

    Chen, Wen; Chen, Xudong; Wang, Xiaogang

    2015-01-01

    We propose a method for security-enhanced phase encryption assisted by a nonlinear optical correlation via a sparse phase. Optical configurations are established based on a phase retrieval algorithm for embedding an input image and the secret data into phase-only masks. We found that when one or a few phase-only masks generated during data hiding are sparse, it is possible to integrate these sparse masks into those phase-only masks generated during the encoding of the input image. Synthesized phase-only masks are used for the recovery, and sparse distributions (i.e., binary maps) for generating the incomplete phase-only masks are considered as additional parameters for the recovery of secret data. It is difficult for unauthorized receivers to know that a useful phase has been sparsely distributed in the finally generated phase-only masks for secret-data recovery. Only when the secret data are correctly verified can the input image obtained with valid keys be claimed as targeted information. (paper)

  2. Metrology and Alignment of Light Weight Grazing Incidence X-Ray Mirrors

    Science.gov (United States)

    Zhang, William; Content, David; Petre, Robert; Saha, Timo

    2000-01-01

    Metrology and alignment of light weight X-ray optics have been a challenge for two reasons: (1) that the intrinsic mirror quality and distortions caused by handling can not be easily separated, and (2) the diffraction limits of the visible light become a severe problem at the order of one arc-minute. Traditional methods of using a normal incident pencil or small parallel beam which monitors a tiny fraction of the mirror in question at a given time can not adequately monitor those distortions. We are developing a normal incidence setup that monitors a large fraction, if not the whole, of the mirror at any given time. It will allow us to align thin X-ray mirrors to-an accuracy of a few arc seconds or to a limit dominated by the mirror intrinsic quality.

  3. Spectral masking of goethite in abandoned mine drainage systems: implications for Mars

    Science.gov (United States)

    Cull, Selby; Cravotta,, Charles A.; Klinges, Julia Grace; Weeks, Chloe

    2014-01-01

    Remote sensing studies of the surface of Mars use visible- to near-infrared (VNIR) spectroscopy to identify hydrated and hydroxylated minerals, which can be used to constrain past environmental conditions on the surface of Mars. However, due to differences in optical properties, some hydrated phases can mask others in VNIR spectra, complicating environmental interpretations. Here, we examine the role of masking in VNIR spectra of natural precipitates of ferrihydrite, schwertmannite, and goethite from abandoned mine drainage (AMD) systems in southeastern Pennsylvania. Mixtures of ferrihydrite, schwertmannite, and goethite were identified in four AMD sites by using X-ray diffractometry (XRD), and their XRD patterns compared to their VNIR spectra. We find that both ferrihydrite and schwertmannite can mask goethite in VNIR spectra of natural AMD precipitates. These findings suggest that care should be taken in interpreting environments on Mars where ferrihydrite, schwertmannite, or goethite are found, as the former two may be masking the latter. Additionally, our findings suggest that outcrops on Mars with both goethite and ferrihydrite/schwertmannite VNIR signatures may have high relative abundances of goethite, or the goethite may exist in a coarsely crystalline phase.

  4. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  6. Estimation of the convergence order of rigorous coupled-wave analysis for OCD metrology

    Science.gov (United States)

    Ma, Yuan; Liu, Shiyuan; Chen, Xiuguo; Zhang, Chuanwei

    2011-12-01

    In most cases of optical critical dimension (OCD) metrology, when applying rigorous coupled-wave analysis (RCWA) to optical modeling, a high order of Fourier harmonics is usually set up to guarantee the convergence of the final results. However, the total number of floating point operations grows dramatically as the truncation order increases. Therefore, it is critical to choose an appropriate order to obtain high computational efficiency without losing much accuracy in the meantime. In this paper, the convergence order associated with the structural and optical parameters has been estimated through simulation. The results indicate that the convergence order is linear with the period of the sample when fixing the other parameters, both for planar diffraction and conical diffraction. The illuminated wavelength also affects the convergence of a final result. With further investigations concentrated on the ratio of illuminated wavelength to period, it is discovered that the convergence order decreases with the growth of the ratio, and when the ratio is fixed, convergence order jumps slightly, especially in a specific range of wavelength. This characteristic could be applied to estimate the optimum convergence order of given samples to obtain high computational efficiency.

  7. Quantum cascade lasers as metrological tools for space optics

    Science.gov (United States)

    Bartalini, S.; Borri, S.; Galli, I.; Mazzotti, D.; Cancio Pastor, P.; Giusfredi, G.; De Natale, P.

    2017-11-01

    A distributed-feedback quantum-cascade laser working in the 4.3÷4.4 mm range has been frequency stabilized to the Lamb-dip center of a CO2 ro-vibrational transition by means of first-derivative locking to the saturated absorption signal, and its absolute frequency counted with a kHz-level precision and an overall uncertainty of 75 kHz. This has been made possible by an optical link between the QCL and a near-IR Optical Frequency Comb Synthesizer, thanks to a non-linear sum-frequency generation process with a fiber-amplified Nd:YAG laser. The implementation of a new spectroscopic technique, known as polarization spectroscopy, provides an improved signal for the locking loop, and will lead to a narrower laser emission and a drastic improvement in the frequency stability, that in principle is limited only by the stability of the optical frequency comb synthesizer (few parts in 1013). These results confirm quantum cascade lasers as reliable sources not only for high-sensitivity, but also for highprecision measurements, ranking them as optimal laser sources for space applications.

  8. Picometre displacement measurements using a differential Fabry–Perot optical interferometer and an x-ray interferometer

    International Nuclear Information System (INIS)

    Çelik, Mehmet; Hamid, Ramiz; Kuetgens, Ulrich; Yacoot, Andrew

    2012-01-01

    X-ray interferometry is emerging as an important tool for dimensional nanometrology both for sub-nanometre measurement and displacement. It has been used to verify the performance of the next generation of displacement measuring optical interferometers within the European Metrology Research Programme project NANOTRACE. Within this project a more detailed set of comparison measurements between the x-ray interferometer and a dual channel Fabry–Perot optical interferometer (DFPI) have been made to demonstrate the capabilities of both instruments for picometre displacement metrology. The results show good agreement between the two instruments, although some minor differences of less than 5 pm have been observed. (paper)

  9. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  10. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  11. Reconstruction of freeform surfaces for metrology

    International Nuclear Information System (INIS)

    El-Hayek, N; Nouira, H; Anwer, N; Damak, M; Gibaru, O

    2014-01-01

    The application of freeform surfaces has increased since their complex shapes closely express a product's functional specifications and their machining is obtained with higher accuracy. In particular, optical surfaces exhibit enhanced performance especially when they take aspheric forms or more complex forms with multi-undulations. This study is mainly focused on the reconstruction of complex shapes such as freeform optical surfaces, and on the characterization of their form. The computer graphics community has proposed various algorithms for constructing a mesh based on the cloud of sample points. The mesh is a piecewise linear approximation of the surface and an interpolation of the point set. The mesh can further be processed for fitting parametric surfaces (Polyworks ® or Geomagic ® ). The metrology community investigates direct fitting approaches. If the surface mathematical model is given, fitting is a straight forward task. Nonetheless, if the surface model is unknown, fitting is only possible through the association of polynomial Spline parametric surfaces. In this paper, a comparative study carried out on methods proposed by the computer graphics community will be presented to elucidate the advantages of these approaches. We stress the importance of the pre-processing phase as well as the significance of initial conditions. We further emphasize the importance of the meshing phase by stating that a proper mesh has two major advantages. First, it organizes the initially unstructured point set and it provides an insight of orientation, neighbourhood and curvature, and infers information on both its geometry and topology. Second, it conveys a better segmentation of the space, leading to a correct patching and association of parametric surfaces

  12. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  13. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  14. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  15. Using the Leitz LMS 2000 for monitoring and improvement of an e-beam

    Science.gov (United States)

    Blaesing-Bangert, Carola; Roeth, Klaus-Dieter; Ogawa, Yoichi

    1994-11-01

    Kaizen--a continuously improving--is a philosophy lived in Japan which is also becoming more and more important in Western companies. To implement this philosophy in the semiconductor industry, a high performance metrology tool is essential to determine the status of production quality periodically. An important prerequisite for statistical process control is the high stability of the metrology tool over several months or years; the tool-induced shift should be as small as possible. The pattern placement metrology tool Leitz LMS 2000 has been used in a major European mask house for several years now to qualify masks within the tightest specifications and to monitor the MEBES III and its cassettes. The mask shop's internal specification for the long term repeatability of the pattern placement metrology tool is 19 nm instead of 42 nm as specified by the supplier of the tool. Then the process capability of the LMS 2000 over 18 months is represented by an average cpk value of 2.8 for orthogonality, 5.2 for x-scaling, and 3.0 for y-scaling. The process capability of the MEBES III and its cassettes was improved in the past years. For instance, 100% of the masks produced with a process tolerance of +/- 200 nm are now within this limit.

  16. Cross-talk free selective reconstruction of individual objects from multiplexed optical field data

    Science.gov (United States)

    Zea, Alejandro Velez; Barrera, John Fredy; Torroba, Roberto

    2018-01-01

    In this paper we present a data multiplexing method for simultaneous storage in a single package composed by several optical fields of tridimensional (3D) objects, and their individual cross-talk free retrieval. Optical field data are extracted from off axis Fourier holograms, and then sampled by multiplying them with random binary masks. The resulting sampled optical fields can be used to reconstruct the original objects. Sampling causes a loss of quality that can be controlled by the number of white pixels in the binary masks and by applying a padding procedure on the optical field data. This process can be performed using a different binary mask for each optical field, and then added to form a multiplexed package. With the adequate choice of sampling and padding, we can achieve a volume reduction in the multiplexed package over the addition of all individual optical fields. Moreover, the package can be multiplied by a binary mask to select a specific optical field, and after the reconstruction procedure, the corresponding 3D object is recovered without any cross-talk. We demonstrate the effectiveness of our proposal for data compression with a comparison with discrete cosine transform filtering. Experimental results confirm the validity of our proposal.

  17. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  18. Grazing Incidence Optics Technology

    Science.gov (United States)

    Ramsey, Brian; Smith, W. Scott; Gubarev, Mikhail; McCracken, Jeff

    2015-01-01

    This project is to demonstrate the capability to directly fabricate lightweight, high-resolution, grazing-incidence x-ray optics using a commercially available robotic polishing machine. Typical x-ray optics production at NASA Marshall Space Flight Center (MSFC) uses a replication process in which metal mirrors are electroformed on to figured and polished mandrels from which they are later removed. The attraction of this process is that multiple copies can be made from a single master. The drawback is that the replication process limits the angular resolution that can be attained. By directly fabricating each shell, errors inherent in the replication process are removed. The principal challenge now becomes how to support the mirror shell during all aspects of fabrication, including the necessary metrology to converge on the required mirror performance specifications. This program makes use of a Zeeko seven-axis computer-controlled polishing machine (see fig. 1) and supporting fabrication, metrology, and test equipment at MSFC. The overall development plan calls for proof-of-concept demonstration with relatively thick mirror shells (5-6 mm, fig. 2) which are straightforward to support and then a transition to much thinner shells (2-3 mm), which are an order of magnitude thinner than those used for Chandra. Both glass and metal substrates are being investigated. Currently, a thick glass shell is being figured. This has enabled experience to be gained with programming and operating the polishing machine without worrying about shell distortions or breakage. It has also allowed time for more complex support mechanisms for figuring/ polishing and metrology to be designed for the more challenging thinner shells. These are now in fabrication. Figure 1: Zeeko polishing machine.

  19. Self-mixing interferometry: a novel yardstick for mechanical metrology

    Science.gov (United States)

    Donati, Silvano

    2016-11-01

    A novel configuration of interferometry, SMI (self-mixing interferometry), is described in this paper. SMI is attractive because it doesn't require any optical part external to the laser and can be employed in a variety of measurements - indeed it is sometimes indicated as the "interferometer for measuring without an interferometer". On processing the phase carried by the optical field upon propagation to the target under test, a number of applications have been developed, including traditional measurements related to metrology and mechanical engineering - like displacement, distance, small-amplitude vibrations, attitude angles, velocity, as well as new measurements, like mechanical stress-strain hysterisis and microstructure/MEMS electro-mechanical response. In another field, sensing of motility finds direct application in a variety of biophysical measurements, like blood pulsation, respiratory sounds, chest acoustical impedance, and blood velocity profile. And, we may also look at the amplitude of the returning signal in a SMI, and we can measure weak optical echoes - for return loss and isolation factor measurements, CD readout and scroll sensing, and THz-wave detection. Last, the fine details of the SMI waveform reveal physical parameters of the laser like the laser linewidth, coherence length, and alpha factor. Worth to be noted, SMI is also a coherent detection scheme, and measurement close to the quantum limit of received field with minimum detectable displacements of 100 pm/√Hz are currently achieved upon operation on diffusive targets, whereas in detection mode returning signal can be sensed down to attenuations of -80dB.

  20. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  1. Optical testbed for the LISA phasemeter

    Science.gov (United States)

    Schwarze, T. S.; Fernández Barranco, G.; Penkert, D.; Gerberding, O.; Heinzel, G.; Danzmann, K.

    2016-05-01

    The planned spaceborne gravitational wave detector LISA will allow the detection of gravitational waves at frequencies between 0.1 mHz and 1 Hz. A breadboard model for the metrology system aka the phasemeter was developed in the scope of an ESA technology development project by a collaboration between the Albert Einstein Institute, the Technical University of Denmark and the Danish industry partner Axcon Aps. It in particular provides the electronic readout of the main interferometer phases besides auxiliary functions. These include clock noise transfer, ADC pilot tone correction, inter-satellite ranging and data transfer. Besides in LISA, the phasemeter can also be applied in future satellite geodesy missions. Here we show the planning and advances in the implementation of an optical testbed for the full metrology chain. It is based on an ultra-stable hexagonal optical bench. This bench allows the generation of three unequal heterodyne beatnotes with a zero phase combination, thus providing the possibility to probe the phase readout for non-linearities in an optical three signal test. Additionally, the utilization of three independent phasemeters will allow the testing of the auxiliary functions. Once working, components can individually be replaced with flight-qualified hardware in this setup.

  2. Optical testbed for the LISA phasemeter

    International Nuclear Information System (INIS)

    Schwarze, T S; Fernández Barranco, G; Penkert, D; Gerberding, O; Heinzel, G; Danzmann, K

    2016-01-01

    The planned spaceborne gravitational wave detector LISA will allow the detection of gravitational waves at frequencies between 0.1 mHz and 1 Hz. A breadboard model for the metrology system aka the phasemeter was developed in the scope of an ESA technology development project by a collaboration between the Albert Einstein Institute, the Technical University of Denmark and the Danish industry partner Axcon Aps. It in particular provides the electronic readout of the main interferometer phases besides auxiliary functions. These include clock noise transfer, ADC pilot tone correction, inter-satellite ranging and data transfer. Besides in LISA, the phasemeter can also be applied in future satellite geodesy missions. Here we show the planning and advances in the implementation of an optical testbed for the full metrology chain. It is based on an ultra-stable hexagonal optical bench. This bench allows the generation of three unequal heterodyne beatnotes with a zero phase combination, thus providing the possibility to probe the phase readout for non-linearities in an optical three signal test. Additionally, the utilization of three independent phasemeters will allow the testing of the auxiliary functions. Once working, components can individually be replaced with flight-qualified hardware in this setup. (paper)

  3. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  4. Encoded diffractive optics for full-spectrum computational imaging

    KAUST Repository

    Heide, Felix; Fu, Qiang; Peng, Yifan; Heidrich, Wolfgang

    2016-01-01

    Diffractive optical elements can be realized as ultra-thin plates that offer significantly reduced footprint and weight compared to refractive elements. However, such elements introduce severe chromatic aberrations and are not variable, unless used in combination with other elements in a larger, reconfigurable optical system. We introduce numerically optimized encoded phase masks in which different optical parameters such as focus or zoom can be accessed through changes in the mechanical alignment of a ultra-thin stack of two or more masks. Our encoded diffractive designs are combined with a new computational approach for self-calibrating imaging (blind deconvolution) that can restore high-quality images several orders of magnitude faster than the state of the art without pre-calibration of the optical system. This co-design of optics and computation enables tunable, full-spectrum imaging using thin diffractive optics.

  5. Encoded diffractive optics for full-spectrum computational imaging

    KAUST Repository

    Heide, Felix

    2016-09-16

    Diffractive optical elements can be realized as ultra-thin plates that offer significantly reduced footprint and weight compared to refractive elements. However, such elements introduce severe chromatic aberrations and are not variable, unless used in combination with other elements in a larger, reconfigurable optical system. We introduce numerically optimized encoded phase masks in which different optical parameters such as focus or zoom can be accessed through changes in the mechanical alignment of a ultra-thin stack of two or more masks. Our encoded diffractive designs are combined with a new computational approach for self-calibrating imaging (blind deconvolution) that can restore high-quality images several orders of magnitude faster than the state of the art without pre-calibration of the optical system. This co-design of optics and computation enables tunable, full-spectrum imaging using thin diffractive optics.

  6. Optical image encryption using multilevel Arnold transform and noninterferometric imaging

    Science.gov (United States)

    Chen, Wen; Chen, Xudong

    2011-11-01

    Information security has attracted much current attention due to the rapid development of modern technologies, such as computer and internet. We propose a novel method for optical image encryption using multilevel Arnold transform and rotatable-phase-mask noninterferometric imaging. An optical image encryption scheme is developed in the gyrator transform domain, and one phase-only mask (i.e., phase grating) is rotated and updated during image encryption. For the decryption, an iterative retrieval algorithm is proposed to extract high-quality plaintexts. Conventional encoding methods (such as digital holography) have been proven vulnerably to the attacks, and the proposed optical encoding scheme can effectively eliminate security deficiency and significantly enhance cryptosystem security. The proposed strategy based on the rotatable phase-only mask can provide a new alternative for data/image encryption in the noninterferometric imaging.

  7. Performances of OsO(4) stabilized CO(2) lasers as optical frequency standards near 29 THz.

    Science.gov (United States)

    Daussy, C; Ducos, F; Rovera, G D; Acef, O

    2000-01-01

    In this paper, we report on the metrological capabilities of CO (2)/OsO(4) optical frequency standards operating around 29 THz. Those frequency standards are currently involved in various fields, such as frequency metrology, high resolution spectroscopy, and Rydberg constant measurements. The most impressive features of the standards lies in the 10(-15) level frequency stability allied to a long-term reproducibility (1 yr) of 1.3x10 (-13).

  8. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  9. ON-SKY DEMONSTRATION OF A LINEAR BAND-LIMITED MASK WITH APPLICATION TO VISUAL BINARY STARS

    International Nuclear Information System (INIS)

    Crepp, J.; Ge, J.; Kravchenko, I.; Serabyn, E.; Carson, J.

    2010-01-01

    We have designed and built the first band-limited coronagraphic mask used for ground-based high-contrast imaging observations. The mask resides in the focal plane of the near-infrared camera PHARO at the Palomar Hale telescope and receives a well-corrected beam from an extreme adaptive optics system. Its performance on-sky with single stars is comparable to current state-of-the-art instruments: contrast levels of ∼10 -5 or better at 0.''8 in K s after post-processing, depending on how well non-common-path errors are calibrated. However, given the mask's linear geometry, we are able to conduct additional unique science observations. Since the mask does not suffer from pointing errors down its long axis, it can suppress the light from two different stars simultaneously, such as the individual components of a spatially resolved binary star system, and search for faint tertiary companions. In this paper, we present the design of the mask, the science motivation for targeting binary stars, and our preliminary results, including the detection of a candidate M-dwarf tertiary companion orbiting the visual binary star HIP 48337, which we are continuing to monitor with astrometry to determine its association.

  10. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  11. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  12. Geometrical superresolved imaging using nonperiodic spatial masking.

    Science.gov (United States)

    Borkowski, Amikam; Zalevsky, Zeev; Javidi, Bahram

    2009-03-01

    The resolution of every imaging system is limited either by the F-number of its optics or by the geometry of its detection array. The geometrical limitation is caused by lack of spatial sampling points as well as by the shape of every sampling pixel that generates spectral low-pass filtering. We present a novel approach to overcome the low-pass filtering that is due to the shape of the sampling pixels. The approach combines special algorithms together with spatial masking placed in the intermediate image plane and eventually allows geometrical superresolved imaging without relation to the actual shape of the pixels.

  13. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  14. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  15. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  16. Proceedings of the thirty fifth international conference on contemporary trends in optics and optoelectronics: conference digest - extended abstracts

    International Nuclear Information System (INIS)

    2011-01-01

    Optics and optoelectronics are indispensable in all spheres of human activity, ranging from day to day needs to advanced scientific and technological pursuits and their applications for the benefit of the society. This conference covers the following topics: adaptive optics, biomedical optics and imaging, classical and quantum optics, fibre optics, optics for space applications, optical metrology and NDT, optical information processing, optical and optoelectronic materials. Papers relevant to INIS are indexed separately

  17. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  18. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  19. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  20. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  1. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  2. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  3. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  4. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  5. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  6. Tungsten and optics

    International Nuclear Information System (INIS)

    Reglero, V.; Velasco, T.; Rodrigo, J.; Gasent, L.J.; Alamo, J.; Chato, R.; Ruiz Urien, I.; Santos, I.; Zarauz, J.

    2001-01-01

    High energy astronomy research requires accurate location to perform multiwavelength studies of the cosmic gamma-ray emitters. New technologies have been developed to achieve this goal, the use of large spatial signal multiplexing systems (Masks). The optical system based on the use of coded Masks together with solid stated pixelated planes provide a point source location capability of 1 arc min, that is 3600 times better than of the last NASA CGRO mission. Different materials were considered to modulate the high energy signals, tungsten was selected for implementing the codes due to both its high density and large atomic number that provide the required stooping power. An overview of the programme carried out to design and manufacture the coded Masks is provided. (nevyjel)

  7. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  8. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  9. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  10. Acoustical and optical radiation pressures and the development of single beam acoustical tweezers

    OpenAIRE

    Thomas , Jean-Louis; Marchiano , Régis; Baresch , Diego

    2017-01-01

    International audience; Studies on radiation pressure in acoustics and optics have enriched one another and have a long common history. Acoustic radiation pressure is used for metrology, levitation, particle trapping and actuation. However, the dexterity and selectivity of single-beam optical tweezers are still to be matched with acoustical devices. Optical tweezers can trap, move and positioned micron size particles, biological samples or even atoms with subnanometer accuracy in three dimens...

  11. Continuous wave protocol for simultaneous polarization and optical detection of P1-center electron spin resonance

    Science.gov (United States)

    Kamp, E. J.; Carvajal, B.; Samarth, N.

    2018-01-01

    The ready optical detection and manipulation of bright nitrogen vacancy center spins in diamond plays a key role in contemporary quantum information science and quantum metrology. Other optically dark defects such as substitutional nitrogen atoms (`P1 centers') could also become potentially useful in this context if they could be as easily optically detected and manipulated. We develop a relatively straightforward continuous wave protocol that takes advantage of the dipolar coupling between nitrogen vacancy and P1 centers in type 1b diamond to detect and polarize the dark P1 spins. By combining mutual spin flip transitions with radio frequency driving, we demonstrate the simultaneous optical polarization and detection of the electron spin resonance of the P1 center. This technique should be applicable to detecting and manipulating a broad range of dark spin populations that couple to the nitrogen vacancy center via dipolar fields, allowing for quantum metrology using these spin populations.

  12. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  13. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  14. Optical effects induced by epitaxial tension in lead titanate

    Czech Academy of Sciences Publication Activity Database

    Dejneka, Alexandr; Chvostová, Dagmar; Pacherová, Oliva; Kocourek, Tomáš; Jelínek, Miroslav; Tyunina, Marina

    2018-01-01

    Roč. 112, č. 3 (2018), s. 1-5, č. článku 031111. ISSN 0003-6951 R&D Projects: GA ČR GA15-13778S; GA ČR GA15-15123S Institutional support: RVO:68378271 Keywords : epitaxy * inorganic compounds * optical properties * ferroelectric materials * optical metrology Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics , supercond.) Impact factor: 3.411, year: 2016

  15. PREVAIL: latest electron optics results

    Science.gov (United States)

    Pfeiffer, Hans C.; Golladay, Steven D.; Gordon, Michael S.; Kendall, Rodney A.; Lieberman, Jon E.; Rockrohr, James D.; Stickel, Werner; Yamaguchi, Takeshi; Okamoto, Kazuya; Umemoto, Takaaki; Shimizu, Hiroyasu; Kojima, Shinichi; Hamashima, Muneki

    2002-07-01

    The PREVAIL electron optics subsystem developed by IBM has been installed at Nikon's facility in Kumagaya, Japan, for integration into the Nikon commercial EPL stepper. The cornerstone of the electron optics design is the Curvilinear Variable Axis Lens (CVAL) technique originally demonstrated with a proof of concept system. This paper presents the latest experimental results obtained with the electron optical subsystem at Nikon's facility. The results include micrographs illustrating proper CVAL operation through the spatial resolution achieved over the entire optical field of view. They also include data on the most critical issue of the EPL exposure approach: subfield stitching. The methodology of distortion correction will be described and both micrographs and metrology data of stitched subfields will be presented. This paper represents a progress report of the IBM/Nikon alliance activity on EPL.

  16. Means to verify the accuracy of CT systems for metrology applications (In the Absence of Established International Standards)

    International Nuclear Information System (INIS)

    Lettenbauer, H.; Georgi, B.; Weib, D.

    2007-01-01

    X-ray computed tomography (CT) reconstructs an unknown object from X-ray projections and has long been used for qualitative investigation of internal structures in industrial applications. Recently there has been increased interest in applying X-ray cone beam CT to the task of high-precision dimensional measurements of machined parts, since it is a relatively fast method of measuring both inner and outer geometries of arbitrary complexity. The important information for the user in dimensional metrology is if measured elements of a machined part are within the defined tolerances or not. In order to qualify cone beam CT as an established measurement technology, it must be qualified in the same manner as established measurement technologies such as coordinate measurement machines (CMMs) with tactile or optical sensors. In international standards artefacts are defined that are calibrated by certified institutions. These artefacts are defined by certain geometrical elements. CT measurements are performed on the reconstructed object volume, either directly or using an intermediate surface-extraction step. The results of these measurements have to be compared to the values of the calibrated elements; the level of agreement of the results defines the accuracy of the measurements. By using established methods to define measurement uncertainty a very high level of acceptance in dimensional metrology can be reached for the user. Only if results are comparable to standards of the established technologies the barriers of entry into metrology will be removed and all benefits of this technology will be available for the user. (authors)

  17. Active 2D materials for on-chip nanophotonics and quantum optics

    Directory of Open Access Journals (Sweden)

    Shiue Ren-Jye

    2017-03-01

    Full Text Available Two-dimensional materials have emerged as promising candidates to augment existing optical networks for metrology, sensing, and telecommunication, both in the classical and quantum mechanical regimes. Here, we review the development of several on-chip photonic components ranging from electro-optic modulators, photodetectors, bolometers, and light sources that are essential building blocks for a fully integrated nanophotonic and quantum photonic circuit.

  18. Procedure and reference standard to determine the structural resolution in coordinate metrology

    Science.gov (United States)

    Illemann, Jens; Bartscher, Markus; Jusko, Otto; Härtig, Frank; Neuschaefer-Rube, Ulrich; Wendt, Klaus

    2014-06-01

    A new procedure and reference standards for specifying the structural resolution in coordinate metrology traceable to the SI unit the metre are proposed. With the definition of the structural resolution, a significant gap will be closed to complete ‘acceptance and verification tests’ of the coordinate measuring systems (CMSs) which are specified in the ISO 10360 series dealing with tactile sensors, optical sensors, and x-ray computed tomography measurement systems (CTs). The proposed new procedure uses reference standards with circular rounded edges. The idea is to measure the radius of curvature on a calibrated round edge structure. From the deviation between the measured and the calibrated radius, an analogue Gaussian broadening of the measurement system is determined. This value is a well-defined and easy-to-apply measure to define the structural resolution for dimensional measurements. It is applicable to CMSs which are based on different sensing principles, e.g. tactile, optical and CT systems. On the other hand, it has a physical meaning similar to the classical optical point-spread function. It makes it possible to predict which smallest details the CMS is capable of measuring reliably for an arbitrary object shape. The theoretical background of the new procedure is given, an appropriate reference standard is described and comparative, quantitative measurement data of CMSs featuring different sensors are shown.

  19. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  20. Optical asymmetric cryptography using a three-dimensional space-based model

    International Nuclear Information System (INIS)

    Chen, Wen; Chen, Xudong

    2011-01-01

    In this paper, we present optical asymmetric cryptography combined with a three-dimensional (3D) space-based model. An optical multiple-random-phase-mask encoding system is developed in the Fresnel domain, and one random phase-only mask and the plaintext are combined as a series of particles. Subsequently, the series of particles is translated along an axial direction, and is distributed in a 3D space. During image decryption, the robustness and security of the proposed method are further analyzed. Numerical simulation results are presented to show the feasibility and effectiveness of the proposed optical image encryption method

  1. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  2. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  3. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  4. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  5. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  6. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  7. Engineered diamond nanopillars as mobile probes for high sensitivity metrology in fluid

    Science.gov (United States)

    Andrich, P.; de Las Casas, C. F.; Heremans, F. J.; Awschalom, D. D.; Aleman, B. J.; Ohno, K.; Lee, J. C.; Hu, E. L.

    2015-03-01

    The nitrogen-vacancy (NV) center`s optical addressability and exceptional spin coherence properties at room temperature, along with diamond`s biocompatibility, has put this defect at the frontier of metrology applications in biological environments. To push the spatial resolution to the nanoscale, extensive research efforts focus on using NV centers embedded in nanodiamonds (NDs). However, this approach has been hindered by degraded spin coherence properties in NDs and the lack of a platform for spatial control of the nanoparticles in fluid. In this work, we combine the use of high quality diamond membranes with a top-down patterning technique to fabricate diamond nanoparticles with engineered and highly reproducible shape, size, and NV center density. We obtain NDs, easily releasable from the substrate into a water suspension, which contain single NV centers exhibiting consistently long spin coherence times (up to 700 μs). Additionally, we demonstrate highly stable, three-dimensional optical trapping of the nanoparticles within a microfluidic circuit. This level of control enables a bulk-like DC magnetic sensitivity and gives access to dynamical decoupling techniques on contactless, miniaturized diamond probes. This work was supported by DARPA, AFOSR, and the DIAMANT program.

  8. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  9. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  10. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  11. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  12. CD-SEM real time bias correction using reference metrology based modeling

    Science.gov (United States)

    Ukraintsev, V.; Banke, W.; Zagorodnev, G.; Archie, C.; Rana, N.; Pavlovsky, V.; Smirnov, V.; Briginas, I.; Katnani, A.; Vaid, A.

    2018-03-01

    Accuracy of patterning impacts yield, IC performance and technology time to market. Accuracy of patterning relies on optical proximity correction (OPC) models built using CD-SEM inputs and intra die critical dimension (CD) control based on CD-SEM. Sub-nanometer measurement uncertainty (MU) of CD-SEM is required for current technologies. Reported design and process related bias variation of CD-SEM is in the range of several nanometers. Reference metrology and numerical modeling are used to correct SEM. Both methods are slow to be used for real time bias correction. We report on real time CD-SEM bias correction using empirical models based on reference metrology (RM) data. Significant amount of currently untapped information (sidewall angle, corner rounding, etc.) is obtainable from SEM waveforms. Using additional RM information provided for specific technology (design rules, materials, processes) CD extraction algorithms can be pre-built and then used in real time for accurate CD extraction from regular CD-SEM images. The art and challenge of SEM modeling is in finding robust correlation between SEM waveform features and bias of CD-SEM as well as in minimizing RM inputs needed to create accurate (within the design and process space) model. The new approach was applied to improve CD-SEM accuracy of 45 nm GATE and 32 nm MET1 OPC 1D models. In both cases MU of the state of the art CD-SEM has been improved by 3x and reduced to a nanometer level. Similar approach can be applied to 2D (end of line, contours, etc.) and 3D (sidewall angle, corner rounding, etc.) cases.

  13. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  14. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  15. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  16. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  17. A metrology system for a high resolution cavity beam position monitor system

    Science.gov (United States)

    Walston, Sean; Boogert, Stewart; Chung, Carl; Fitsos, Pete; Frisch, Joe; Gronberg, Jeff; Hayano, Hitoshi; Hinton, Shantell; Honda, Yosuke; Khainovski, Oleg; Kolomensky, Yury; Loscutoff, Peter; Lyapin, Alexey; Malton, Stephen; May, Justin; McCormick, Douglas; Meller, Robert; Miller, David; Orimoto, Toyoko; Ross, Marc; Slater, Mark; Smith, Steve; Smith, Tonee; Terunuma, Nobuhiro; Thomson, Mark; Urakawa, Junji; Vogel, Vladimir; Ward, David; White, Glen

    2013-11-01

    International Linear Collider (ILC) interaction region beam sizes and component position stability requirements will likely be as small as a few nanometers. It is important to the ILC design effort to demonstrate that these tolerances can be achieved-ideally using a beam-based stability measurement. We developed a high resolution RF cavity Beam Position Monitor (BPM) system. A triplet of these BPMs, installed in the extraction line of the KEK Accelerator Test Facility (ATF) and tested with its ultra-low emittance beam, achieved a position measurement resolution of 15 nm. A metrology system for the three BPMs was subsequently installed. This system employed optical encoders to measure each BPM's position and orientation relative to a zero-coefficient of thermal expansion carbon fiber frame. We have demonstrated that the three BPMs behave as a rigid-body at the level of less than 5 nm.

  18. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  19. Optical Metrology for CIGS Solar Cell Manufacturing and its Cost Implications

    Science.gov (United States)

    Sunkoju, Sravan Kumar

    Solar energy is a promising source of renewable energy which can meet the demand for clean energy in near future with advances in research in the field of photovoltaics and cost reduction by commercialization. Availability of a non-contact, in-line, real time robust process control strategies can greatly aid in reducing the gap between cell and module efficiencies, thereby leading to cost-effective large-scale manufacturing of high efficiency CIGS solar cells. In order to achieve proper process monitoring and control for the deposition of the functional layers of CuIn1-xGaxSe 2 (CIGS) based thin film solar cell, optical techniques such as spectroscopic reflectometry and polarimetry are advantageous because they can be set up in an unobtrusive manner in the manufacturing line, and collect data in-line and in-situ. The use of these techniques requires accurate optical models that correctly represent the properties of the layers being deposited. In this study, Spectroscopic ellipsometry (SE) has been applied for the characterization of each individual stage of CIGS layers deposited using the 3-stage co-evaporation process along with the other functional layers. Dielectric functions have been determined for the energy range from 0.7 eV to 5.1 eV. Critical-point line-shape analysis was used in this study to determine the critical point energies of the CIGS based layers. To control the compositional and thickness uniformity of all the functional layers during the fabrication of CIGS solar cells over large areas, multilayer photovoltaics (PV) stack optical models were developed with the help of extracted dielectric functions. In this study, mapping capability of RC2 spectroscopic ellipsometer was used to map all the functional layer thicknesses of a CIGS solar cell in order to probe the spatial non-uniformities that can affect the performance of a cell. The optical functions for each of the stages of CIGS 3-stage deposition process along with buffer layer and transparent

  20. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.